JPH03500713A - ウェハキャリア - Google Patents

ウェハキャリア

Info

Publication number
JPH03500713A
JPH03500713A JP1505811A JP50581189A JPH03500713A JP H03500713 A JPH03500713 A JP H03500713A JP 1505811 A JP1505811 A JP 1505811A JP 50581189 A JP50581189 A JP 50581189A JP H03500713 A JPH03500713 A JP H03500713A
Authority
JP
Japan
Prior art keywords
wafer carrier
carrier
wafer
panel
bending
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP1505811A
Other languages
English (en)
Other versions
JPH0719832B2 (ja
Inventor
コス、ロバート デイ
Original Assignee
フロロウエア インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by フロロウエア インコーポレーテツド filed Critical フロロウエア インコーポレーテツド
Publication of JPH03500713A publication Critical patent/JPH03500713A/ja
Publication of JPH0719832B2 publication Critical patent/JPH0719832B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67326Horizontal carrier comprising wall type elements whereby the substrates are vertically supported, e.g. comprising sidewalls
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S206/00Special receptacle or package
    • Y10S206/832Semiconductor wafer boat
    • Y10S206/833Apertured side walls

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Packaging Frangible Articles (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 発明の名称 ウェハ キャリア 発明の背景 本発明は、集積回路のチップの製造の際に使用される、シリコン・ウェハを入れ て移動したり保管したりする、シリコン・ウニへのためのモールド・プラスチッ ク製のウェハ・バスケットあるいはウェハ・キャリアに関する。
ウェハの製造過程では、ウェハを次々に液体やガスに浸したり、ウェハに液体や ガスをスプレィする必要がある。いくつかのケミカル浴槽にはさまざまな腐蝕性 のケミカルが入っており、またあるものは非常に高温で、180°の温度領域で 使用される。ここでプロセスされるウェハは、直径が8インチの大きさである。
典型的には、25枚のそのようなウェハが一個のバスケットあるいはキャリアに 入れられる、すなわちキャリアはこれに十分な容積を持つ必要がある。ウェハが 全部つめられたそのようなキャリアは、8−10ボンドの重さとなる。最近では 、10インチの直径のウェハが使用され始めており、近い将来にはこのサイズが 一般的となるだろう。
したがって、シリコン・ウェハをプロセッシングの間保持するために使用するウ ェハ・キャリアは、モールド製プラスチックで製作され、それは、使用されるケ ミカルの腐蝕効果に対して不活性で非常に抵抗性があるのが望ましく、また、ブ ロセッリンスの期間に常に遭遇する高い浴槽温度に対しても非常に抵抗性がある のが望ましい0通常、キャリアに使用されるモールド製プラスチックは、PFA  Teflon(E、1.du Pant de NemoursCompan yの登録商標)、すなわち、バー・フルオロ・アルコキシを含有したポリ・テト ラ・フルオロ・エチレン樹脂である。
少し厳しくない環境でのウェハの保管や輸送のためには、キャリアはポリ・プロ ピレンで製作される。
シリコン・ウェハは極度にデリケートで、もろ(、しかも十分の数インチの厚み しかないことを認識する必要がある。シリコン・ウェハは大変に高価で、ウェハ が壊れると大きな損害となる。工業規格によって決められた基準の範囲内で動作 する集積回路を製造するためには、ウェハへの微粒子やその他の不純物の混入も また避けなければならない。したがって、シリコン・ウェハやキャリアを取る扱 うのに、人手によるハンドリングから自動ハンドリングへの移行が進んでいる。
可能な場合には、ウェハ・キャリアも個々のシリコン・ウェハ自身もハンドリン グするのに、ロボット腕を使用する例が増えている。
シリコン・ウェハおよびウェハ・キャリアの自動ハンドリングのためには、ある 特定のシリコン・ウェハが保持されるキャリアの中での層は、少ないトレランス を維持出来る必要がある。このことは、ウェハの破損を避けるために必要条件で ある。これらの大きなサイズで薄いシリコン・ウェハをハンドリングする際に、 ウェハ・キャリアが180@Cの温度にさらされた時には柔らかくなり曲がるこ とが知られているので、問題が生じる。また、押し出しモールドの後の冷却期間 に、ウェハ・キャリアの曲がりが生じることも知られている。ウェハ。
キャリアのそのような曲がりや、ひずみや、ゆがみは、デリケートなウェハの端 部に圧縮力を加えることになる。したがって、モールド製のプラスチックで、ゆ がみゃ曲がりに強いウェハ・キャリアを提供することは、シリコン、ウェハをプ ロセッシングする過程で、ウェハを運んだり保管したりするために、大変型まれ るところである。
発明の概要 対面する直立した端壁があり、ウェハを出し入れするための開口上部がある、モ ールド・プラスチック製で、曲がりや歪みに強いウェハ・キャリア、キャリアの 中でウェハを軸方向に配列するために内側に対面するリブがあり、一方の直立す る端部はH形状で、キャリアの中間の高さ位置でそれを横切って伸びる水平方向 の指標づけ用棒があり、もう一方の端壁は一枚の中心パネルと、それぞれが中心 パネルに対して傾斜した角度に向いた二枚の側面パネルから構成される。中心パ ネルは、直立した中心線のある平板状の外側表面を持ち、二つの平板状内側表面 は中心線で互いに斜めに向いており、その結果、中心パネルは、中心線付近では 、その外側端部よりも薄くなっている。横方向に外側に伸びる上部フランジが、 それぞれの側壁についている。それぞれのフランジには、その端部に隣接して、 少なくとも二つの曲げ防止用刻み目がついており、モールドでウェハ・キャリア が冷却される時に、その元々の形状を保ち、したがってモールドでのウェハ・キ ャリアの曲がりを最小にする。
本発明の主な目的は、モールドの過程でその固形化のために冷却される際に、曲 がりがないように成型されて元の形状を保つ、ウェハ・キャリアを提供すること にある。
本発明のもう一つの目的は、シリコン・ウェハのプロセッシング段階で共通な極 度の高温や腐食性の浴槽にさらされる時に曲がりやたわみに非常に抵抗力のある ウェハ・キャリアを提供することにある。
本発明のもう一つの目的は、ウェハ・キャリアの安全な自動プロセス・ハンドリ ングが容易に可能で、ウェハ・キャリアの寸法が指定されたトレランスから最小 のズレしかないために、その中のシリコン・ウェハを壊さずに、ロボット腕ある いは機械腕でハンドリング出来るウェハ・キャリアを提供することにある。
図面の簡単な説明 第1図は、シリコン・ウェハの入った、本発明のウェハ・キャリアの透視図、 第2図は、ウェハ・キャリアの上面図、第3図は、ウェハ・キャリアの背面立面 図、第4図は、ウェハ・キャリアの側面立面図、第5図は、ウェハ・キャリアの 前両立面図、第6図は、第2図の線6−6に沿ったウェハの断面図。
発明の詳細な使用 第1図から第6図には、シリコン・ウェハ5の入った本発明のウエノい享ヤリア が見え、一般的に参照番号10で示されている。ウェハ・キャリア10は、前述 したように、プラスチックから適切な方法で、一体のものとして、押し出し成型 により作られる。
ウェハ・キャリア10には、開口上部11と開口底部12がある。お互いに鏡像 関係にある直立した側壁13があり、それぞれは、側壁13を貫通する窓、切断 部あるいは洗い用スロット16のついた、内側にオフセットのある対面する底壁 部14があり、開口上部11および開口底部12と共に、挿入、取り出し、リン スおよびウェハ・キャリア10を通してウェハ5の上に液の流れをつくる、など の点で改善をはかっている。ウェハ5は、対面して内側に伸びるリブ18により 規定の場所に納められ、リブは、それぞれのウェハ5の間隔が予め決められたよ うに、ウェハ5をウェハ・キャリアの中で軸方向に並べるようになっている。
傾斜のついた足パネル20は、基本的には互いに平行で、側壁13のオフセット 部14に沿ってその下にくる。傾斜のついた足パネル20の上部表面22はウェ ハ支持表面ユニを形成する。この配置により、ウェハの周辺端との接触が最小限 となる。傾斜のついた足パネル20の底部表面24には、中央部に位置する位置 決めノツチ26があり、ウェハ・キャリア10を特定の機械で使用するときに指 標付けしたり配列したりすることを可能にする。底部表面24はそのパネル20 の両端から位置決めノツチ26に向かって上方に狭くなるように傾斜がついてい る。このデザインにより、傾斜のついた足パネル20は四つの独立した足として 機能する。
それぞれの上部側壁13もまた横方向外側の伸びる上部フランジ28をもつ、一 方の側壁13のフランジ28には、上方に突き出したビン30があり、もう一方 の側壁13のフランジ28には、ビン23を受け入れるスロットあるいは穴31 がある・ビン30と穴31の配置は、同じようなサイズの他のウェハ・キャリア 10から、ウェハ5を一挙に移し変えすることを可能にする。フランジ28の対 応する終端部で離れた場所に位置して、曲がり防止用刻み目32があり、それは 適当な穴、ノツチあるいは窪みで、液体プラスチックが固化し始めてウェハ・キ ャリアが冷え始めるときに、モールドがこのウェハ・キャリア10をつかめるよ うにしている。
ウェハ・キャリア10にはH−型をした端部40があり、H型をしたフランジ4 2が、機械の一部の中でウェハ・キャリアエ0に指標付けするために一般的に使 用される水平方向の指標付は棒44を支持する。端部40と側壁13の接合部に はロボットが取りあげるフランジ46があり、ウェハ・キャリア10をロボット で取り扱うことを可能にしている。
三つのパネルがある端壁50は、H−型をした端壁40に対向しており、それは 側壁52と中央パネル54より構成される。中央パネル54には垂直方向に中央 線56があり、その線に沿って端壁50の最も薄い部分がある。中央パネル54 には、また、平板状の外側表面58があり、その外側端部59は側面パネル52 に隣接している。中央パネル54は、また、互いに傾斜した、そして中央l!6 2に隣接した、第一の平板状内部表面60と、第二の平板状内部表面62を持っ ている。端壁50は、また、ロボットで取り上げられるフランジ四穴と同様の、 ロボットで取り上げられるフランジ穴口を持っている。
本発明の主な目的が、モールド・プラスチック性で、曲がりやたわみに抵抗性の あるウェハ・キャリアを提供することにあるのを思い出せば、本発明の新しい特 徴が個々で良く理解されよう。
ウェハ・キャリアー○を鋳型に入れて作るのに、誘拐したプラスチックが完全に も−るどの中に抽出された後に、プラスチックは液体から固体に変化し始める。
まず第一に、融解したプラスチックは皮相を形成し、その時、ウェハ・キャリア 10の内部は依然として融解状態である。この化学的な変化がモールドされたキ ャリアに応力を生じることが知られている。ウェハ、キャリアのある特定の部分 が厚いと、その場所には、より長くかかる準備および冷却時間のために、より大 きな内部応力が生じる。
その結果、ウエノいキャリア10がそのモールドの中で固化し始めると、液体状 態から固体状態への化学変化のために生じる応力は、ウェハ・キャリア10が冷 却されモールドの中で固化すると、上部側壁13と端壁40および50がモール ドの内部表面から引き剥がされ始めるような形で、応力が生じる。
もし、モールドが、上部フランジ28の領域に、曲がり防止用の窪みあるいは穴 32にはまりこむビンのような突き出た部品がある時には、ウエノいキャリア1 0が融解状態から固体状態に変化する際に、モールドは、ウェハ・キャリア10 を効果的に元の状態に保ち、したがって、曲がりの可能性を最小限にし、その結 果、ウェハ・キャリア10は最初にモールドされた特定の状態に留まることが出 来る。
過去においては、一般的に、ウェハ・キャリアは、一様な壁の厚みを持つように モールドされてきた。しかしながら、厚い壁は、プラスチックが融解状態から固 体状態へ変化するのに長い冷却時間が必要となるので、より大きな内部応力を生 じる。
そのようなキャリアは変形やたわみや曲がりを受けやすい。その結果、端壁50 の壁面を薄くすることは内部応力を最小にするが、一方、薄(すると、ウェハ・ キャリアが高温の化学物質槽に入れられた時に、曲がったりたわんだりしやすく なる。三つのパネルを持つ端壁50は、中心線56の方に向かって薄(なるよう な変化する厚みを持っている。さらに加えて、端壁50は、側面パネル52およ び中央パネル54に対応して、四つの内部平板状表面53.53および60.6 2を持っている。三つのパネルを持つ端壁50に対応するこの角だけでも、ウェ ハ・キャリア10に強固さを加え、曲がりやたわみに対する低構成を増す。
本発明は、その精神や基本的な本質から離れない範囲で、他の形式で、実施する ことも可能である。したがって、図示された実施例は、すべての点で例示的なも のと考えるべきで、限定的なものではなく、照合すべきは、本発明の範囲を示す 前の記述ではな(て、むしろ、添付された特許請求項である。
国際調査報告 υS 89101257 SA 28B02

Claims (1)

  1. 【特許請求の範囲】 1.モールド・プラスチック製で、変形や曲がりに抵抗性のあるウエハ・キャリ アで、ウエハを出し入れするための開口上部があり、開口底部があり、対向する 垂直方向の端壁があり、さらに、キャリアの中でウエハを軸方向に間隔をあけて 並べるために内側に対面するリブのついた側壁があり、一つの垂直にたった端壁 はH−型をしていて、それにはキャリアの高さの真ん中を横切って伸びる水平方 向の指標棒があり、もう一方の垂直にたった端壁は、中央パネルと、中央パネル に対してそれぞれ斜めに向いた二つの側面パネルから構成され、中央パネルは、 垂直方向に中央線のある平板状の外側表面と、中央線で互いに斜めに向いた二つ の平板状の内側表面があり、したがって、中央パネルは中央線付近の方がその端 部よりも薄くなっており、このようにしてウエハ・キャリアに堅固さを増し、一 方、ウエハ・キャリアの曲がろうとするストレスを最小限に押さえ、ウエハ・キ ャリアが高温あるいは腐食性の化学物質にさらされたときに、もう一方の垂直に たった端壁が変形したり内側に曲がったりするのを防いでいる、ウエハ・キャリ ア。 2.外側の直立した端壁の側面パネルは、平板状内側表面を持ち、側面パネルの 内側表面と中央パネルは、端壁から一般的に中央線に向かって外側に伸びている 、請求項1記載のウエハ・キャリア。 3.もう一方の垂直にたった端壁の側面パネルが、外側に伸びている、請求項1 記載のウエハ・キャリア。 4.側壁に沿って、その下に、一対の直立した、平行な、傾斜のついた足パネル があり、それぞれは、端部の両端から上方に向かって狭くなるように傾斜のつい た底部表面を持つ、請求項1記載のウエハ・キャリア。 5.傾斜のついた足部パネルの底部表面には、その中心部に指標を付けるための ノッチがついた、請求項4記載のウエハ・キャリア。 6.それぞれの側壁は、その底部に内側にオフセットとなった壁面部を持つ、請 求項1記載のウエハ・キャリア7.モールド・プラスチック製で、変形や曲がり に抵抗性のあるウエハ・キャリアで、ウエハを出し入れするための開口上部があ り、開口底部があり、キャリアの中でウエハを軸方向に間隔をあけて並べるため に内側に対面するリブのついた側壁があり、対面する垂直に立った端壁があり、 一つの垂直に立った端壁はH−型をしていて、それにはキャリアの高さの真ん中 を横切って伸びる水平方向の指標棒があり、それぞれの側壁には横方向外側に伸 びるフランジもう一方の垂直に立った端壁は、中央パネルと、中央パネルに対し てそれぞれ斜めに向いた二つの側面パネルから構成され、中央パネルは、垂直方 向に中央線のある平板状の外側表面と、中央線で互いに斜めに向いた二つの平板 状の内側表面があり、したがって、中央パネルは中央線付近の方がその端部より も薄くなっており、このようにしてウエハ・キャリアに堅固さを増し、一方、ウ エハ・キャリアの曲がろうとするストレスを最小限に押さえ、ウエハ・キャリア が高温あるいは腐食性の化学物質にさらされたときに、もう一方の垂直にたった 端壁が変形したり内側に曲がったりするのを防いでいる、ウエハ・キャリア。 8.曲がりを防止する窪みが、フランジを貫通する穴である、請求項7記載のウ エハ・キャリア。 9.側壁に沿って、その下に、一対の直立した、平行な、傾斜のついた足パネル があり、それぞれは、端部の両端から上方に向かって狭くなるように傾斜のつい た底部表面を持つ、請求項7記載のウエハ・キャリア。 10.傾斜のついた足部パネルの底部表面には、その中心部に指標を付けるため のノッチが付いた、請求項7記載のウエハ・キャリア。 11.それぞれの側壁は、その底部に内側にオフセットとなった壁面部を持つ、 請求項7記載のウエハ・キャリア。 12.モールド・プラスチック性で、変形や曲がりに抵抗性のあるウエハ・キャ リアで、ウエハ・キャリアを出し入れするための開口上部があり、開口底部があ り、対向する垂直方向の端壁があり、一つの垂直にたった端壁はH−型をしてい て、それにはキャリアの高さの真ん中を横切って伸びる水平方向の指標棒があり 、さらにキャリアの中でウエハを軸方向に間隔をあけて並べるために内側に対面 するリブのついた側壁があり、もう一方の垂直に立った端壁は、中央パネルと、 中央パネルに対してそれぞれ斜めに向いた二つの側面パネルから構成され、中央 パネルは、垂直方向に中央線のある平板状の外側表面と、中央線で互いに斜めに 向いた二つの平板状の内側表面があり、したがって、中央パネルは中央線付近の 方がその端部よりも薄くなっており、このようにしてウエハ・キャリアに堅固さ を増し、一方、ウエハ・キャリアの曲がろうとするストレスを最小限に押さえ、 ウエハ・キャリアが高温あるいは腐食性の化学物質にさらされたときに、もう一 方の垂直にたった端壁が変形したり内側に曲がったりするのを防いでおり、それ ぞれの側壁には横方向外側に伸びるフランジがあり、それぞれのフランジには少 なくとも二つの曲がり防止用窪みがあり、それぞれがフランジの対応する終端部 に近い位置についていて、ウエハ・キャリアがモールドの中で冷却されるときに 、モールドがウエハ・キャリアを最初にモールドされた形状に保持し、このよう にして、ウエハ・キャリアがモールド中で冷却され固化するときの曲がりを最小 におさえている、ウエハ・キャリア。 13.外側の直立した端壁の側面パネルは、平板状内側表面を持ち、側面パネル の内側表面と中央パネルは、端壁から一般的に中央線に向かって外側に伸びてい る。請求項12記載のウエハ・キャリア。 14.もう一方の垂直に立った端壁の側面パネルが、外側に伸びている、請求項 12記載のウエハ・キャリア。 15.側壁に沿って、その下に、一対の直立した、平行な、傾斜のついた足パネ ルがあり、それぞれは、端部の両端から上方に向かって狭くなるように傾斜のつ いた底部表面を持つ、請求項12記載のウエハ・キャリア。 16.傾斜のついた足部パネルの底部表面には、その中心部に指標を付けるため のノッチがついた、請求項15記載のウエハ・キャリア。 17.それぞれの側壁は、その底部に内側にオフセットとなった壁面部を持つ、 請求項12記載のウエハ・キャリア。
JP1505811A 1988-04-29 1989-03-27 ウェハキャリア Expired - Fee Related JPH0719832B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US07/188,312 US4949848A (en) 1988-04-29 1988-04-29 Wafer carrier
US188,312 1988-04-29
PCT/US1989/001257 WO1989010629A1 (en) 1988-04-29 1989-03-27 Wafer carrier

Publications (2)

Publication Number Publication Date
JPH03500713A true JPH03500713A (ja) 1991-02-14
JPH0719832B2 JPH0719832B2 (ja) 1995-03-06

Family

ID=22692642

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1505811A Expired - Fee Related JPH0719832B2 (ja) 1988-04-29 1989-03-27 ウェハキャリア

Country Status (8)

Country Link
US (1) US4949848A (ja)
EP (1) EP0365666B1 (ja)
JP (1) JPH0719832B2 (ja)
KR (1) KR0131013B1 (ja)
CN (1) CN1037616A (ja)
CA (1) CA1322999C (ja)
DE (1) DE68906637T2 (ja)
WO (1) WO1989010629A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5704494A (en) * 1995-06-16 1998-01-06 Nihon Plast Co., Ltd. Disc holder

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02113331U (ja) * 1989-02-27 1990-09-11
JPH06103720B2 (ja) * 1989-10-09 1994-12-14 株式会社東芝 半導体ウェハ支持キャリア
US5111936A (en) * 1990-11-30 1992-05-12 Fluoroware Wafer carrier
US5248033A (en) * 1991-05-14 1993-09-28 Fluoroware, Inc. Hinged tilt box with inclined portion
EP0520106B1 (en) * 1991-06-28 1994-08-31 Shin-Etsu Handotai Company Limited A wafer basket
US5154301A (en) * 1991-09-12 1992-10-13 Fluoroware, Inc. Wafer carrier
JPH05102056A (ja) * 1991-10-11 1993-04-23 Rohm Co Ltd ウエハー支持具
US5255797A (en) * 1992-02-26 1993-10-26 Fluoroware, Inc. Wafer carrier with wafer retaining cushions
DE4300205A1 (de) * 1993-01-07 1994-07-14 Deutsche Bundespost Telekom Probenhalterung in Kassettenform
US5429251A (en) * 1993-09-22 1995-07-04 Legacy Systems, Inc. Semiconductor wafer end effector
US5669316A (en) * 1993-12-10 1997-09-23 Sony Corporation Turntable for rotating a wafer carrier
US5472086A (en) * 1994-03-11 1995-12-05 Holliday; James E. Enclosed sealable purgible semiconductor wafer holder
JPH0826380A (ja) * 1994-05-10 1996-01-30 Toshio Ishikawa 基板用カセットにおけるサイドレール及び基板用カセット
US5476176A (en) * 1994-05-23 1995-12-19 Empak, Inc. Reinforced semiconductor wafer holder
CA2218518C (en) * 1995-05-05 2002-10-01 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design
USD378873S (en) * 1995-10-13 1997-04-22 Empak, Inc. 300 mm microenvironment pod with door on side
USD383898S (en) * 1995-10-13 1997-09-23 Empak, Inc. Combination shipping and transport cassette
USD387903S (en) * 1995-10-13 1997-12-23 Empak, Inc. Shipping container
JP3423512B2 (ja) * 1995-11-27 2003-07-07 信越ポリマー株式会社 精密基板用カセットの製造方法および精密基板用カセット
US5657879A (en) * 1996-02-05 1997-08-19 Seh America, Inc. Combination wafer carrier and storage device
KR100211074B1 (ko) * 1996-03-13 1999-07-15 구본준 웨이퍼 습식 처리장치
US6010008A (en) * 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6214127B1 (en) 1998-02-04 2001-04-10 Micron Technology, Inc. Methods of processing electronic device workpieces and methods of positioning electronic device workpieces within a workpiece carrier
US6871741B2 (en) 1998-05-28 2005-03-29 Entegris, Inc. Composite substrate carrier
US6520191B1 (en) 1998-10-19 2003-02-18 Memc Electronic Materials, Inc. Carrier for cleaning silicon wafers
KR100543875B1 (ko) * 1999-05-25 2006-01-23 인티그리스, 인코포레이티드 복합의 기판 캐리어
DE10116382C2 (de) * 2001-04-02 2003-08-28 Infineon Technologies Ag Transportbehälter für Wafer
US6758339B2 (en) * 2001-07-12 2004-07-06 Entegris, Inc. Thin wafer carrier
TW522448B (en) * 2001-10-22 2003-03-01 Advanced Semiconductor Eng Semiconductor wafer carrying apparatus
CN1292964C (zh) * 2001-12-18 2007-01-03 奇美电子股份有限公司 组合式基板搬运箱
US7175026B2 (en) 2002-05-03 2007-02-13 Maxtor Corporation Memory disk shipping container with improved contaminant control
US7180709B2 (en) * 2002-05-09 2007-02-20 Maxtor Corporation Information-storage media with dissimilar outer diameter and/or inner diameter chamfer designs on two sides
US7600359B2 (en) * 2002-05-09 2009-10-13 Seagate Technology Llc Method of merging two disks concentrically without gap between disks
US7367773B2 (en) * 2002-05-09 2008-05-06 Maxtor Corporation Apparatus for combining or separating disk pairs simultaneously
US7052739B2 (en) * 2002-05-09 2006-05-30 Maxtor Corporation Method of lubricating multiple magnetic storage disks in close proximity
MY138480A (en) * 2002-05-09 2009-06-30 Maxtor Corp Method of simultaneous two-disk processing of single-sided magnetic recording disks
US7628895B2 (en) * 2002-05-09 2009-12-08 Seagate Technology Llc W-patterned tools for transporting/handling pairs of disks
US7083871B2 (en) * 2002-05-09 2006-08-01 Maxtor Corporation Single-sided sputtered magnetic recording disks
US7083376B2 (en) 2002-10-10 2006-08-01 Maxtor Corporation Automated merge nest for pairs of magnetic storage disks
US7168153B2 (en) * 2002-10-10 2007-01-30 Maxtor Corporation Method for manufacturing single-sided hard memory disks
US7882616B1 (en) 2004-09-02 2011-02-08 Seagate Technology Llc Manufacturing single-sided storage media
CN1303664C (zh) * 2004-12-17 2007-03-07 北京市塑料研究所 硅片承载器
KR100655431B1 (ko) * 2005-03-23 2006-12-11 삼성전자주식회사 웨이퍼와의 접촉 면적을 최소화할 수 있는 웨이퍼 캐리어 및 이를 이용한 웨이퍼 세정방법
CN100435309C (zh) * 2005-10-20 2008-11-19 京元电子股份有限公司 高温烘烤晶舟
US8016592B2 (en) * 2008-01-01 2011-09-13 Dongguan Anwell Digital Machinery Ltd. Method and system for thermal processing of objects in chambers
JP5542126B2 (ja) * 2008-05-28 2014-07-09 ポリ−フロー エンジニアリング エルエルシー 固定具乾燥装置及び乾燥方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWD176128S (zh) 2010-03-11 2016-06-01 安堤格里斯公司 用於晶圓載運器之襯墊
CN101980376A (zh) * 2010-08-26 2011-02-23 常州亿晶光电科技有限公司 透液式栅板
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013155113A1 (en) 2012-04-09 2013-10-17 Entegris, Inc. Wafer shipper
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104570164B (zh) * 2013-10-12 2016-08-10 江苏格林视通光学有限公司 一种新型镀膜机内用镜片托架
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD793352S1 (en) * 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10811292B2 (en) * 2018-09-12 2020-10-20 Texas Instruments Incorporated Transport packaging and method for expanded wafers
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110203521B (zh) * 2019-06-03 2020-09-15 唐山国芯晶源电子有限公司 一种晶片周转盒
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
FR3111012B1 (fr) 2020-05-29 2022-07-08 Commissariat Energie Atomique Dispositif de maintien de plaques, notamment des plaques de silicium
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2019722A (en) * 1935-11-05 Holder carrier and storage case
US1764754A (en) * 1927-08-15 1930-06-17 Holland S Scott Case for phonograph records
US1885691A (en) * 1928-04-24 1932-11-01 New Castle Refractories Compan Ware support
US2156955A (en) * 1937-02-05 1939-05-02 Shaw Walker Co Filing device for use in vertical filing systems
US2194828A (en) * 1938-03-08 1940-03-26 Valentine F Greaves Combination container and ejector
US2407021A (en) * 1943-12-04 1946-09-03 Chicago Metallic Mfg Company Multiple baking pan
US2453030A (en) * 1946-10-07 1948-11-02 Reliance Molded Plastics Inc Rack for poker chips and the like
US2676729A (en) * 1952-01-23 1954-04-27 Laminex Corp Reinforced laminated molded receptacle
US2729375A (en) * 1953-08-10 1956-01-03 Marvin W Pace Egg transferring and supporting device
US2774472A (en) * 1954-08-24 1956-12-18 Bell & Howell Co Slide carrying means
US2813633A (en) * 1954-10-08 1957-11-19 Alvin F Welling Holder for magnetic tape reels
US2840256A (en) * 1956-05-03 1958-06-24 Jr James Walter Cobb Beverage bottle case
NL278554A (ja) * 1962-05-18
US3160283A (en) * 1963-02-19 1964-12-08 American Metal Prod Endless dump display bin
US3348721A (en) * 1965-05-20 1967-10-24 Kelsey Hayes Co Barrel
US3365070A (en) * 1965-09-24 1968-01-23 Ms Ind Inc Stackable gravity flow stock bin
US3394819A (en) * 1966-05-05 1968-07-30 Fluoroware Inc Article supporting device
GB1188525A (en) * 1966-05-26 1970-04-15 Gen Motors Ltd Filters for Liquids
US3480151A (en) * 1967-04-05 1969-11-25 Heraeus Schott Quarzschmelze Supporting rack of quartz
US3442395A (en) * 1967-09-05 1969-05-06 Rubbermaid Inc Plastic dish drainer
US3486631A (en) * 1967-09-29 1969-12-30 John T Shaler Co Basket for polished wafers
US3473670A (en) * 1968-01-30 1969-10-21 Fluoroware Inc Article supporting basket
US3467242A (en) * 1968-03-04 1969-09-16 Dale E De Rousse Storage unit for wafer-like articles
US3498597A (en) * 1968-03-11 1970-03-03 Rolock Inc Annealing box
US3501047A (en) * 1968-06-10 1970-03-17 Rheem Mfg Co Reinforced container
US3534862A (en) * 1968-09-13 1970-10-20 Rca Corp Semiconductor wafer transporting jig
US3645581A (en) * 1968-11-26 1972-02-29 Ind Modular Systems Corp Apparatus and method for handling and treating articles
US3930684A (en) * 1971-06-22 1976-01-06 Lasch Jr Cecil A Automatic wafer feeding and pre-alignment apparatus and method
DE2133843A1 (de) * 1971-07-07 1973-01-18 Siemens Ag Anordnung zum eindiffundieren von dotierstoffen in halbleiterscheiben
US3682083A (en) * 1971-07-19 1972-08-08 Jose R Puente Processing rack for photographic glass plates
US3701558A (en) * 1971-07-19 1972-10-31 Fluoroware Inc Detachable handle for receptacle
US3850296A (en) * 1971-07-21 1974-11-26 Shinetsu Handotai Kk Device and method for accommodating semiconductor wafers
US3737282A (en) * 1971-10-01 1973-06-05 Ibm Method for reducing crystallographic defects in semiconductor structures
US3819076A (en) * 1971-10-20 1974-06-25 C Oehler Special pallet type load transport apparatus
US3947236A (en) * 1971-11-29 1976-03-30 Lasch Jr Cecil A Fluid bearing transfer and heat treating apparatus and method
GB1334330A (en) * 1972-04-14 1973-10-17 Noguchi H Plastics trays for eggs
US3926305A (en) * 1973-07-12 1975-12-16 Fluoroware Inc Wafer basket
US3939973A (en) * 1974-01-14 1976-02-24 Fluoroware, Inc. Wafer basket and easily attached and detached carrier for same
US3923156A (en) * 1974-04-29 1975-12-02 Fluoroware Inc Wafer basket
US3923191A (en) * 1974-09-11 1975-12-02 Fluoroware Inc Wafer basket and handle
US3961877A (en) * 1974-09-11 1976-06-08 Fluoroware, Inc. Reinforced wafer basket
JPS5277590A (en) * 1975-12-24 1977-06-30 Toshiba Corp Semiconductor producing device
US4043451A (en) * 1976-03-18 1977-08-23 Fluoroware, Inc. Shipping container for silicone semiconductor wafers
GB1529485A (en) * 1976-08-12 1978-10-18 Worldwide Plastics Dev Collapsible container
LU77487A1 (ja) * 1977-06-06 1977-09-22
US4228902A (en) * 1979-02-21 1980-10-21 Kasper Instruments, Inc. Carrier for semiconductive wafers
JPS6032761Y2 (ja) * 1979-05-11 1985-09-30 富士通株式会社 石英ボ−ト
US4256229A (en) * 1979-09-17 1981-03-17 Rockwell International Corporation Boat for wafer processing
DE2937691A1 (de) * 1979-09-18 1981-04-02 Luther, Erich, Ing.(Grad.) Vorrichtung zum stapeln plattenfoermiger gegenstaende
US4318749A (en) * 1980-06-23 1982-03-09 Rca Corporation Wettable carrier in gas drying system for wafers
US4355974A (en) * 1980-11-24 1982-10-26 Asq Boats, Inc. Wafer boat
US4471716A (en) * 1981-01-15 1984-09-18 Fluoroware, Inc. Wafer carrier
JPS57141927A (en) * 1981-01-15 1982-09-02 Fluoroware Inc Wafer treating container
US4559535A (en) * 1982-07-12 1985-12-17 Sigmatron Nova, Inc. System for displaying information with multiple shades of a color on a thin-film EL matrix display panel
US4450960A (en) * 1982-08-30 1984-05-29 Empak Inc. Package
US4508990A (en) * 1982-09-17 1985-04-02 Sigmatron Associates Thin-film EL panel mounting unit
US4584786A (en) * 1982-12-23 1986-04-29 Gte Automatic Electric Inc. Information panel assembly
US4570151A (en) * 1983-01-03 1986-02-11 Sigmatron Nova, Inc. Speedometer display of simulated analog needle and odometer on electroluminescent panel
US4511599A (en) * 1983-03-01 1985-04-16 Sigmatron Associates Mask for vacuum depositing back metal electrodes on EL panel
US4515104A (en) * 1983-05-13 1985-05-07 Asq Boats, Inc. Contiguous wafer boat
US4566839A (en) * 1983-05-18 1986-01-28 Microglass, Inc. Semiconductor wafer diffusion boat and method
US4520925A (en) * 1983-08-09 1985-06-04 Empak Inc. Package
US4493418A (en) * 1983-08-17 1985-01-15 Empak Inc. Wafer processing cassette
US4557382A (en) * 1983-08-17 1985-12-10 Empak Inc. Disk package
US4602189A (en) * 1983-10-13 1986-07-22 Sigmatron Nova, Inc. Light sink layer for a thin-film EL display panel
US4613793A (en) * 1984-08-06 1986-09-23 Sigmatron Nova, Inc. Light emission enhancing dielectric layer for EL panel
US4687097A (en) * 1984-12-11 1987-08-18 Empak, Inc. Wafer processing cassette
US4724963A (en) * 1985-02-20 1988-02-16 Empak, Inc. Wafer processing cassette
US4679689A (en) * 1985-09-03 1987-07-14 General Signal Corporation Processing, shipping and/or storage container for photomasks and/or wafers
US4724964A (en) * 1987-04-09 1988-02-16 Blispack Corporation Reusable plastic container mounted to backing board

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5704494A (en) * 1995-06-16 1998-01-06 Nihon Plast Co., Ltd. Disc holder

Also Published As

Publication number Publication date
KR900701036A (ko) 1990-08-17
CN1037616A (zh) 1989-11-29
DE68906637D1 (de) 1993-06-24
EP0365666A1 (en) 1990-05-02
JPH0719832B2 (ja) 1995-03-06
US4949848A (en) 1990-08-21
EP0365666B1 (en) 1993-05-19
WO1989010629A1 (en) 1989-11-02
CA1322999C (en) 1993-10-12
DE68906637T2 (de) 1993-10-07
KR0131013B1 (ko) 1998-04-14

Similar Documents

Publication Publication Date Title
JPH03500713A (ja) ウェハキャリア
EP0513275B1 (en) Wafer carrier
US5154301A (en) Wafer carrier
JP4601932B2 (ja) 基板収納ケース
ITTO990450A1 (it) Sopporto di substrato composito, in particolare di fette o dischi
JP4030280B2 (ja) 基板収納容器及びその製造方法
JP2002299428A (ja) 精密基板収納容器及びその製造方法
US6808668B2 (en) Process for fabricating composite substrate carrier
JP2002305239A (ja) 基板収納容器及びその製造方法
JP4745209B2 (ja) 基板用キャリア
JP3471068B2 (ja) 板体の支承部材およびそれを用いた板体支承用ホルダー
US5114018A (en) Versatile product carrier
JP4596681B2 (ja) 収納容器とその製造方法
JP2007161314A (ja) 電子部品搬送用トレイ
JP3180220B2 (ja) ウェハーキャリア
CN113178409B (zh) 承载装置及物料搬运系统
JPH10101177A (ja) 板状部品を収容するためのカセット
JPS59198731A (ja) 半導体ウエ−ハの収納キヤリア
JPH0810196Y2 (ja) ウエハキャリア
JP2001110886A (ja) 精密基板収納容器
JPH0138066Y2 (ja)
JPH04352677A (ja) 部品キャリア
JPH11171288A (ja) リードフレーム収納容器
JP2009021370A (ja) 基板収納容器及びその製造方法
JPH0289337A (ja) 半導体用ウェハーカセット

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees