JP4381908B2 - 誘導性プラズマリアクター - Google Patents
誘導性プラズマリアクター Download PDFInfo
- Publication number
- JP4381908B2 JP4381908B2 JP2004199302A JP2004199302A JP4381908B2 JP 4381908 B2 JP4381908 B2 JP 4381908B2 JP 2004199302 A JP2004199302 A JP 2004199302A JP 2004199302 A JP2004199302 A JP 2004199302A JP 4381908 B2 JP4381908 B2 JP 4381908B2
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- wafer
- charged particle
- particle filter
- reactor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32871—Means for trapping or directing unwanted particles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
- H01J37/32706—Polarising the substrate
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10S156/912—Differential etching apparatus having a vertical tube reactor
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- ing And Chemical Polishing (AREA)
Description
主としてイオン・エッチング工程に適した第1実施例について説明する。第1実施例によるプラズマリアクターでは、周波数の低い(0.1〜6MHz)高周波(RF)電力をプラズマに誘導結合させて、ウエハ保持通電電極近傍のガスをイオン化するエネルギーを提供し、また通電電極に低電力の高周波電圧を印加して、この電極上のウエハのイオン衝突エネルギーを制御する。ウエハは処理のために、この通電電極の位置またはそれより少し上の位置に置かれる。
図1の従来のプラズマリアクターでは、プラズマのイオン密度だけでなく、通電電極のシース電圧も、通電電極6に印加されるRF信号の振幅によって制御される。ソフト・エッチング(すなわち、ウエハのイオン・ボンバードメントのエネルギーが100ボルト台以下)を達成するためには、容量印加されるRF電力を、こうしたリアクターで従来使用されている電力以下に低下しなければならない。残念ながら、このように容量印加電力を低下しても、このシースの電圧降下が低下するだけでなく、このシースのイオン密度も低下する。通電電極のRF電圧が高い場合でも、こうした容量結合電力では、比較的低密度のイオンが発生するだけである。ウエハのエッチング速度は、このシースにおけるイオン密度とこのシースにおける電圧降下の積に比例するので、ウエハのエッチング速度は、これらの2つのパラメータのいずれか一方よりさらに急速に低下する。したがって、ソフト・エッチングでは、商業的な集積回路製造工程に適合しないほどスループットが低下する。
このDC成分は、電極の不等な面積および電子とイオンの不等な移動度の組合せ効果によって生成される。各プラズマ・シースは電子的に、抵抗、キャパシタンス、およびダイオードの並列組合せに相当する。シースを横切る電界は電子の大部分をシース領域から跳ね返し、104オーム台の大きいシース抵抗を発生させる。シース・インピーダンスの容量分は、周波数が非常に低いときは無視することができるが、周波数が高くなると、約500kHzで意味を持つほど充分に小さくなる。数MHz以上の周波数では、シース抵抗が充分大きいので、無視することができる。第1実施例の容量結合電力の周波数における高周波シース電圧成分の場合、これに該当する。
った値だけ増加する。これは0.075cmの真空ギャップに相当する。したがって、壁のキャパシタンスCs2は、図5に匹敵する寸法の図1に示すタイプのリアクターの場合の2分の1を少し超える程度である。
反応室の頂部には、北極を交互に下向きに配向した1組の磁石54がある。強磁性体のリターン・プレート55は、一番外側の2個の磁石によって生じる磁界の磁束を反射させるのに役立つ。磁石は、充分な磁界が経済的に得られるので、永久磁石を使用することが望ましい。この構成により、反応室50の頂部に約0.01テスラの交互方向の磁界の配列が形成され、これは電子をプラズマ体に跳ね返す磁気鏡のように作用する。これらの磁石による磁界は、これらの磁石の配貴間隔(2〜3cm程度)の2倍程度の距離だけ反応室内に浸透する。別の実施例として、この線形配列の磁石の代わりに、1組の同心環状磁石に置換し、前と同じように連続する磁石の北極を垂直方向に交互に反対向きに配向することができる。さらに別の実施例として、北極を垂直方向に配向した1枚の平坦な強磁性体の円板、または単一環状DCソレノイドを使用して、単一genetic鏡を形成することができる。これは、反応室の頂部近傍で数万分の1テスラという低い磁界を持つことができる。円板状の磁石を利用する実施例は、単純かつ安価であり、しかもリアクターの半径方向の対称性が維持されるので望ましい。これと対照的に、図6の磁石54による磁界は半径方向の対称性が欠如し、ウエハのエッチングの半径方向の対称性がわずかに低下する。
以上説明したのと同様の技術を用いて、レジスト除去のための解離ラジカルを豊富に生成することができる。前記のリアクターは、エッチングのためのイオンの生成を促進するように構成されているが、レジスト除去のためのリアクターは、解離を促進し、イオン化を最小限に止めるように構成することが望ましい。したがつて、本発明の第2実施例によるプラズマリアクターは、レジスト除去または同様の工程で使用するためには、分子を効率的に解離することができる。
波数と反比例するので、これらの周波数でプラズマ電位の変化は低下する。したがって、分割ファラデーシールドを使用せずに低周波数および高電流で作動することができ、あるいは代替的に、実効性の低い遮蔽を使用することもできる。
8は共用している。第3実施例のシステムは、2つのウエハを同時処理するように構成されており、スループットが2倍になる。
分割ファラデーシールドは接地され、スロットの幅が広くなっており、誘導コイルからプラズマにエネルギがわずかに容量結合することができる。これはプラズマ電位を多少変化させるが、プラズマを発火させ、プラズマ反応を維持するのに役立つ。プラズマ電位にわずかな変化があるものの(10ボルト台)、この変化は分割ファラデーシールドによって大幅に減少される。単一分割ファラデーシールドと荷電粒子フィルタのこの組合せにより、ウエハの荷電粒子曝露を事実上減少しながら、持続するプラズマ反応を容易に維持することができる。さらに、荷電粒子フィルタは、プラズマ内で発生するUV放射がウエハに達するのを阻止する。そうしなければこのUV放射は、ウエハのSiO2層あるいはSiO2/Si境界を損傷させる。最後に、荷電粒子フィルタの設計は中性活性種の拡散を事実上強化し、したがって一部の従来の障壁システムのように剥離の均一性を低下させることもない。
ウエハ7に達する荷電粒子電流を減少し、プラズマで発生するUV放射がウエハ7に達するのを阻止するために、プラズマ室202と処理室208の間に、荷電粒子フィルタ240を設ける。第3実施例では、分割ファラデーシールドがプラズマ電位の多少の変化を許容しているので、荷電粒子フィルタ240を設けることが特に望ましい。しかし、荷電粒子フィルタは、第2実施例およびその他の種類のリアクターやストリッピング・システムでも使用すると有利な場合がある。こうした荷電粒子フィルタは、荷電粒子をガス流から篩分けすることが望ましい多数の状況で適用することができる。
に電界を誘発することである。しかし、グリッド間の電位差は、グリッド間にイオン化を誘発しないように制限する必要がある。本発明の範囲は、荷電粒子の捕集を誘発する他の方法(例えば磁界を利用して流動ガス内の浮遊荷電粒子を導電性ベーンまたはプレートに向かわせ、そこで荷電粒子を捕集する方法など)を包含するのは勿論である。
離原子など)は実質的に妨害せずに通過させる。上部グリッドに対する負の電位を下部グリッドに印加すると、電界Eは、図15Aに示すように上部グリッドの方向に誘発される。したがって、フィルタ240に入った負の荷電粒子(例えば電子)は上部グリッド402に引き付けられ、正の荷電粒子(例えばイオン)は下部グリッド404に引き付けられる。この電界は、荷電粒子をグリッドに引き付け、そこで捕集してガス流から取り出すことにより、ガス流からの荷電粒子の諦分けを大幅に強化する。
2 プラズマ室
3 ガス供給源
4 排気システム
5 RF電源装置
6 通電電極
7 ウエハ
8 スリット弁
9 プラズマ体
10 プラズマ・シース
11 外側管
12 内側管
13 穴
14 ガス管
15−16 電極
17 ウエハ
21−24 シース・インピーダンスをモデル化した要素
25 低インピーダンス抵抗
26−28 シース・インピーダンスをモデル化した要素
31 RF信号
32 プラズマ電圧
33 信号32のピーク(波高値)
34 信号31のピーク(波高値)
35 接地
36 通電電極のシース電圧
40 ベース(基台)
41 室壁(反応室の壁)
42 誘導コイル
43 第1RF電源
44 マッチングネットワーク
45 ファラデーシールド
46 ファラデーシールドの導電性板
47 コイル42の高電圧端
48 ファラデーシールドの導電板間の隙間(ギャップ)
49 ガス供給源
50 プラズマ室
51 第2RF電源
52 通電電極
53 接地された導電板
54 磁石
55 強磁性体のリターン・プレート
56 導電性コイル
57 DC電流源
58 排気口
59 排気システム
60 アルミニウム・ブロック
61 加熱装置
62 プラズマ
63 内側分割ファラデーシールド
64 外側分割ファラデーシールド
71 RF電圧信号
72 プラズマ電圧信号
73 プラズマ電圧信号のピーク(波高値)
74 RF電圧信号のピーク(波高値)
76 プラズマ電圧信号のDC成分
92 E/Ng〜2.2×10−16 Vcm2の場合の電子エネルギ分布曲線
94 E/Ng〜4.4×10−16 Vcm2の場合の電子エネルギ分布曲線
96 E/Ng〜8.8×10−16 Vcm2の場合の電子エネルギ分布曲線
98 E/Ng〜10.9×10−16 Vcm2の場合の電子エネルギ分布曲線
202 プラズマ室
204 ガス供給システム
206 排気システム
208 ウエハ処理室
210 処理室の壁
212 アルミニウム・ブロック
213 セラミック支持台
214 遮断弁
215 スロットル弁
216 発生室の壁
217 発生室の頂部ふた
218 仕切り
220 投入管
222 ノズル
224 誘導コイル
226 分割ファラデーシールド
228 分割ファラデーシールドのスロット
230 スロットの上部
231 スロットの中間部
232 DC電源
234 スロットの下部
240 荷電粒子フィルタ
302 処理室の幅
304 処理室の奥行
306 外径
308 距離
402 上部グリッド
404 下部グリッド
406 絶縁材のリングまたは層
408 グリッド402の穴の配列
410 グリッド404の穴の配列
420 上部グリッド
422 中間グリッド
424 下部グリッド
426 絶縁材のリングまたは層
428 グリッド424の穴の配列
430 グリヅド422の穴の配列
432 グリッド420の穴の配列
502 フィルタ・システム
504 上部導電性リング
506 下部導電性リング
508 絶縁材のリング
Claims (15)
- a) 荷電粒子および活性化中性種を含有するプラズマを発生するプラズマ発生領域を有する室と、
b) プラズマ発生領域から距離をおいて配置した処理予定の基板と、
c) プラズマ発生領域と前記基板との間に形成された流動経路と、
d) 無線周波数の第1電源と、
e) 前記室のプラズマ発生領域に隣接した誘導コイルであって、前記無線周波数の第1電源に接続されることで同第1電源からプラズマに電力を誘導する誘導コイルと、
f) プラズマ発生領域と、流動経路を横切る基板との間に配置された荷電粒子フィルターであって、同荷電粒子フィルターは、第1と第2のグリッドが短い距離の間隔をおいて配置され、かつ、荷電粒子フィルターのこれらグリッドの少なくとも一方の厚みが前記短い距離よりも大きく、
g) 前記誘導コイルとプラズマとの間の分割ファラデーシールドであって、同分割ファラデーシールドは、誘導コイルが第1無線周波数の第1電源からプラズマに電力を誘導結合するように、少なくとも一つの実質的に非導電性ギャップを形成する分割ファラデーシールドを備え、
h) その分割ファラデーシールドが、前記誘導コイルとプラズマの間の容量結合を実質的に低下させ、その結果、荷電粒子フィルターの電位に対するプラズマ電位の変調を低下させることを特徴とした基板処理用プラズマリアクター。 - 荷電粒子フィルターが導電性材料を含有している請求項1に記載のプラズマリアクター。
- 荷電粒子フィルターが実質的に平面であり、そして誘導コイルが荷電粒子フィルターに実質的に平行な誘導電場を前記室内に発生する請求項1に記載のプラズマリアクター。
- 荷電粒子フィルターが導電性材料を含有している請求項3に記載のプラズマリアクター。
- 荷電粒子フィルターが少なくとも一つの凹んだ領域を形成し、そして分割ファラデーシールドが、荷電粒子フィルターの凹んだ領域に凹んだカソードの放電が起こるのを防止する請求項2に記載のプラズマリアクター。
- 第1のグリッドは、近接して配置された一連の開口を有し、第2グリッドは、第1グリッドの前記した一連の開口からずらして(offset)、それ自体近接して間隔をおいて配置された一連の開口を有したことを特徴とする請求項2に記載のプラズマリアクター。
- さらに、荷電粒子が荷電粒子フィルターに引き付けられるように、荷電粒子フィルターに結合された第2電源を備えてなる請求項2に記載のプラズマリアクター。
- さらに、荷電粒子が荷電粒子フィルターのグリッドに引き付けられるように、電場を荷電粒子フィルター内に誘導する第2電源を備えてなる請求項6に記載のプラズマリアクター。
- a) プラズマを発生するプラズマ室と、
b) 無線周波数第1電源と、
c) プラズマ室に隣接し、かつ前記第1電源に結合されて同第1電源からプラズマに電力を誘導結合する誘導コイルと、
d) 誘導コイルとプラズマの間に配置され、かつ誘導コイルが高周波の第1電源からプラズマに電力を誘導結合するように少なくとも一つの実質的に非導電性のギャップを形成する分割ファラデーシールドと、
e) 処理予定の基板が、生成プラズマに暴露されるように配置された基板の支持体を備えてなり、
f) 可変インピーダンスが分割ファラデーシールドと接地電位の間に与えられ、かつ、その可変インピーダンスが、処理中、無線周波数の第1電源の与えられた周波数に対して低くなるように選択されることを特徴とした基板処理用プラズマリアクター。 - 可変インピーダンスが、プラズマリアクターが点火中、与えられた周波数に対して高くなるよう選択される請求項9に記載のプラズマリアクター。
- 可変インピーダンスが直列LC回路によって与えられる請求項9に記載のプラズマリアクター。
- 前記直列LC回路を可変キャパシタを備えている請求項11に記載のプラズマリアクター。
- さらに、プラズマと基板の間に配置された荷電粒子フィルターを備えてなる請求項9に記載のプラズマリアクター。
- 荷電粒子フィルターが導電性材料を含有している請求項13に記載のプラズマリアクター。
- 荷電粒子フィルターが、一連の相互に近接した開口を形成する第1のグリッドと、前記第1グリッドの近接して設けた一連の開口からずらして近接して設けた一連の開口を形成する第2グリッドとを含む複数の近接したグリッドを有したことを特徴とする請求項14に記載のプラズマリアクター。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/340,696 US5811022A (en) | 1994-11-15 | 1994-11-15 | Inductive plasma reactor |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP51620796A Division JP3737514B2 (ja) | 1994-11-15 | 1995-11-13 | 誘導性プラズマリアクター |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005045231A JP2005045231A (ja) | 2005-02-17 |
JP4381908B2 true JP4381908B2 (ja) | 2009-12-09 |
Family
ID=23334543
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP51620796A Expired - Lifetime JP3737514B2 (ja) | 1994-11-15 | 1995-11-13 | 誘導性プラズマリアクター |
JP2004199302A Expired - Lifetime JP4381908B2 (ja) | 1994-11-15 | 2004-07-06 | 誘導性プラズマリアクター |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP51620796A Expired - Lifetime JP3737514B2 (ja) | 1994-11-15 | 1995-11-13 | 誘導性プラズマリアクター |
Country Status (3)
Country | Link |
---|---|
US (3) | US5811022A (ja) |
JP (2) | JP3737514B2 (ja) |
WO (1) | WO1996015545A1 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9831260B2 (en) | 2016-03-02 | 2017-11-28 | Samsung Electronics Co., Ltd. | Semiconductor memory devices |
KR20190139324A (ko) * | 2017-06-09 | 2019-12-17 | 맷슨 테크놀로지, 인크. | 균일성 제어를 이용하는 플라즈마 스트립 도구 |
TWI763793B (zh) * | 2017-06-09 | 2022-05-11 | 美商得昇科技股份有限公司 | 電漿處理裝置 |
Families Citing this family (411)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5811022A (en) * | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
US5573595A (en) * | 1995-09-29 | 1996-11-12 | Lam Research Corporation | Methods and apparatus for generating plasma |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US6794301B2 (en) | 1995-10-13 | 2004-09-21 | Mattson Technology, Inc. | Pulsed plasma processing of semiconductor substrates |
US5983828A (en) * | 1995-10-13 | 1999-11-16 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US5780359A (en) * | 1995-12-11 | 1998-07-14 | Applied Materials, Inc. | Polymer removal from top surfaces and sidewalls of a semiconductor wafer |
KR100440418B1 (ko) | 1995-12-12 | 2004-10-20 | 텍사스 인스트루먼츠 인코포레이티드 | 저압,저온의반도체갭충전처리방법 |
WO1997033300A1 (en) * | 1996-03-06 | 1997-09-12 | Mattson Technology, Inc. | Icp reactor having a conically-shaped plasma-generating section |
US6056848A (en) * | 1996-09-11 | 2000-05-02 | Ctp, Inc. | Thin film electrostatic shield for inductive plasma processing |
US5788778A (en) * | 1996-09-16 | 1998-08-04 | Applied Komatsu Technology, Inc. | Deposition chamber cleaning technique using a high power remote excitation source |
TW403959B (en) * | 1996-11-27 | 2000-09-01 | Hitachi Ltd | Plasma treatment device |
JPH10223607A (ja) * | 1997-02-03 | 1998-08-21 | Mitsubishi Electric Corp | プラズマ処理装置 |
US8779322B2 (en) | 1997-06-26 | 2014-07-15 | Mks Instruments Inc. | Method and apparatus for processing metal bearing gases |
US6924455B1 (en) | 1997-06-26 | 2005-08-02 | Applied Science & Technology, Inc. | Integrated plasma chamber and inductively-coupled toroidal plasma source |
US6815633B1 (en) | 1997-06-26 | 2004-11-09 | Applied Science & Technology, Inc. | Inductively-coupled toroidal plasma source |
US6388226B1 (en) | 1997-06-26 | 2002-05-14 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US7569790B2 (en) | 1997-06-26 | 2009-08-04 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6083363A (en) * | 1997-07-02 | 2000-07-04 | Tokyo Electron Limited | Apparatus and method for uniform, low-damage anisotropic plasma processing |
US6232233B1 (en) * | 1997-09-30 | 2001-05-15 | Siemens Aktiengesellschaft | Methods for performing planarization and recess etches and apparatus therefor |
US6392187B1 (en) * | 1997-10-15 | 2002-05-21 | Tokyo Electron Limited | Apparatus and method for utilizing a plasma density gradient to produce a flow of particles |
WO1999019526A2 (en) * | 1997-10-15 | 1999-04-22 | Tokyo Electron Limited | Apparatus and method for adjusting density distribution of a plasma |
US6379575B1 (en) * | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US6797188B1 (en) | 1997-11-12 | 2004-09-28 | Meihua Shen | Self-cleaning process for etching silicon-containing material |
US6322714B1 (en) | 1997-11-12 | 2001-11-27 | Applied Materials Inc. | Process for etching silicon-containing material on substrates |
US6872322B1 (en) | 1997-11-12 | 2005-03-29 | Applied Materials, Inc. | Multiple stage process for cleaning process chambers |
US6136211A (en) * | 1997-11-12 | 2000-10-24 | Applied Materials, Inc. | Self-cleaning etch process |
US5903106A (en) * | 1997-11-17 | 1999-05-11 | Wj Semiconductor Equipment Group, Inc. | Plasma generating apparatus having an electrostatic shield |
WO1999026277A1 (en) | 1997-11-17 | 1999-05-27 | Mattson Technology, Inc. | Systems and methods for plasma enhanced processing of semiconductor wafers |
US6015597A (en) * | 1997-11-26 | 2000-01-18 | 3M Innovative Properties Company | Method for coating diamond-like networks onto particles |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6041734A (en) * | 1997-12-01 | 2000-03-28 | Applied Materials, Inc. | Use of an asymmetric waveform to control ion bombardment during substrate processing |
US6136388A (en) * | 1997-12-01 | 2000-10-24 | Applied Materials, Inc. | Substrate processing chamber with tunable impedance |
US7004107B1 (en) | 1997-12-01 | 2006-02-28 | Applied Materials Inc. | Method and apparatus for monitoring and adjusting chamber impedance |
US6041735A (en) * | 1998-03-02 | 2000-03-28 | Ball Semiconductor, Inc. | Inductively coupled plasma powder vaporization for fabricating integrated circuits |
US6352049B1 (en) | 1998-02-09 | 2002-03-05 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
JP2002503031A (ja) * | 1998-02-09 | 2002-01-29 | アプライド マテリアルズ インコーポレイテッド | 種密度を個別制御するプラズマアシスト処理チャンバ |
US6635578B1 (en) | 1998-02-09 | 2003-10-21 | Applied Materials, Inc | Method of operating a dual chamber reactor with neutral density decoupled from ion density |
US6074514A (en) * | 1998-02-09 | 2000-06-13 | Applied Materials, Inc. | High selectivity etch using an external plasma discharge |
US6055928A (en) * | 1998-03-02 | 2000-05-02 | Ball Semiconductor, Inc. | Plasma immersion ion processor for fabricating semiconductor integrated circuits |
US6273022B1 (en) * | 1998-03-14 | 2001-08-14 | Applied Materials, Inc. | Distributed inductively-coupled plasma source |
US5935874A (en) * | 1998-03-31 | 1999-08-10 | Lam Research Corporation | Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system |
US6203657B1 (en) | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US6611249B1 (en) | 1998-07-22 | 2003-08-26 | Silicon Graphics, Inc. | System and method for providing a wide aspect ratio flat panel display monitor independent white-balance adjustment and gamma correction capabilities |
US6335293B1 (en) | 1998-07-13 | 2002-01-01 | Mattson Technology, Inc. | Systems and methods for two-sided etch of a semiconductor substrate |
US6218640B1 (en) * | 1999-07-19 | 2001-04-17 | Timedomain Cvd, Inc. | Atmospheric pressure inductive plasma apparatus |
US6613681B1 (en) * | 1998-08-28 | 2003-09-02 | Micron Technology, Inc. | Method of removing etch residues |
US6225237B1 (en) * | 1998-09-01 | 2001-05-01 | Micron Technology, Inc. | Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands |
JP2000091247A (ja) * | 1998-09-14 | 2000-03-31 | Tokyo Electron Ltd | プラズマ処理装置 |
US6558504B1 (en) * | 1998-12-21 | 2003-05-06 | Research Triangle Institute | Plasma processing system and method |
US6610257B2 (en) | 1999-01-11 | 2003-08-26 | Ronald A. Vane | Low RF power electrode for plasma generation of oxygen radicals from air |
DE69919499T2 (de) * | 1999-01-14 | 2005-09-08 | Vlaamse Instelling Voor Technologisch Onderzoek, Afgekort V.I.T.O. | Vorrichtung zum Aufbringen von Beschichtungen auf ein Substrat durch eine induktiv-angekoppelte magnetisch-begrenzte Plasmaquelle |
US6248251B1 (en) * | 1999-02-19 | 2001-06-19 | Tokyo Electron Limited | Apparatus and method for electrostatically shielding an inductively coupled RF plasma source and facilitating ignition of a plasma |
US6388382B1 (en) | 1999-03-09 | 2002-05-14 | Hitachi, Ltd. | Plasma processing apparatus and method |
US6703319B1 (en) * | 1999-06-17 | 2004-03-09 | Micron Technology, Inc. | Compositions and methods for removing etch residue |
DE19927806A1 (de) * | 1999-06-18 | 2001-01-04 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung |
US6890860B1 (en) * | 1999-06-30 | 2005-05-10 | Cypress Semiconductor Corporation | Method for etching and/or patterning a silicon-containing layer |
US6688948B2 (en) * | 1999-07-07 | 2004-02-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wafer surface protection method |
US6447637B1 (en) * | 1999-07-12 | 2002-09-10 | Applied Materials Inc. | Process chamber having a voltage distribution electrode |
JP4108228B2 (ja) * | 1999-07-15 | 2008-06-25 | 富士通株式会社 | 半導体装置の製造方法 |
US20030015496A1 (en) * | 1999-07-22 | 2003-01-23 | Sujit Sharan | Plasma etching process |
US6372151B1 (en) | 1999-07-27 | 2002-04-16 | Applied Materials, Inc. | Storage poly process without carbon contamination |
JP3374796B2 (ja) * | 1999-08-06 | 2003-02-10 | 松下電器産業株式会社 | プラズマ処理方法及び装置 |
US6805139B1 (en) | 1999-10-20 | 2004-10-19 | Mattson Technology, Inc. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
US20050022839A1 (en) * | 1999-10-20 | 2005-02-03 | Savas Stephen E. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
JP2003514388A (ja) * | 1999-11-15 | 2003-04-15 | ラム リサーチ コーポレーション | 処理システム用の材料およびガス化学剤 |
US6341574B1 (en) | 1999-11-15 | 2002-01-29 | Lam Research Corporation | Plasma processing systems |
US6322661B1 (en) * | 1999-11-15 | 2001-11-27 | Lam Research Corporation | Method and apparatus for controlling the volume of a plasma |
US6599842B2 (en) * | 1999-11-29 | 2003-07-29 | Applied Materials, Inc. | Method for rounding corners and removing damaged outer surfaces of a trench |
WO2001046492A1 (en) * | 1999-12-22 | 2001-06-28 | Tokyo Electron Limited | Method and system for reducing damage to substrates during plasma processing with a resonator source |
US6420842B1 (en) | 2000-01-11 | 2002-07-16 | American Superconductor Corporation | Exciter and electronic regulator for rotating machinery |
US6426280B2 (en) | 2000-01-26 | 2002-07-30 | Ball Semiconductor, Inc. | Method for doping spherical semiconductors |
US6527968B1 (en) | 2000-03-27 | 2003-03-04 | Applied Materials Inc. | Two-stage self-cleaning silicon etch process |
US6498107B1 (en) * | 2000-05-01 | 2002-12-24 | Epion Corporation | Interface control for film deposition by gas-cluster ion-beam processing |
US6418874B1 (en) | 2000-05-25 | 2002-07-16 | Applied Materials, Inc. | Toroidal plasma source for plasma processing |
EP1178134A1 (fr) * | 2000-08-04 | 2002-02-06 | Cold Plasma Applications C.P.A. | Procédé et dispositif pour traiter des substrats métalliques au défilé par plasma |
US6450117B1 (en) | 2000-08-07 | 2002-09-17 | Applied Materials, Inc. | Directing a flow of gas in a substrate processing chamber |
KR100382720B1 (ko) * | 2000-08-30 | 2003-05-09 | 삼성전자주식회사 | 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법 |
US6905800B1 (en) | 2000-11-21 | 2005-06-14 | Stephen Yuen | Etching a substrate in a process zone |
US6706142B2 (en) * | 2000-11-30 | 2004-03-16 | Mattson Technology, Inc. | Systems and methods for enhancing plasma processing of a semiconductor substrate |
US7591957B2 (en) * | 2001-01-30 | 2009-09-22 | Rapt Industries, Inc. | Method for atmospheric pressure reactive atom plasma processing for surface modification |
US7510664B2 (en) | 2001-01-30 | 2009-03-31 | Rapt Industries, Inc. | Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces |
US6634313B2 (en) | 2001-02-13 | 2003-10-21 | Applied Materials, Inc. | High-frequency electrostatically shielded toroidal plasma and radical source |
US6685799B2 (en) * | 2001-03-14 | 2004-02-03 | Applied Materials Inc. | Variable efficiency faraday shield |
US20020148565A1 (en) * | 2001-04-12 | 2002-10-17 | Applied Materials, Inc. | Mushroom stem wafer pedestal for improved conductance and uniformity |
US6755150B2 (en) | 2001-04-20 | 2004-06-29 | Applied Materials Inc. | Multi-core transformer plasma source |
US20020158047A1 (en) * | 2001-04-27 | 2002-10-31 | Yiqiong Wang | Formation of an optical component having smooth sidewalls |
US6548416B2 (en) * | 2001-07-24 | 2003-04-15 | Axcelis Technolgoies, Inc. | Plasma ashing process |
JP4219628B2 (ja) * | 2001-07-27 | 2009-02-04 | 東京エレクトロン株式会社 | プラズマ処理装置および基板載置台 |
US7138336B2 (en) * | 2001-08-06 | 2006-11-21 | Asm Genitech Korea Ltd. | Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof |
US6820570B2 (en) | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
US6676760B2 (en) | 2001-08-16 | 2004-01-13 | Appiled Materials, Inc. | Process chamber having multiple gas distributors and method |
US6660177B2 (en) | 2001-11-07 | 2003-12-09 | Rapt Industries Inc. | Apparatus and method for reactive atom plasma processing for material deposition |
KR100760291B1 (ko) * | 2001-11-08 | 2007-09-19 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
KR100481313B1 (ko) | 2001-11-09 | 2005-04-07 | 최대규 | 유도결합 플라즈마 반응기 |
KR100446619B1 (ko) * | 2001-12-14 | 2004-09-04 | 삼성전자주식회사 | 유도 결합 플라즈마 장치 |
US7513971B2 (en) | 2002-03-18 | 2009-04-07 | Applied Materials, Inc. | Flat style coil for improved precision etch uniformity |
KR100829327B1 (ko) * | 2002-04-05 | 2008-05-13 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반응 용기 |
JP2003323997A (ja) * | 2002-04-30 | 2003-11-14 | Lam Research Kk | プラズマ安定化方法およびプラズマ装置 |
US20070051471A1 (en) * | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
US20040142558A1 (en) * | 2002-12-05 | 2004-07-22 | Granneman Ernst H. A. | Apparatus and method for atomic layer deposition on substrates |
US7183514B2 (en) * | 2003-01-30 | 2007-02-27 | Axcelis Technologies, Inc. | Helix coupled remote plasma source |
DE10308539B3 (de) * | 2003-02-27 | 2004-06-03 | Bauer Maschinen Gmbh | Fräsvorrichtung zum Fräsen von Schlitzen im Boden |
US7371992B2 (en) | 2003-03-07 | 2008-05-13 | Rapt Industries, Inc. | Method for non-contact cleaning of a surface |
US7232767B2 (en) * | 2003-04-01 | 2007-06-19 | Mattson Technology, Inc. | Slotted electrostatic shield modification for improved etch and CVD process uniformity |
US7972467B2 (en) * | 2003-04-17 | 2011-07-05 | Applied Materials Inc. | Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor |
US7601223B2 (en) * | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US7537662B2 (en) | 2003-04-29 | 2009-05-26 | Asm International N.V. | Method and apparatus for depositing thin films on a surface |
US6879109B2 (en) * | 2003-05-15 | 2005-04-12 | Axcelis Technologies, Inc. | Thin magnetron structures for plasma generation in ion implantation systems |
EP1480250A1 (en) * | 2003-05-22 | 2004-11-24 | HELYSSEN S.à.r.l. | A high density plasma reactor and RF-antenna therefor |
US20040237897A1 (en) * | 2003-05-27 | 2004-12-02 | Hiroji Hanawa | High-Frequency electrostatically shielded toroidal plasma and radical source |
US7230258B2 (en) * | 2003-07-24 | 2007-06-12 | Intel Corporation | Plasma-based debris mitigation for extreme ultraviolet (EUV) light source |
US20050048788A1 (en) * | 2003-08-26 | 2005-03-03 | Tang Woody K. Sattayapiwat | Methods of reducing or removing micromasking residue prior to metal etch using oxide hardmask |
US20050194099A1 (en) * | 2004-03-03 | 2005-09-08 | Jewett Russell F.Jr. | Inductively coupled plasma source using induced eddy currents |
JP2005260060A (ja) * | 2004-03-12 | 2005-09-22 | Semiconductor Leading Edge Technologies Inc | レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置 |
US7276122B2 (en) * | 2004-04-21 | 2007-10-02 | Mattson Technology, Inc. | Multi-workpiece processing chamber |
US8038858B1 (en) * | 2004-04-28 | 2011-10-18 | Alameda Applied Sciences Corp | Coaxial plasma arc vapor deposition apparatus and method |
US20050258148A1 (en) * | 2004-05-18 | 2005-11-24 | Nordson Corporation | Plasma system with isolated radio-frequency powered electrodes |
DE102004029466A1 (de) * | 2004-06-18 | 2006-01-05 | Leybold Optics Gmbh | Medieninjektor |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) * | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US20070193602A1 (en) * | 2004-07-12 | 2007-08-23 | Savas Stephen E | Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing |
US7400096B1 (en) * | 2004-07-19 | 2008-07-15 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Large area plasma source |
US7172969B2 (en) * | 2004-08-26 | 2007-02-06 | Tokyo Electron Limited | Method and system for etching a film stack |
JP4633425B2 (ja) | 2004-09-17 | 2011-02-16 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
CN2737419Y (zh) * | 2004-09-20 | 2005-11-02 | 张国鸿 | 一种置球袋 |
KR100599092B1 (ko) * | 2004-11-29 | 2006-07-12 | 삼성전자주식회사 | 구동 주파수 조절에 의한 전자기유도 가속장치 |
US20060118240A1 (en) * | 2004-12-03 | 2006-06-08 | Applied Science And Technology, Inc. | Methods and apparatus for downstream dissociation of gases |
US7700494B2 (en) * | 2004-12-30 | 2010-04-20 | Tokyo Electron Limited, Inc. | Low-pressure removal of photoresist and etch residue |
US7344993B2 (en) * | 2005-01-11 | 2008-03-18 | Tokyo Electron Limited, Inc. | Low-pressure removal of photoresist and etch residue |
JP4951501B2 (ja) * | 2005-03-01 | 2012-06-13 | 株式会社日立国際電気 | 基板処理装置および半導体デバイスの製造方法 |
WO2006106764A1 (ja) * | 2005-03-30 | 2006-10-12 | Matsushita Electric Industrial Co., Ltd. | 伝送線路 |
JP2006332336A (ja) * | 2005-05-26 | 2006-12-07 | Toshiba Corp | フォトマスク用プラズマエッチング装置およびエッチング方法 |
US7396415B2 (en) * | 2005-06-02 | 2008-07-08 | Asm America, Inc. | Apparatus and methods for isolating chemical vapor reactions at a substrate surface |
KR100709354B1 (ko) * | 2005-06-17 | 2007-04-20 | 삼성전자주식회사 | 다채널 플라즈마 가속장치 |
KR100823949B1 (ko) * | 2005-06-30 | 2008-04-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 포토마스크 플라즈마 에칭 방법 및 장치 |
KR100766093B1 (ko) * | 2005-07-13 | 2007-10-11 | 삼성전자주식회사 | 플라즈마를 분리 가속시키는 중성 빔 에칭 장치 |
KR101123003B1 (ko) * | 2005-08-04 | 2012-03-12 | 주성엔지니어링(주) | 플라즈마 처리 장치 |
CN100358099C (zh) * | 2005-08-05 | 2007-12-26 | 中微半导体设备(上海)有限公司 | 等离子体处理装置 |
US8366829B2 (en) * | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
US20070264427A1 (en) * | 2005-12-21 | 2007-11-15 | Asm Japan K.K. | Thin film formation by atomic layer growth and chemical vapor deposition |
US7685965B1 (en) * | 2006-01-26 | 2010-03-30 | Lam Research Corporation | Apparatus for shielding process chamber port |
US8176871B2 (en) * | 2006-03-28 | 2012-05-15 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus |
US7947605B2 (en) * | 2006-04-19 | 2011-05-24 | Mattson Technology, Inc. | Post ion implant photoresist strip using a pattern fill and method |
US20070267143A1 (en) * | 2006-05-16 | 2007-11-22 | Applied Materials, Inc. | In situ cleaning of CVD system exhaust |
US7777152B2 (en) * | 2006-06-13 | 2010-08-17 | Applied Materials, Inc. | High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck |
US20080017794A1 (en) * | 2006-07-18 | 2008-01-24 | Zyvex Corporation | Coaxial ring ion trap |
WO2008024392A2 (en) | 2006-08-22 | 2008-02-28 | Valery Godyak | Inductive plasma source with high coupling efficiency |
US8992725B2 (en) * | 2006-08-28 | 2015-03-31 | Mattson Technology, Inc. | Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil |
WO2008070331A2 (en) * | 2006-10-25 | 2008-06-12 | Continental Automotive Systems Us, Inc. | Configurable protocol identification device |
US7897008B2 (en) * | 2006-10-27 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for regional plasma control |
US7909961B2 (en) * | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080156264A1 (en) * | 2006-12-27 | 2008-07-03 | Novellus Systems, Inc. | Plasma Generator Apparatus |
US20080241387A1 (en) * | 2007-03-29 | 2008-10-02 | Asm International N.V. | Atomic layer deposition reactor |
US7605008B2 (en) * | 2007-04-02 | 2009-10-20 | Applied Materials, Inc. | Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma |
JP4703604B2 (ja) * | 2007-05-23 | 2011-06-15 | 株式会社東芝 | 磁気記録媒体およびその製造方法 |
WO2008154222A1 (en) * | 2007-06-06 | 2008-12-18 | Mks Instruments, Inc. | Particle reduction through gas and plasma source control |
US7976674B2 (en) * | 2007-06-13 | 2011-07-12 | Tokyo Electron Limited | Embedded multi-inductive large area plasma source |
US9105449B2 (en) * | 2007-06-29 | 2015-08-11 | Lam Research Corporation | Distributed power arrangements for localizing power delivery |
US7972471B2 (en) * | 2007-06-29 | 2011-07-05 | Lam Research Corporation | Inductively coupled dual zone processing chamber with single planar antenna |
US8528498B2 (en) * | 2007-06-29 | 2013-09-10 | Lam Research Corporation | Integrated steerability array arrangement for minimizing non-uniformity |
US20090000738A1 (en) * | 2007-06-29 | 2009-01-01 | Neil Benjamin | Arrays of inductive elements for minimizing radial non-uniformity in plasma |
JP2009016453A (ja) * | 2007-07-02 | 2009-01-22 | Tokyo Electron Ltd | プラズマ処理装置 |
KR20090018290A (ko) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | 증착 장치 |
JP5568212B2 (ja) * | 2007-09-19 | 2014-08-06 | 株式会社日立国際電気 | 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法 |
EP2053631A1 (fr) * | 2007-10-22 | 2009-04-29 | Industrial Plasma Services & Technologies - IPST GmbH | Procédé et dispositif pour le traitement par plasma de substrats au défilé |
JP2011503844A (ja) * | 2007-11-01 | 2011-01-27 | ユージン テクノロジー カンパニー リミテッド | 高周波駆動誘導結合プラズマを用いたウェハ表面処理装置 |
US8387674B2 (en) | 2007-11-30 | 2013-03-05 | Taiwan Semiconductor Manufacturing Comany, Ltd. | Chip on wafer bonder |
US8118946B2 (en) | 2007-11-30 | 2012-02-21 | Wesley George Lau | Cleaning process residues from substrate processing chamber components |
US8092606B2 (en) | 2007-12-18 | 2012-01-10 | Asm Genitech Korea Ltd. | Deposition apparatus |
JP2009164365A (ja) * | 2008-01-08 | 2009-07-23 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP4309945B1 (ja) * | 2008-01-31 | 2009-08-05 | 株式会社東芝 | 磁気記録媒体の製造方法 |
US20090220865A1 (en) * | 2008-02-29 | 2009-09-03 | Applied Materials, Inc. | Method and apparatus for source field shaping in a plasma etch reactor |
US9591738B2 (en) * | 2008-04-03 | 2017-03-07 | Novellus Systems, Inc. | Plasma generator systems and methods of forming plasma |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US7723240B2 (en) * | 2008-05-15 | 2010-05-25 | Macronix International Co., Ltd. | Methods of low temperature oxidation |
US8916022B1 (en) | 2008-09-12 | 2014-12-23 | Novellus Systems, Inc. | Plasma generator systems and methods of forming plasma |
US20100130017A1 (en) * | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
US8236706B2 (en) * | 2008-12-12 | 2012-08-07 | Mattson Technology, Inc. | Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures |
JP5705290B2 (ja) * | 2009-01-15 | 2015-04-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
KR20110094346A (ko) * | 2009-01-15 | 2011-08-23 | 가부시키가이샤 히다치 하이테크놀로지즈 | 플라즈마 처리장치 및 플라즈마 생성장치 |
JP5410950B2 (ja) * | 2009-01-15 | 2014-02-05 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
JP2010192197A (ja) * | 2009-02-17 | 2010-09-02 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US7994724B2 (en) * | 2009-03-27 | 2011-08-09 | Ecole Polytechnique | Inductive plasma applicator |
US20100266765A1 (en) * | 2009-04-21 | 2010-10-21 | White Carl L | Method and apparatus for growing a thin film onto a substrate |
JP5227245B2 (ja) * | 2009-04-28 | 2013-07-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5136574B2 (ja) * | 2009-05-01 | 2013-02-06 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
KR101842675B1 (ko) | 2009-07-08 | 2018-03-27 | 플라즈마시, 인크. | 플라즈마 처리를 위한 장치 및 방법 |
US8349125B2 (en) * | 2009-07-24 | 2013-01-08 | Xei Scientific, Inc. | Cleaning device for transmission electron microscopes |
US8178280B2 (en) * | 2010-02-05 | 2012-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-contained proximity effect correction inspiration for advanced lithography (special) |
KR101205242B1 (ko) * | 2010-04-30 | 2012-11-27 | 주식회사 테라세미콘 | 플라즈마 처리 장치 |
US9174296B2 (en) * | 2010-10-20 | 2015-11-03 | Lam Research Corporation | Plasma ignition and sustaining methods and apparatuses |
CN102573429B (zh) * | 2010-12-09 | 2015-09-02 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 屏蔽装置、加工方法及设备、半导体设备 |
US9653264B2 (en) | 2010-12-17 | 2017-05-16 | Mattson Technology, Inc. | Inductively coupled plasma source for plasma processing |
DE102010055889B4 (de) * | 2010-12-21 | 2014-04-30 | Ushio Denki Kabushiki Kaisha | Verfahren und Vorrichtung zur Erzeugung kurzwelliger Strahlung mittels einer gasentladungsbasierten Hochfrequenzhochstromentladung |
US9380693B2 (en) | 2011-02-03 | 2016-06-28 | Tekna Plasma Systems Inc. | High performance induction plasma torch |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8617411B2 (en) * | 2011-07-20 | 2013-12-31 | Lam Research Corporation | Methods and apparatus for atomic layer etching |
KR101241049B1 (ko) | 2011-08-01 | 2013-03-15 | 주식회사 플라즈마트 | 플라즈마 발생 장치 및 플라즈마 발생 방법 |
JP5644719B2 (ja) * | 2011-08-24 | 2014-12-24 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置及びプラズマ発生装置 |
JP2013084552A (ja) * | 2011-09-29 | 2013-05-09 | Tokyo Electron Ltd | ラジカル選択装置及び基板処理装置 |
KR101246191B1 (ko) * | 2011-10-13 | 2013-03-21 | 주식회사 윈텔 | 플라즈마 장치 및 기판 처리 장치 |
EP2604293A1 (en) * | 2011-12-14 | 2013-06-19 | Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO | A surface cleaning device and a method of cleaning a surface. |
CN104350584B (zh) | 2012-05-23 | 2017-04-19 | 东京毅力科创株式会社 | 基板处理装置及基板处理方法 |
WO2014014907A1 (en) * | 2012-07-16 | 2014-01-23 | Mattson Technology, Inc. | Method for high aspect ratio photoresist removal in pure reducing plasma |
CN107195541B (zh) * | 2012-07-24 | 2020-07-24 | Ev 集团 E·索尔纳有限责任公司 | 永久结合晶圆的方法及装置 |
KR101377997B1 (ko) * | 2012-10-08 | 2014-03-25 | 주식회사 코디에스 | 플라즈마 에칭 장치 및 기체 분배장치 |
US9048190B2 (en) * | 2012-10-09 | 2015-06-02 | Applied Materials, Inc. | Methods and apparatus for processing substrates using an ion shield |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9636048B2 (en) | 2013-03-14 | 2017-05-02 | Group Mee Llc | Specialized sensors and techniques for monitoring personal activity |
US9018111B2 (en) * | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9837254B2 (en) | 2014-08-12 | 2017-12-05 | Lam Research Corporation | Differentially pumped reactive gas injector |
US10825652B2 (en) * | 2014-08-29 | 2020-11-03 | Lam Research Corporation | Ion beam etch without need for wafer tilt or rotation |
US9406535B2 (en) * | 2014-08-29 | 2016-08-02 | Lam Research Corporation | Ion injector and lens system for ion beam milling |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9536748B2 (en) | 2014-10-21 | 2017-01-03 | Lam Research Corporation | Use of ion beam etching to generate gate-all-around structure |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10297457B2 (en) | 2015-03-19 | 2019-05-21 | Mattson Technology, Inc. | Controlling azimuthal uniformity of etch process in plasma processing chamber |
JP6515665B2 (ja) * | 2015-05-07 | 2019-05-22 | 東京エレクトロン株式会社 | 基板処理装置 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9779955B2 (en) | 2016-02-25 | 2017-10-03 | Lam Research Corporation | Ion beam etching utilizing cryogenic wafer temperatures |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10811233B2 (en) * | 2016-08-13 | 2020-10-20 | Applied Materials, Inc. | Process chamber having tunable showerhead and tunable liner |
JP6715129B2 (ja) * | 2016-08-31 | 2020-07-01 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US20180143332A1 (en) | 2016-11-18 | 2018-05-24 | Plasma-Therm Llc | Ion Filter |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US20180174801A1 (en) * | 2016-12-21 | 2018-06-21 | Ulvac Technologies, Inc. | Apparatuses and methods for surface treatment |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US20180323045A1 (en) * | 2017-05-02 | 2018-11-08 | Tokyo Electron Limited | Manufacturing methods to reduce surface particle impurities after a plasma process |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10790119B2 (en) | 2017-06-09 | 2020-09-29 | Mattson Technology, Inc | Plasma processing apparatus with post plasma gas injection |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
CN107301943A (zh) * | 2017-07-27 | 2017-10-27 | 北京北方华创微电子装备有限公司 | 法拉第屏蔽件及反应腔室 |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
WO2019046648A1 (en) * | 2017-08-31 | 2019-03-07 | Prodew, Inc. | AIR TREATMENT SYSTEMS |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10804109B2 (en) * | 2017-10-03 | 2020-10-13 | Mattson Technology, Inc. | Surface treatment of silicon and carbon containing films by remote plasma with organic precursors |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
US10460914B2 (en) | 2017-11-30 | 2019-10-29 | Lam Research Corporation | Ferrite cage RF isolator for power circuitry |
KR20200072557A (ko) * | 2017-12-27 | 2020-06-22 | 매슨 테크놀로지 인크 | 플라즈마 처리 장치 및 방법 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US20200013591A1 (en) * | 2018-02-15 | 2020-01-09 | Yield Engineering Systems, Inc. | Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11387111B2 (en) | 2018-04-13 | 2022-07-12 | Mattson Technology, Inc. | Processing of workpieces with reactive species generated using alkyl halide |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
WO2019240930A1 (en) | 2018-06-11 | 2019-12-19 | Mattson Technology, Inc. | Generation of hydrogen reactive species for processing of workpieces |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
CN112313777A (zh) | 2018-10-15 | 2021-02-02 | 玛特森技术公司 | 用于选择性亲水表面处理的臭氧 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
CN112335017B (zh) | 2018-11-16 | 2024-06-18 | 玛特森技术公司 | 腔室上光以通过减少化学成分改善刻蚀均匀性 |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US10403492B1 (en) | 2018-12-11 | 2019-09-03 | Mattson Technology, Inc. | Integration of materials removal and surface treatment in semiconductor device fabrication |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
CN111593319B (zh) | 2019-02-20 | 2023-05-30 | Asm Ip私人控股有限公司 | 用于填充在衬底表面内形成的凹部的循环沉积方法和设备 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN112601836A (zh) | 2019-04-30 | 2021-04-02 | 玛特森技术公司 | 使用甲基化处理选择性沉积 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11049692B2 (en) | 2019-07-17 | 2021-06-29 | Mattson Technology, Inc. | Methods for tuning plasma potential using variable mode plasma chamber |
TW202118354A (zh) | 2019-07-17 | 2021-05-01 | 美商得昇科技股份有限公司 | 利用可調式電漿電位的可變模式電漿室 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11189464B2 (en) | 2019-07-17 | 2021-11-30 | Beijing E-town Semiconductor Technology Co., Ltd. | Variable mode plasma chamber utilizing tunable plasma potential |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
KR102540773B1 (ko) * | 2021-01-19 | 2023-06-12 | 피에스케이 주식회사 | 패러데이 실드 및 기판 처리 장치 |
US20240096599A1 (en) * | 2021-02-08 | 2024-03-21 | Hitachi High-Tech Corporation | Plasma processing device |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (47)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4116793A (en) * | 1974-12-23 | 1978-09-26 | Telic Corporation | Glow discharge method and apparatus |
US4252608A (en) * | 1979-03-16 | 1981-02-24 | The United States Of America As Represented By The United States Department Of Energy | Generating end plug potentials in tandem mirror plasma confinement by heating thermal particles so as to escape low density end stoppering plasmas |
US4431898A (en) * | 1981-09-01 | 1984-02-14 | The Perkin-Elmer Corporation | Inductively coupled discharge for plasma etching and resist stripping |
US4450787A (en) * | 1982-06-03 | 1984-05-29 | Rca Corporation | Glow discharge plasma deposition of thin films |
JPS5984528A (ja) * | 1982-11-08 | 1984-05-16 | Nec Kyushu Ltd | プラズマエツチング装置 |
JPS6016424A (ja) * | 1983-07-08 | 1985-01-28 | Fujitsu Ltd | マイクロ波プラズマ処理方法及びその装置 |
JPS6020440A (ja) * | 1983-07-14 | 1985-02-01 | Tokyo Daigaku | イオンビ−ム加工装置 |
GB8629634D0 (en) * | 1986-12-11 | 1987-01-21 | Dobson C D | Reactive ion & sputter etching |
DE3708717A1 (de) * | 1987-03-18 | 1988-09-29 | Hans Prof Dr Rer Nat Oechsner | Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss |
JPS6436021A (en) * | 1987-07-31 | 1989-02-07 | Canon Kk | Microwave plasma processor |
DE3801309A1 (de) * | 1988-01-19 | 1989-07-27 | Leybold Ag | Einrichtung fuer die regelung der targetgleichspannung und der biasgleichspannung von sputteranlagen |
US4961820A (en) * | 1988-06-09 | 1990-10-09 | Fujitsu Limited | Ashing method for removing an organic film on a substance of a semiconductor device under fabrication |
US4918031A (en) * | 1988-12-28 | 1990-04-17 | American Telephone And Telegraph Company,At&T Bell Laboratories | Processes depending on plasma generation using a helical resonator |
US5226056A (en) * | 1989-01-10 | 1993-07-06 | Nihon Shinku Gijutsu Kabushiki Kaisha | Plasma ashing method and apparatus therefor |
JPH02298024A (ja) * | 1989-05-12 | 1990-12-10 | Tadahiro Omi | リアクティブイオンエッチング装置 |
US5122251A (en) * | 1989-06-13 | 1992-06-16 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
DE4018954A1 (de) * | 1989-06-15 | 1991-01-03 | Mitsubishi Electric Corp | Trockenaetzgeraet |
US4948458A (en) * | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
JPH0744176B2 (ja) * | 1989-08-30 | 1995-05-15 | 株式会社東芝 | プラズマアッシング方法 |
US5556501A (en) | 1989-10-03 | 1996-09-17 | Applied Materials, Inc. | Silicon scavenger in an inductively coupled RF plasma reactor |
US6068784A (en) | 1989-10-03 | 2000-05-30 | Applied Materials, Inc. | Process used in an RF coupled plasma reactor |
JP3381916B2 (ja) * | 1990-01-04 | 2003-03-04 | マトソン テクノロジー,インコーポレイテッド | 低周波誘導型高周波プラズマ反応装置 |
KR910016054A (ko) * | 1990-02-23 | 1991-09-30 | 미다 가쓰시게 | 마이크로 전자 장치용 표면 처리 장치 및 그 방법 |
EP0450106A1 (de) * | 1990-03-30 | 1991-10-09 | Siemens Aktiengesellschaft | Verfahren und Vorrichtung zur Herstellung einer Titannitrid-Schicht für höchstintegrierte Schaltungen mittels chemischer Dampfphasenabscheidung |
US5198634A (en) * | 1990-05-21 | 1993-03-30 | Mattson Brad S | Plasma contamination removal process |
KR0176715B1 (ko) * | 1990-07-30 | 1999-04-15 | 오가 노리오 | 드라이에칭방법 |
JP2888258B2 (ja) * | 1990-11-30 | 1999-05-10 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
JPH04225226A (ja) * | 1990-12-26 | 1992-08-14 | Fujitsu Ltd | プラズマ処理装置 |
JPH0547717A (ja) * | 1991-01-22 | 1993-02-26 | Tokyo Electron Ltd | プラズマ表面処理の終点検出方法及びプラズマ表面処理装置の状態監視方法 |
JPH04253328A (ja) * | 1991-01-29 | 1992-09-09 | Hitachi Ltd | 表面処理装置 |
JP3115015B2 (ja) * | 1991-02-19 | 2000-12-04 | 東京エレクトロン株式会社 | 縦型バッチ処理装置 |
JPH04354331A (ja) * | 1991-05-31 | 1992-12-08 | Sony Corp | ドライエッチング方法 |
JPH05326452A (ja) * | 1991-06-10 | 1993-12-10 | Kawasaki Steel Corp | プラズマ処理装置及び方法 |
US5228052A (en) * | 1991-09-11 | 1993-07-13 | Nihon Shinku Gijutsu Kabushiki Kaisha | Plasma ashing apparatus |
US5234529A (en) * | 1991-10-10 | 1993-08-10 | Johnson Wayne L | Plasma generating apparatus employing capacitive shielding and process for using such apparatus |
JP3401801B2 (ja) * | 1992-06-17 | 2003-04-28 | 株式会社日立製作所 | イオンビーム装置 |
US5462629A (en) * | 1992-08-28 | 1995-10-31 | Kawasaki Steel Corp. | Surface processing apparatus using neutral beam |
JPH0684837A (ja) * | 1992-09-04 | 1994-03-25 | Mitsubishi Electric Corp | プラズマ処理装置 |
JP2625072B2 (ja) * | 1992-09-08 | 1997-06-25 | アプライド マテリアルズ インコーポレイテッド | 電磁rf結合を用いたプラズマ反応装置及びその方法 |
JPH06163465A (ja) * | 1992-11-24 | 1994-06-10 | Hitachi Ltd | ドライエッチング装置 |
JPH06252096A (ja) * | 1993-02-24 | 1994-09-09 | Hitachi Ltd | 半導体加工装置 |
US5350480A (en) * | 1993-07-23 | 1994-09-27 | Aspect International, Inc. | Surface cleaning and conditioning using hot neutral gas beam array |
US5449432A (en) | 1993-10-25 | 1995-09-12 | Applied Materials, Inc. | Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication |
US5449433A (en) * | 1994-02-14 | 1995-09-12 | Micron Semiconductor, Inc. | Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography |
US5514246A (en) | 1994-06-02 | 1996-05-07 | Micron Technology, Inc. | Plasma reactors and method of cleaning a plasma reactor |
US5811022A (en) * | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
US5696428A (en) | 1995-06-07 | 1997-12-09 | Lsi Logic Corporation | Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas |
-
1994
- 1994-11-15 US US08/340,696 patent/US5811022A/en not_active Expired - Lifetime
-
1995
- 1995-11-13 JP JP51620796A patent/JP3737514B2/ja not_active Expired - Lifetime
- 1995-11-13 WO PCT/US1995/014614 patent/WO1996015545A1/en active Application Filing
-
1998
- 1998-07-17 US US09/118,281 patent/US6143129A/en not_active Expired - Fee Related
-
2000
- 2000-11-06 US US09/707,368 patent/US6551447B1/en not_active Expired - Lifetime
-
2004
- 2004-07-06 JP JP2004199302A patent/JP4381908B2/ja not_active Expired - Lifetime
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9831260B2 (en) | 2016-03-02 | 2017-11-28 | Samsung Electronics Co., Ltd. | Semiconductor memory devices |
US10224339B2 (en) | 2016-03-02 | 2019-03-05 | Samsung Electronics Co., Ltd. | Semiconductor memory devices |
KR20190139324A (ko) * | 2017-06-09 | 2019-12-17 | 맷슨 테크놀로지, 인크. | 균일성 제어를 이용하는 플라즈마 스트립 도구 |
KR102245720B1 (ko) * | 2017-06-09 | 2021-04-29 | 매슨 테크놀로지 인크 | 균일성 제어를 이용하는 플라즈마 스트립 도구 |
TWI763793B (zh) * | 2017-06-09 | 2022-05-11 | 美商得昇科技股份有限公司 | 電漿處理裝置 |
Also Published As
Publication number | Publication date |
---|---|
US6551447B1 (en) | 2003-04-22 |
WO1996015545A1 (en) | 1996-05-23 |
JP2005045231A (ja) | 2005-02-17 |
JP3737514B2 (ja) | 2006-01-18 |
US5811022A (en) | 1998-09-22 |
US6143129A (en) | 2000-11-07 |
JPH10508985A (ja) | 1998-09-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4381908B2 (ja) | 誘導性プラズマリアクター | |
JP3691784B2 (ja) | 低周波誘導型高周波プラズマ反応装置 | |
US6083363A (en) | Apparatus and method for uniform, low-damage anisotropic plasma processing | |
US6849857B2 (en) | Beam processing apparatus | |
KR101488538B1 (ko) | 다중 주파수 rf 전력을 이용한 하이브리드 rf 용량 및 유도 결합형 플라즈마 소스 및 그 사용 방법 | |
US5964949A (en) | ICP reactor having a conically-shaped plasma-generating section | |
JP3912993B2 (ja) | 中性粒子ビーム処理装置 | |
US20020033233A1 (en) | Icp reactor having a conically-shaped plasma-generating section | |
JP4070152B2 (ja) | トロイダル低電場反応性ガスソース | |
US7034285B2 (en) | Beam source and beam processing apparatus | |
TW201606111A (zh) | 使用上游電漿源來進行的後腔室減污 | |
KR20170022902A (ko) | Icp 플라즈마들에서 유전체 윈도우를 재컨디셔닝하도록 전력공급된 정전 패러데이 차폐의 인가 | |
WO2002078042A2 (en) | Neutral particle beam processing apparatus | |
US6909087B2 (en) | Method of processing a surface of a workpiece | |
US6909086B2 (en) | Neutral particle beam processing apparatus | |
JP2006508541A (ja) | 基板帯電ダメージを抑制するための方法及び装置 | |
JP2004281231A (ja) | ビーム源及びビーム処理装置 | |
KR20030019973A (ko) | 전원공급구조가 개선된 플라즈마 식각장치 및 그 장치의세정방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20070515 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070522 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20070820 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20070823 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071115 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20080304 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080619 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080829 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20081003 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081209 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090309 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20090309 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090528 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20090817 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20090916 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121002 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131002 Year of fee payment: 4 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |