JP4381908B2 - 誘導性プラズマリアクター - Google Patents

誘導性プラズマリアクター Download PDF

Info

Publication number
JP4381908B2
JP4381908B2 JP2004199302A JP2004199302A JP4381908B2 JP 4381908 B2 JP4381908 B2 JP 4381908B2 JP 2004199302 A JP2004199302 A JP 2004199302A JP 2004199302 A JP2004199302 A JP 2004199302A JP 4381908 B2 JP4381908 B2 JP 4381908B2
Authority
JP
Japan
Prior art keywords
plasma
wafer
charged particle
particle filter
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004199302A
Other languages
English (en)
Other versions
JP2005045231A (ja
Inventor
ステイーブン イー. サバス
ブラッド エス. マットソン
マーチン エル. ハモンド
スティーブン シー. セルブレード
Original Assignee
マットソン テクノロジー インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マットソン テクノロジー インコーポレーテッド filed Critical マットソン テクノロジー インコーポレーテッド
Publication of JP2005045231A publication Critical patent/JP2005045231A/ja
Application granted granted Critical
Publication of JP4381908B2 publication Critical patent/JP4381908B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/912Differential etching apparatus having a vertical tube reactor

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Description

この発明は、特にウエハ処理等に適したプラズマリアクターおよび方法に関する。詳しくは、本発明は、主として誘導結合電力によってプラズマを発生させるウエハ処理用プラズマリアクター(反応装置)に関する。
現在、半導体ウエハ、その他の基板の薄膜付着、エッチング、レジスト除去、および関連処理のために様々な方法が使用されている。代表的な方法は、フォトレジストの形成、レジストによって保護されていない領域のエッチング、および残留レジストの除去を含む。エッチングおよびレジスト除去の1つの方法として、基板またはレジストを溶かす薬品浴への基板の浸漬かある。この方法は一般に、湿式エッチングまたはストリッピングとして知られる。湿式エッチングは概念的には簡単であるが、大きな欠点がある。例えば、毒性化学薬品の貯蔵、取扱い、および処分を必要とする点である。また、湿式薬品エッチングは等方的、すなわち、全ての方向に比較的均等にエデチングが行なわれる。これは、アンダーカットと呼ばれるフォトレジスト下の横方向のエッチングをもたらし、湿式エッチングでは達成できる寸法制御を制限することにつながる。
それに代わるものとして、薄膜付着、エッチング、レジスト除去等にプラズマを使用する方法がある。プラズマは、電気的にほぼ中性のイオン化ガスである。これは、かなりの密度の自由電子および正に帯電したイオンを含む。イオン化状態を維持するためには、ガスに常時エネルギーを補給することにより、大部分が反応室の壁で行なわれる荷電粒子の再結合を相殺しなければならない。従来の異方性プラズマ処理では、イオンの衝突率を減ずるため、圧力も低く維持しなければならない。エッチングのためのプラズマは一般に、真空室内で低圧に維持されたガスに高周波(RF)電界を印加することによって発生する。
集積回路デバイスの製造では、熱力学的平衡状態でない条件の下で処理することによって外形寸法および側壁輪郭を制御することができるので、異方性プラズマ・エッチングを使用することが望ましい。これにより、マスク層のエッジから実質的に垂直に伸長する正確に定義された位置の側壁を有する集積回路の特徴を出すことが可能になる。これは、エッチングの深さに匹敵する外形の大きさおよび間隔を備えたデバイスでは重要である。
図1は、エッチングに使用される典型的なウエハ処理用プラズマリアクターを示す。この反応装置は、プラズマ室2を囲む金属壁1を有する。壁1は接地され、プラズマ電極の1つとして機能する。ガスはガス源3から反応室2に供給され、プラズマ処理に適した低圧を維持するために、反応装置からガスをポンプで活発に吐き出す排気システム4によって排出される。高周波電源装置5は、電力を反応室2に容量結合してプラズマを発生させる第2通電電極6に接続される。ウエハ7は、処理用の通電電極6またはその近くに配管される。ウエハは、スリット弁8等のポートを通して反応室2内に搬入されたり、そこから搬出される。
プラズマリアクターでは、主に13.56MHzの高周波電力が使用される。それはこの周波数がISM(工業、科学、医療)の標準周波数であり、それに対し政府が勧告する放射制限が非ISM周波数、特に通信帝城の周波数ほど厳しくないためである。13.56MHzの実質的に普遍的な使用はさらに、このISM標準のためにこの周波数で利用できる大量の装置があることからも奨励される。他のISM標準周波数として27.12MHzおよび40.68MHzがある。これらは13.56MHzのISM標準周波数の1次および2次高調波(first and second order harmonics)である。
このエネルギーは反応室内のガスに印加され、プラズマを発生させる。プラズマは定性的に異なる2つの領域、つまり準中性等電位誘導プラズマ体9およびプラズマ・シースと呼ばれる境界層10によって構成される。プラズマ体は、実質的に等密度の正荷電粒子と負荷電粒子およびラジカルと安定中性粒子から成る。反応室に結合された高周波電力はエネルギーを自由電子に結合し、これらの電子の多くに充分なエネルギーを与え、これらの電子とガス分子との衝突によりイオンを生成することができる。このイオン化以外に、プラズマ体内では分子の解離および励起が行なわれる。解離では、02などの分子が、酸素原子など、より小さい破片に分解される。励起では、分子はまとまっているが、エネルギーを吸収し、励起電子状態に入る。イオン化、分解、および励起の相対量の制御は、プラズマに印加されるエネルギーのレベルや圧力をはじめ、様々な要素によって決定される。
プラズマは多数の移動電荷担体から成り、したがって導電性媒体である。したがって、プラズマの内部はほぽ一様の電位状態にある。しかし、プラズマは物体と接触した状態で長時間存在することができず、いわゆるシースによって物体から分離される。プラズマ・シースは、電子数が少なく導電性が低いが、電界強度の大きい領域である。プラズマ,シースは、プラズマ体と反応装置の壁や電極等の物体との界面の間に垂直電界を有する。ウエハとの界面における電界は、ウエハの表面に対し垂直にイオンを加速させる。この垂直方向のポンバードメント(衝突)により、異方性エッチングが可能になる。一般に、ウエハ7は通電電極6の位置またはそれより少し上に配置され、そこに強い“自己”バイアスが働くことによりイオン衝撃エネルギーが高められ、したがってエッチング工程が改善されるので、商業的に実行可能なエッチング速度を達成することができる。
しかし、多くの最新IC構造は、図1の従来のプラズマ・エッチング装置などで使用されるような高エネルギー(>100eV)のイオンによるイオン・ボンバードメントに対し敏感である。他のエッチング工程では、選択度を維持するために、もっと低いイオン・エネルギーが要求される。ウエハの損傷はイオン・エネルギーおよび関連シース電圧の低下と共に減少するので、より低い放電電力レベルおよび電圧で動作する方が有利である。残念ながら、13.56MHzの容量結合電力の場合、この電圧低下により、反応種およびイオンの生成率はそれに比例して低下し、したがって、多くの処理でエッチング速度が低下する結果となり、処理のスループットが著しく低下する。
エッチング速度は、ウエハ表面におけるイオン電流密度および通電電極におけるシース電圧に依存する(シース電圧は、エッチングのために表面に衝突するイオンのエネルギーを決定する)。シース電圧を低下した場合、エッチング速度を実質的に一定に維持するには、ウエハのイオン電流密度を上げなければならない。そのためには、ウエハ付近のプラズマ・イオン密度を上げなければならない。残念ながら従来のプラズマ・エッチング装置では、電極のシース電圧およびその電極付近のイオン密度は両方とも一緒に増加または減少し、どちらも電極に印加される高周波電力の振幅の単調増加関数である。したがって、高周波信号の電圧を低下することによってシース電圧を低下すると、ウエハにおけるイオン電流密度も低下し、それによりエッチング速度は、シース電圧だけを低下した場合より、さらに大きく低下することになる。したがって、商業的に適切なエッチング速度のソフト・エッチングエ程(すなわち、ウエハにおけるシース電圧を低下したエッチング工程)を実現するためには、ウエハにおけるシース電圧およびイオン密度を独立して制御することが望ましい。
反応室を取り囲む誘導コイルは、高周波エネルギーをプラズマに誘導結合し、半導体処理用のプラズマの組成および密度を制御するために使用することができる。ウエハに隣接する別個の通電電極は、エッチングのためにウエハ表面に入射されるイオンを加速するために使用される。これらの2つの機構を用いて、プラズマのエネルギーおよびイオン密度を制御することができ、それにより低いイオン・エネルギーで高いエッチング速度を達成することができる。
しかし、既知の方法によるエネルギーのプラズマ室への誘導結合では、問題が生じる。誘導コイルなどの誘導エネルギー源では、電流が反応室への容量結合をも発生させるおそれがある。これは高周波エネルギー源の周波数ではプラズマ・シースの電位を変化させる。
したがって、−イオン密度を制御するために使用する−誘導エネルギー源が、イオン・エネルギーにも影響を与えることになるので、イオン密度とエネルギーは事実上独立していない。これはさらにエッチングの左右対称性を低下させ、電圧変動に敏感な基板を損傷することにつながる。また、時間平均プラズマ電位が増加し、それによりウエハに衝突するイオンのエネルギーが増加し、エッチングの選択度に悪影響が生じる。
したがって、エッチングのために必要なものは、ウエハ表面におけるイオン密度とイオン・エネルギーを別個に制御する方法である。また、シース電位を実質的に変化させずに、エネルギーをプラズマに誘導結合してイオンを発生させる方法も必要である。
プラズマリアクターは、イオン・エネルギーやシース電位の変化にもっと敏感な、レジストの除去などの工程でも使用される。エッチング工程でレジストを使用した後、レジストを除去しなければならない。レジストは一般に、炭化水素重合体などの有機膜である。一般的なレジストの除去は、解離による大量の酸素原子の生成を含む。酸素原子にさらされると、炭化水素レジスト膜は燃えつきて無くなる。この特定のレジスト除去工程はしばしば、アッシング(灰化)と呼ばれる。同様の方法で他の膜を除去する場合、添加剤やその他のガスを使用することもある。
エッチングは比較的高エネルギーのイオン・ボンバードメントに依存するが、レジストの除去などの工程は、半導体ウエハ上の薄膜と活性種(解離された酸素原子など)の化学反応に依存する。一般に、高周波電力もしくはマイクロ波電力がガスに結合されてプラズマが発生する。プラズマは、ウエハ上の薄膜と反応する解離原子の供給源として作用する。
しかし、エッチング工程とは違い半導体ウエハをプラズマに直接曝すことは望ましくない。プラズマと直接接触すると、ウエハに対する高密度の荷電粒子束およびプラズマ・シースがウエハ表面に隣接して形成され、プラズマからのイオンによるスパッタリングが発生する。したがって半導体ウエハは、静電気の帯電、イオン・ボンバードメント、または紫外(UV)光への露呈のいずれかのために損傷し、そのために半導体ウエハの歩留りは低下する。
有害なスパッタリングを減少する1つの方法は、プラズマを遠方に発生させ、急激な折返しを含む流動経路を通してウエハに解離原子を提供することである。プラズマ内のイオンは、プラズマを発生させるために使用する電極および反応室壁と衝突するが、急激な折返しによって半導体ウエハに達することはほぼ防止される。
同様の方法が、米国特許第5,217,560号(クラノ)に記載されている。クラノ特許に記載されているプラズマリアクターを図2に示す。この反応装置は、外側反応管11および内側反応管12から成る。ガスはガス管14を通して供給される。電極15、16が電界Eを形成し、それにより外側管11と内側管12の間にプラズマが形成される。穴13により反応ガスがウエハ17に達することができるが、イオンは内側管12に衝突する。
しかし、レジスト除去などに使用される従来のプラズマリアクターには、様々な不利益がある。第一に、プラズマをウエハから分離するために、複雑な構造が必要である。第二に、複雑な流動経路を通して原子ラジカルをウエハに供給するので、ウエハに入射されるラジカルの線束密度(フラックス)が不均等になる。これは、レジスト除去の均―性を低下する。第三に、プラズマにエネルギーが容量結合することにより電流が流れたり、イオンがより大きいエネルギーで反応室璧やプラズマ室内の電極に衝突する。これは、反応装置の寿命を縮めることがある(特に、レジストの「アッシュ」から残留化学物質を除去するために、特定のガス添加剤を使用する場合)。従来の誘導コイルでは、プラズマとエネルギーの容量結合も発生し、プラズマ電位が変化し、そのためにプラズマを介して最も近い位置の金属表面に電流が流れるので、この問題は、結合エネルギーが主として誘導結合エネルギーである場合にも存在する。このため、プラズマとウエハを分離するために使用する障壁に放電が発生することがあり、こうした障壁の有効性が制限されるおそれがある。少数のイオンが通過できるように障壁に小さい穴を使用する場合ヽプラズマ電位が変化すると、穴の中、またはグリッド間にホロー陰極放電が発生することがある。これは実際、障壁を通過する荷電粒子電流を増大させる.最後に、解離されたガスが、遠隔ウエハに到達するまでに再結合することがあり、原子供給源としてのプラズマの効率は低下する。
したがって、レジストの除去などの工程に必要なものは、過剰イオンを生成することなく、かつ不必要に高周波電流を通すことなく、もしくは反応室壁、電極、または半導体ウエハに電荷を蓄積させることなく、豊富な解離原子を生成させる方法である。また、反応室内でプラズマを発生させ、ウエハにイオンを衝突させることなく、かつウエハの処理を低下させる複雑な構造を必要とすることなく、半導体ウエハに中性活性種を供給する方法も必要である。荷電粒子の密度が低い、あるいは非常に局在化された密度のプラズマを発生させ、これらの荷電粒子の大部分を、ウエハに達する前に中性活性種から濾過により除去することが望ましい。また、プラズマの電位を実質的に変化させることなく、エネルギーをプラズマに誘導結合する方法も必要である。
米国特許第5,217,560号
本発明の態様の1つとして、エネルギーをプラズマに実質的に容量結合させることなく、エネルギーをプラズマに誘導結合させる方法を提供する。本発明の別の態様として、プラズマとプラズマを包囲する誘導コイルとの間に分割ファラデーシールドを設ける。
本発明のこれらおよびその他の態様の利点は、プラズマのシース電位を実質的に変化させることなく、かつ反応室の壁または半導体ウエハにイオン電流を実質的に流すことなく、プラズマにエネルギーを供給できることである。分割ファラデーシールドは、誘導コイルからのエネルギーがプラズマと容量結合するのを実質的に防止し、それによってプラズマ電位の変化を回避しながら、プラズマに誘導エネルギーを結合することを可能にする。
本発明のさらに別の態様として、反応装置のプラズマと反応装置を包囲する誘導コイルとの間に分割ファラデーシールドを挿入したプラズマリアクターを提供し、また反応装置内に通電電極も設け、処理のためにウエハなどをその電極の位置またはその近傍位置に配置する。
本発明のこの態様等の利点は、誘導コイルを用いてプラズマ内のイオン密度を制御できる一方、通電電極を用いてエッチング等のためのイオン・エネルギーを実質的に独立して制御できることである。本発明のこの態様の別の利点は、商業的に実現可能なエッチング速度を維持しながら、ソフト・エッチングを実現できることである。
本発明のさらに別の態様として、プラズマ電位を静電気によって実質的に変化させることなく、プラズマ内の分子を解離する方法を提供する。本発明のこの態様は、誘導コイルと反応室との間に分割ファラデーシールドを挿入することによって実現される。
本発明のこれら態様の利点は、過剰な電子密度を必要とせずに、かつ荷電粒子の電流を不必要に発生させることなく、あるいは反応室壁、電極、または半導体ウエハに電荷を蓄積させることなく、豊富な解離原子を生成できることである。本発明のこの態様のさらに別の利点は、半導体ウエハにスパッタリングやその他の形による損傷を実質的に生じることなく、レジストの除去等に単純な反応室構造を使用できることである。
本発明のさらに別の態様として、処理のためにウエハ等を保持するために、接地電位とは電気的に単離された基板を提供する。本発明のこの態様の利点は、基板およびウエハに流れる高周波電流の量が減少することである。
本発明のさらに別の態様として、活性種をウエハ等に導入する前に、活性種から荷電粒子を濾過により除去するために電界を設ける。
本発明のさらに別の態様として、活性種から荷電粒子を濾過により除去するために1つ以上のグリッドを設ける。別の態様として、濾過を強化するためにグリッド間に電界を誘導する。本発明のさらに別の態様として、プラズマ内で発生するUV放射がウエハ等に達するのを阻止する。これは、プラズマからウエハヘの見通し線が形成されないようにグリッドを配列することによって、達成することができる。
本発明のこれらおよびその他の態様の利点は、荷電粒子およびUV放射への曝露を減少した状態で、ウエハ等を活性種により処理できることである。
図3〜図8に関連して示す、主として異方性イオン・エッチング等のために設計された第1実施例のプラズマリアクターに関しここで説明する内容は、スティーブン・E・サバスの名前で1990年1月4日に出願され[本願の譲受人に譲渡された]同時係属特許出願第07/460,707号に記載されている。この特許出願およびこれに対し発行される特許は、この言及によりここに明示的に援用されるものである。
本発明は主として、プラズマリアクターを用いて半導体ウエハを処理するためのプラズマリアクターおよびその方法に関する。以下、当業者が容易に実施できるように本発明を開示する。ただし、開示の実施例はあくまでも例示であり、当業者にとって種々の変形は可能である。また、ここに示す一般原理は、本発明の精神および範囲から逸脱することなく、他の実施例および応用例に適用することができる。よって、本発明はここに示す実施例に限定されず、開示する原理および特徴に適合する最大限の範囲が適用されるものである。
主として異方性イオン・エッチング等のためのプラズマリアクターについて
主としてイオン・エッチング工程に適した第1実施例について説明する。第1実施例によるプラズマリアクターでは、周波数の低い(0.1〜6MHz)高周波(RF)電力をプラズマに誘導結合させて、ウエハ保持通電電極近傍のガスをイオン化するエネルギーを提供し、また通電電極に低電力の高周波電圧を印加して、この電極上のウエハのイオン衝突エネルギーを制御する。ウエハは処理のために、この通電電極の位置またはそれより少し上の位置に置かれる。
このプラズマリアクターは、周波数の低いRF源に接続された誘導コイルで周囲を囲まれた非導電性の反応室壁を備えている。誘導コイルとリアクターの側壁との間に分割ファラデーシールドを配置してリアクターを取り囲み、誘導コイルとプラズマリアクターの間に変位電流の伝導を実質的に除去する。この遮蔽は事実上、周波数の低いRF電界のプラズマヘの容量結合を大幅に低下する(”Glow Discharge Phenomena in Plasma Etching and Plasma Deposition”[J.Electrochem Soc. Solid-State Science and Technology Vol. 126, No.2, February 1979 p. 319]と題する]..L.Vossenの論文を参照されたい)ので、リアクターの壁のイオン衝突のエネルギーおよびそれに伴うリアクターの壁のエッチングおよびスパッタリングは実質的に除去され、低い周波数におけるウエハのシース電圧の変化が減少する。
このファラデー遮蔽は容器に近づけたり遠ざけるように動かすことができるので、プラズマと遮蔽の間のキャパシタンス(静電容量)を変化させることができる。ウエハ処理中、ファラデー遮蔽は実質的に反応室の外壁と接触するように配置され、キャパシンタンスの値は高くなる。これにより高周波プラズマ電位は低下し、したがって反応室壁のプラズマ・エッチングは減少する。ウエハ・エッチングの期間外では、ファラデー遮蔽と反応室壁との間の隙間を大きくしてキャパシタンスの値を下げて、RFおよび時間平均プラズマ電位のレベルを高めることができ、それによってイオン・ボンバードメントのエネルギーを高め、制御されたレベルのエッチングにより反応室の壁をクリーニングすることができる。ファラデー遮蔽を半径方向に移動してキャパシタンスを変化させることが望ましいが、キャパシタンスは、ファラデー遮蔽の垂直方向の移動によって変化させることもできる。遮蔽を垂直方向に移動できる実施例では、遮蔽が反応室と誘導コイルの各コイルとの間の位置から外れるほど遠くまで垂直移動できないようにする必要がある。プラズマから反応室壁によって得られる有効RF接地電極までのキャパシタンスを増加するために、反応室の頂部の上に導電性薄板を含めることもできる。プラズマ体とファラデー遮蔽のこの部分との間のキャパシタンスを変化できるように、この板も移動可能にすることができる。
電子を反応室の壁から離して閉じ込めることによって低圧でのイオン発生を増強するために、DC磁界を含めることができる。低圧では電子の平均自由行程が増大し、反応室の壁との衝突による反応室からの損失率が高くなる。この磁界により電子が強制的に螺旋行程を取るようになるので、壁と衝突する前に反応室内でイオン化衝突する可能性が高くなる。
電子を反射してプラズマに戻し、それによって反応室の頂壁における損失を防止するために、反応室の頂部付近でより強くなる発散磁界を含めることができる。この後者の磁界(反応室の頂部付近で数万分の1テスラほどの小さい値にすることができる)は、反応室の頂部に磁界の方向を交互にするように配置した永久磁石の配列、DC電流を通すソレノイド・コイル、または円板状の強磁性体のいずれかによって発生させることができる。
誘導結合RF電力は、反応室の大きさによって、O.1〜6MHzの範囲の周波数の最高10kWまでの電力を供給することができる。通電電極に印加される電圧は、イオンが通電電極の位置でシースを横切る平均時間の逆数よりずっと高い周波数とする。この電圧信号の周波数fhの好適な選択は、ISM標準周波数である13.56MHz、27.12MHz、および40.68MHzのいずれかである。生成されるイオン・ボンバードメント・エネルギーがあまり広く分散しすぎないようにするために、より高い密度のプラズマには、より高い周波数が必要になる。
通電電極位置のシースは、実質的にウエハの面に垂直な強力な強い電界を含み、それによって実質的に垂直なイオン衝突、およびそれに伴う実質的に垂直な、または制御されたウエハのテーパ・エッチングが発生する。通電電極にもたらされる容量結合電力の量は、プラズマに誘導結合される電力より実質的に小さい。したがって、ウエハにおける平均イオン電流は主として誘導結合電力によって決定され、またファラデー遮蔽のために、ウエハにおける平均イオン・エネルギーは実質的に、通電電極へのRF信号の振幅のみの関数となる。これと対照的に、図1に示す一般的なプラズマリアクターでは、平均イオン密度(一般的に前記実施例より幾分低い)およびイオン・エネルギーが両方とも、通電電極のRF信号の振幅によって制御される。したがって、本発明の誘導結合リアクターは、シース電圧を低下させ、イオン密度を増加させることができる。また、シース電圧およびイオン密度を別個に変化させることができる。したがって、100電子ボルト台以上の高い衝撃エネルギーを持つイオンに敏感な集積回路を損傷することなく、商業的に受け入れられるエッチング速度のソフト・エッチングを達成することができる。
誘導結合プラズマリアクターめ電磁界は、ウエハ全体に対し非常に均等な(反応室の形状によって異なる)プラズマ・イオン密度分布を達成することができ、したがって非常に均等なウエハ処理が行なわれる。誘導発生する電界は実質的に円周方向の電界であり、したがって電子を実質的にリアクターの側壁と平行に加速する。プラズマの導電性のために、この電界の強さは、側壁から離れると急速に低下するので、電子の加速は主として側壁の近傍領域で行なわれる。電子が速度を増すと、その慣性により、分子との弾性衝突の速続および/または側壁におけるシースとの斜め衝突を含む軌跡が形成される。このような衝突は電子をプラズマ体に跳ね返すことができる。この結果、壁の近傍でのみかなりの電子の加速が行なわれるが、反応室全体でイオンの発生も行なわれる。これらの電子およびイオンの拡散ならびに電子の放射状のEXBの流動により、ウエハの近傍に非常に均等な密度を持つ半径方向に対称なイオン密度が得られる。側壁付近で電子がエネルギーを得る領域からの電子の拡散を促進するために、反応室は低圧(一般に0.13〜3.9パスカル程度)に維持される。
この設計は、電力をイオンの生成に結合する上でも極めて効串的であり、したがってウエハ処理がプラズマ内のイオンによって実行されるウエハ処理用の他のリアクターに比べて重要な利点が得られる(例えば、”RF−ion source RIM 10 for material processing with reactive gases”[IX International Conference on Gas Discharges and their Applications, 19−23 September 1988]と題する]。Freisinger et al.の論文を参照されたい)。この重要性は、以下の説明で理解することができる。プラズマヘのRF電力により、中性ラジカル、イオン、自由電子、および自由電子による分子や原子の励起と原子が発生する。反応性イオンによってもたらされる垂直エッチングは、誘導RF電力の一部がイオン生成に当てられる反応室では有利である。ラジカル濃度が高すぎる場合、ウエハ表面におけるラジカルの反応が、望ましい製造工程に有害となることがあるので、多くの用途では、イオン化に比べて、プラズマによる遊離基の発生を減らすことが望ましい。したがって、第1実施例によるプラズマリアクターは、反応性イオン・エッチング工程やその他の、高イオン濃度が有利に作用する工程、あるいは著しい遊離基濃度が不利に作用する工程に、特に適している。また、このリアクターは、必要な容量結合電力が、従来のプラズマリアクターよりずっと少なくてすむ。このシステムでは数百ワット程度のRF電力が通電電極に利用されるのに比べ、全電力が容量結合される従来のプラズマリアクターでは500〜1000ワットが利用される。このシステムはまた、イオン電流とイオン衝突エネルギーを独立して制御する能力を備えている。
本発明の前記およびその他の特徴および利点は、当業者には、下記の添付図面に関連して述べる以下の詳細な説明からいっそう明らかになるであろう。
図1の従来のプラズマリアクターでは、プラズマのイオン密度だけでなく、通電電極のシース電圧も、通電電極6に印加されるRF信号の振幅によって制御される。ソフト・エッチング(すなわち、ウエハのイオン・ボンバードメントのエネルギーが100ボルト台以下)を達成するためには、容量印加されるRF電力を、こうしたリアクターで従来使用されている電力以下に低下しなければならない。残念ながら、このように容量印加電力を低下しても、このシースの電圧降下が低下するだけでなく、このシースのイオン密度も低下する。通電電極のRF電圧が高い場合でも、こうした容量結合電力では、比較的低密度のイオンが発生するだけである。ウエハのエッチング速度は、このシースにおけるイオン密度とこのシースにおける電圧降下の積に比例するので、ウエハのエッチング速度は、これらの2つのパラメータのいずれか一方よりさらに急速に低下する。したがって、ソフト・エッチングでは、商業的な集積回路製造工程に適合しないほどスループットが低下する。
このシステムのシース電圧が通電電極に印加されるRF信号の振幅に関係付けられることは、図3および図4を参照すると分かる。RF電源5と通電電極6との間のコンデンサ21により、このシース電圧はDC成分を持つことができる。
このDC成分は、電極の不等な面積および電子とイオンの不等な移動度の組合せ効果によって生成される。各プラズマ・シースは電子的に、抵抗、キャパシタンス、およびダイオードの並列組合せに相当する。シースを横切る電界は電子の大部分をシース領域から跳ね返し、10オーム台の大きいシース抵抗を発生させる。シース・インピーダンスの容量分は、周波数が非常に低いときは無視することができるが、周波数が高くなると、約500kHzで意味を持つほど充分に小さくなる。数MHz以上の周波数では、シース抵抗が充分大きいので、無視することができる。第1実施例の容量結合電力の周波数における高周波シース電圧成分の場合、これに該当する。
図3の等価回路では、プラズマおよびシースにおけるイオンよりずっと高い電子の移動度の効果を、ダイオード24、28によりモデル化している。こうして、プラズマが、プラズマに隣接する電極に対し負となる場合、プラズマ内の電子はその電極に効果的に短絡する。したがって、シース・インピーダンスは、要素22〜24および26〜28によりモデル化される。プラズマ体は、通電電極に印加されるRF電圧に利用される高い周波数fh(ISM周波数の13.56MHz、27.12MHz、または40.68MHzのいずれか1つであることが望ましい)では無視できる低インピフダンス抵抗25としてモデル化することができる。
図4は、通電電極に印加される周波数fhにおける220ボルトのピーク・ピークRF信号31と、その結果得られるプラズマの電圧32と、通電電極のシース電圧36との間の関係を示す。シース・キャパシタンスCs1、Cs2は、容量結合電力の周波数fhで優位を占めるので、抵抗Rs1、Rs2は無視することができ、ダイオード24、28は信号31の各周期中の短区間を除き、無視することができる。したがって、ほとんどの動作条件下で、プラズマ等価回路は容量分圧器に還元されるので、プラズマ電位VpおよびキャパシタンスCs1、Cs2の両端の電圧の高周波成分は実質的に同位相であり、大きさはVp= VRF・Cs2/(Cs1+Cs2)によって関係付けられる。
通電電極の数倍の壁面積を持つ一般的なリアクターの場合、壁のシース・キャパシタンスCs2は、通電電極のシース・キャパシタンスCs1の10倍程度である。したがって、220ボルトのピーク・ピークRF信号31の場合、プラズマ電位Vpはピーク・ピークで20ボルト程度となる。信号31と32は同位相なので、信号32のピーク33は、信号31のピーク34と整列する。ダイオード24のため、信号31と32間の最小電圧差(各ピーク34で発生)は、kTe/e程度となる。同様に、Vpも、プラズマがリアクターの壁に短絡するのを防止するために、接地35より少なくともkTe/e以上正でなければならない。これらの様々な要求事項から、通電電極の平均シース電圧36(すなわち、RF信号31のDC成分)は約−90ボルトとなる。シース電圧のDC成分は実質的に、−VRFs1/(Cs1+Cs2)/2となる。ここでVRFはRF電圧のピーク・ピーク値である。RF信号の電界成分は実質的に通電電極に垂直であるので、シース電圧はRF信号の大きさと正比例して変化する。このことは、電圧31のDC成分36が、通電電極に印加されるRF電圧のピーク・ピーク振幅に直接関係付けられることを意味する。
従来のプラズマリアクターの通電電極におけるイオン電流密度は、プラズマのイオン密度に比例し、プラズマのイオン密度は電力の低下と共に低下するので、シース電圧を低下するためにRF電圧振幅を低下すると、電流密度も低下する。したがって、図1のプラズマリアクターは、ソフト・エッチングを行なうために電圧を低下すると、エッチング電力を維持するためにウエハ位置の電流密度を増加することができない。
通電電極におけるシースによる電圧降下は、印加RF信号31とプラズマの電圧32の差に等しい。この電圧降下は、約0ボルトから約−220ボルトまで変化する。RF信号の周期1/fhに比べて短時間でイオンがこのシースを横切る場合、RF信号31のピーク34付近でシースを横切るとすると、そのボンバードメント・エネルギーはほぽ零とすることができる。このような低エネルギーのボンバードメント・イオンは必ずしも、実質的にウエハ面に垂直の軌跡を取らず、したがってウエハの望ましい垂直エッチングを劣化するおそれがある。したがって、1/fhの周期を、イオンがこのシースを横切る平均時間の2分の1以下にすることが重要である。この走行時間は約2分の1マイクロ秒以下なので、fhは少なくとも4MHzとする必要がある。イオン密度がより高く、シース電圧が低い場合、周期1/fh は0.1μs以下もの小さい値にすることができる。ISM周波数に対する制限はあまり厳しくないので、fhはISM周波数の13.56MHz、27.12MHz、または40.68MHzのどれか1つとすることが望ましい。
図5は、本発明の第1実施例によるプラズマリアクターであり、ウエハ位置におけるシース電圧およびイオン電流密度を独立して制御可能に変化させることができる。またこのリアクターは、ウエハ位置におけるイオン電流密度および電圧の非常に均等な分有も達成し、純粋容量放電またはより高い周波数の誘導放電に比べ、プラズマ内のイオン生成率と遊離基生成率の比率を高めることができる。したがって、このシステムは、イオン対遊離基の比率の高い方が有利なイオン・エッチング工程などの用途に特に有用である。
台40の上に、プラズマ室50(図6参照)を囲む円筒形の反応室壁41がある。室壁41は7〜30cm程度の高さであり、横方向の直径は、処理されるウエハの直径によって異なる。15cm径のウエハを処理するシステムの場合、この室壁の横方向の直径は25〜30cm程度とし、20cm径のウエハを処理するシステムの場合、この反応室の横方向の直径は30〜38cm程度とする。室壁41は、水晶やアルミニウムなどの非導電性材料で形成する。
外周を取り囲む壁41は、従来のインピーダンスマッチングネットワークまたは変圧器44を介して第1RF電源43に接続された誘導コイル42である。誘導インピーダンス(一般に10オーム以下)を電源43のインピーダンス(一般に50オーム)に整合するために、このコイルは、商業的に便利なコンデンサ値を利用した従来のマッチングネットワーク44によって、または変圧器によってRF電源43を便利に整合することのできるインダクタンスを生成するため、多数の巻数を有する(1〜30巻数程度)。マッチングネットワークは、電力が電源43に跳ね返されるのを実質的に防止するように設計されている。この誘導コイルは、反応室50内に、実質的に垂直な軸を持ち軸方向に対称なRF磁界、および実質的に円周方向の電界を生じる。これらの電磁界は両方とも、中心軸Aを中心とする回転対称性を示す。この回転対称性は、ウエハ処理の均一性に貢献する。
プラズマは導電性が高いので、誘導結合電磁界は実質的に、プラズマ内の電子密度の平方根を誘導結合RF電磁界の周波数fiで割った値に比例する浸透厚δ(1cm程度)の側壁に隣接する領域に制限される。より大きなシステムの場合、電子が加速されるこの領域の厚さを増加するために、fを低下することができる。
この領域内では、円周方向の電界により電子が円周方向に加速される。しかし、これらの加速電子の慣性のために、電子は側壁におけるシースの電界とかすめるように斜めに衝突することがある。このような衝突により、これらの電子の多くは壁から跳ね返される。これらの電子の一部は側壁に衝突し、二次電子を生成することがある。ガス分子との弾性衝突により、電子は反応室の容積全体に拡散する。誘導発生電界は側壁からの距離δ(抵抗浸透厚)に限定されるので、電子加熱はこの領域に限定される。ウエハ全体におけるイオン密度の均等性を高めるために、圧力は低く維持されるので(一般に0.13〜3.9パスカル)、壁の近傍で加熱される電子は壁から急速に拡散し、非常に均等なイオン化か行なわれ、その結果ウエハ表面全体で非常に均等なイオン密度を達成することができる。
リアクターの半径Rおよび誘導結合電力の周波数fと電力は、1〜10ボルト/cm程度のピーク・ピーク振幅を持つ円周方向の電界が生成されるように選択する。この結果、3cm程度またはそれ以上の振幅を持つ振動性電子行程(oscillatory electron path)が得られるので、これらの電子の平均自由行程はその振動運動の振幅程度またはそれ以下である。電源43は、0.1〜6MHzの範囲の周波数で最高10kWまでの電力を供給する。
第2RF電源51(図6参照)は、好ましくはISM(工業、科学、医療)標準周波数(すなわち、13.56MHz、27.12MHz、または40.68MHz)のうちの1つのRF電力を通電電極52に供給する。図1の実施例に示すように、このRF電力は、通電電極52の横にDCシース電圧を発生させる。電力レベルは100ワット未満から数百(最高500)ワットまでの範囲なので、この容量結合RF信号のイオン密度に対する影響は、電源43から誘導結合される電力の影響よりずっと少ない。この電力レベルは、プラズマリアクターの通電電極に一般的に供給される電カレベルより多少低い。この電力レベルは、イオンによるウエハのソフト・ボンバードメント(すなわち、100eV未満の運動エネルギ)を発生させるために、低く維持することができる。通電電極のこの低い電力レベルは、イオン密度が主としてRF電源43によって決定されることも意味する。とれは、イオン密度およびシース電圧の軽減制御(decoupling control)に有利である。
円周方向の誘導発生電界によりこの電界は通電電極に平行になるので、通電電極に対する法線に沿ってプラズマ体から通電電極までの流動経路積分は零となる。この結果、図1のプラズマリアクターとは異なり、プラズマ体と通電電極間のRF時間依存電位差を生じるシースのRF成分がない。これにより、周波数の低い誘導RF電界による通電電極の電位への結合は、実質的に防止される。したがって、通電電極52のシース電圧は、RF電源51のみによって決定される。
リアクターの側壁は、この実施例の場合、側壁に適合する1ダースの導電板46から成る、接地されたファラデー遮蔽45で閉囲されている。ファラデー遮蔽の各導電板46は、隣接する板との間に隙間48を置いて配置する。これらの隙間は、誘導RF磁界が反応室50内に浸透できるようにするために必要である。ファラデー遮蔽に円周方向の電流が形成されるのを防止するために、少なくとも1つの隙間が必要である。レンツの法則により、このような円周方向の電流は、反応室50内の磁界の変化に強く対抗し、それによって、コイル42の電流による反応室50への所望の作用が実質的になくなる。
このファラデー遮蔽はまた、図1のリアクターの接地された導電性の壁と同じ機能を果たす。すなわち、これは容量結合RF電磁界を反応室50に閉じ込めるので、それが反応室外に迷走して他の装置に干渉したり、米国の放射規格を侵害することがない。この遮蔽はまた、容量結合電源51によって生じる電極からの高周波電流の帰路(return path)にもなる。
ファラデー遮蔽45をリアクターの壁の隣に配置すると、電源43のRF周波数f1こおけるプラズマ電位Vpの時間依存変化量を著しく減少することができる。これは、イオン密度および平均シース電圧Vdcに対する第1RF電源43および第2RF電源51の影響を軽減する(decouple)する上で重要である。コイル42に印加される電力レベルで、これらのコイルの大きいインダクタンス(1〜100マイクロヘンリー程度)により、これらのコイルの一端または両端に大きな電圧が生じる。ファラデー遮蔽がなければ、コイル42の高電圧端47はプラズマ体に容量結合し、電源43の周波数f1におけるVpのRF変化が強化される(例えば、J.L.Vossen,Glow Discharge Phenomena in Plasma Etching and Plasma Deposition, J.Electrochem So.Solid-State Science and Technology Vol.126,No.2 p.319を参照されたい)。
隙間48の幅は、これらの板46とコイル42の間の最小間隔より小さいので、コイル42がこれらの隙間を通してプラズマ体と著しく容量結合することはない(Vossenの参考資料を参照されたい)。プラズマ体とのこうした容量結合が阻止されないと、VpのこのRF変化は、この同じ周波数におけるシース電圧(したがってイオン・エネルギ)の変化として現れる。さらにこの電界は、ファラデー遮蔽によって実質的に除去されなければ、エッチングの対称性を劣化するおそれがある。
ファラデー遮蔽45はまた、プラズマリアクターの壁41に隣接するプラズマ・シースのシース・キャパシタンスCs2の値にも著しく影響する。このファラデー遮蔽が存在しなければ、容量結合RF信号の有効接地が、RF誘導コイルまたは反応室を包囲する環境によって行なわれ、したがって他のどのような物体がリアクター付近にあるかによって有効接地が異なってくる。さらに、これらの物体は一般に、有効接地を無限位置にあるものとして処理できるほど充分に大きい距離にある。これにより、側壁および頂壁のCs2は、図4の場合のようにCs1の10倍ではなく、Cs1の10分の1程度またはそれ以下となる。その結果、プラズマ電位VpとRF信号の関係は、図4に示すようなものではなく、図8に示すようなものになる。
図8でもRF電圧(信号71)が220ボルトのピーク・ピーク(peak to peak)振幅を持つものと想定する。 Cs1がCs2の10倍である場合、プラズフ電圧信号72は200ボルトのピーク・ピーク振幅を持つ。プラズマ電圧Vpのピーク73はここでもRF電圧信号71のピーク74と整列し、これらのピーク間の間隔は再び最高でkTe/eの数倍となる。同様に、接地からVpのトラフまでの間隔は、kTe/e程度となる(これは一般的に数ボルトである)。したがって、プラズマ電圧信号72は、100ボルト程度のDC成分76を持つ。これは、プラズマ電圧信号32が10ボルト程度のDC成分およびkTe/e程度のオフセットを持つ図3の場合と対照的である。
壁とプラズマ体との間のDC成分がこれほど大きく増加すると、プラズマ内のイオンによる壁のエッチングまたはスパッタリングのレベルが許容できないほど大きくなる。このような作用は室壁を損傷するだけでなく、反応ガスを使い果たし、プラズマ汚染物質を生じ、反応室におけるウエハ製造工程を妨げるおそれがある。しかし、壁41に近接してファラデー遮蔽45を配置すると、有効接地電極のキャパシタンスが高まり、Cs2が再びCs1の数倍になるので、RF信号とプラズマ電圧Vpとの間の関係が、図8ではなく、図4のようになる。実際、通常、キャパシタンスCs2の「板」(すなわち、プラズマと導電性壁)間の間隔は、O.1cm程度である。図5のリアクターの場合、ファラデー遮蔽を壁41に近接して配置すると、キャパシタンスCs2は、壁41の厚さを誘電率(>4)で割
った値だけ増加する。これは0.075cmの真空ギャップに相当する。したがって、壁のキャパシタンスCs2は、図5に匹敵する寸法の図1に示すタイプのリアクターの場合の2分の1を少し超える程度である。
板46は半径方向に1cm程度もしくはそれ以上移動可能であるので、板46を壁から離すように動かすことによって、キャパシタンスCs2を実質的に低下させ、比率Cs1/Cs2を0.1ないし10程度の範囲全体にわたって変化させることができる。これらの板は、ウエハ処理中は、室壁のエッチングおよびそれに伴う汚染物質の生成を最小限に止めるために、壁41に接近させる。ウエハ処理時間以外の時間には、制御された壁のエッチング斯間を設けて壁のクリーニングを行なうために、板を壁から1cm以上離すことができる。その後、この反応室のクリーニング段階の残存物は、ウエハ処理をさらに実現する前に、リアクターから取り除く。
図6および図7はそれぞれ、第1実施例によるリアクターの側面断面図および平面図であり、このリアクターの別のオプション機能を示している。リアクター50の頂部のすぐ外側に、接地された導電板53が設けられている。これは、反応室50の側面におけるファラデー遮蔽45と実質的に同じ機能を、この反応室の頂部に対して果たす。
反応室の頂部には、北極を交互に下向きに配向した1組の磁石54がある。強磁性体のリターン・プレート55は、一番外側の2個の磁石によって生じる磁界の磁束を反射させるのに役立つ。磁石は、充分な磁界が経済的に得られるので、永久磁石を使用することが望ましい。この構成により、反応室50の頂部に約0.01テスラの交互方向の磁界の配列が形成され、これは電子をプラズマ体に跳ね返す磁気鏡のように作用する。これらの磁石による磁界は、これらの磁石の配貴間隔(2〜3cm程度)の2倍程度の距離だけ反応室内に浸透する。別の実施例として、この線形配列の磁石の代わりに、1組の同心環状磁石に置換し、前と同じように連続する磁石の北極を垂直方向に交互に反対向きに配向することができる。さらに別の実施例として、北極を垂直方向に配向した1枚の平坦な強磁性体の円板、または単一環状DCソレノイドを使用して、単一genetic鏡を形成することができる。これは、反応室の頂部近傍で数万分の1テスラという低い磁界を持つことができる。円板状の磁石を利用する実施例は、単純かつ安価であり、しかもリアクターの半径方向の対称性が維持されるので望ましい。これと対照的に、図6の磁石54による磁界は半径方向の対称性が欠如し、ウエハのエッチングの半径方向の対称性がわずかに低下する。
リアクターの壁41の基部または頂部のすぐ外側には、オプションのDC磁界を形成してさらに電子を側壁から離しておくために、DC電流源57に接続された導電性コイル56がある。このコイルによる磁界の大きさは、0.0001〜0.01テスラ程度にすることができる。
図5ないし図7のプラズマリアクターは、他の多くの既存のリアクターに比べて、かなり改良された動作を行なう。マイクロ波源を使用する従来のプラズマリアクターは、数ミリアンペア/cmの電流密度しか発生できないが、第1実施例によるリアクターは最高50〜100ミリアンペア/cmまでの電流密度を発生することができる。SF、CFCl、0、およびアルゴンなど様々な反応ガスでこのような高電流が得られることが、試験で示されている。これは、1ミリトル以上の圧力における他のプラズマ製造法のように中性破片の生成にではなく、イオンの生成のために、相対的により多くの電力が使用されることを示す。これらの中性破片は、この電流には貢献しない。これはエッチングにとって重要なことである。なぜなら、ウエハに対し垂直方向の衝撃のみがイオンに与えられ、その結果、実質的に垂直な壁が形成されるからである。ウエハ位置で非常に低いシース電圧を生成できるということは、シース電圧を20〜30ボルト以下に低下することによって、厚さ400nmのポリシリコンのゲートを、その下にある10nm厚さのSiOゲート絶縁層を損傷したり食刻することなく、垂直にエッチングできることを意味する。
第1実施例によるリアクターはガス源49、およびプラズマ処理生成物を排気したり、圧力を選択したレベルに維持するためのポンプを含む排気システム59の一部である排気口58を備えている。一般的に圧力は、側壁近傍の電子加熱領域からバルク体積(bulk volume)への電子の拡散を強化するために、1〜30ミリトル程度の圧力に維持される。この圧力でも、誘導結合電力は主としてイオンに生成に結合される。これと対照的に、マイクロ波プラズマ・システムなど、他のプラズマ・システムの場合、約1ミリトルを超える圧力では相対的により多くの遊離基が生成される。マイクロ波プラズマリアクターで主としてイオンを生成させる場合には、圧力を数10分の1ミリトル程度もしくはそれ以下にする必要がある。これには、毎秒数トル・リットルより高い速度のリアクターポンプが必要である。このような高いポンピング速度を達成するには、反応室に近接して接続した低温ポンプか、または反応室への大きな接続口を持つ非常に大型のターボ・ポンプのいずれかを使用する必要がある。対照的に、ここに開示するリアクターは高圧で作動することができ、しかも毎秒数百ミリトル・リットル程度のポンプ速度で足りる。これは小型ポンプで容易に達成することができ、反応室付近の空間を取り散らかす必要もなく、ウエハ取扱いやその他の基本的な反応室周辺装置の妨げになることもない。このようなポンプは、低温ポンプのように再生の必要もなく、安全上の問題も起きない。
レジスト除去に主に用いるプラズマリアクタ−
以上説明したのと同様の技術を用いて、レジスト除去のための解離ラジカルを豊富に生成することができる。前記のリアクターは、エッチングのためのイオンの生成を促進するように構成されているが、レジスト除去のためのリアクターは、解離を促進し、イオン化を最小限に止めるように構成することが望ましい。したがつて、本発明の第2実施例によるプラズマリアクターは、レジスト除去または同様の工程で使用するためには、分子を効率的に解離することができる。
一般的な水準では、第2実施例により解離用のリアクターの構造は、前記の第1実施例によるイオン・エッチング用のリアクターと同様である。誘導コイルが反応室の周囲を取り囲み、エネルギを反応室に誘導結合してプラズマを発生させる。誘導電界によって電子をプラズマ内で円周方向に加速し、分子と衝突させる。これらの衝突の結果、励起分子、解離原子、およびイオンが発生する。衝突エネルギが高ければイオン化が起こりやすく、衝突エネルギが低ければ励起や解離が生じる。特に、11〜12eVの範囲の電子エネルギは、酸素ガスのイオン化のしきい値として一般的であり、5〜6eVの電子エネルギは解離のしきい値として一般的である。
電子エネルギは、電子を加速する電界の強さ、および衝突と衝突の間に電子が加速される平均距離を決定するガスの密度によって決まる。イオン・エッチングリアクターの場合、誘導電界を高めるために誘導コイルに高い電力を印加し、また低いガス圧を使用することにより、少ない衝突で電子を加速し、イオン化に必要なエネルギを達成することを可能にする。解離に使用するプラズマリアクターの場合、より低い電力およびより高い圧力と流量を使用する。
図9は、プラズマにおける電子エネルギの分有に対する電界およびガスの密度の変化の影響を示す。電子エネルギの分布fe(E)は、電界Eをガスの密度Ngで割った値の関数である。図9のグラフは、様々な値のE/Ng(90%Oおよび10%Oガス)に対する様々なエネルギの電子の分布を示している。x軸は電子エネルギを、y軸は電子密度のlog10を表わす。X軸におけるTは解離のエネルギのしきい値(〜5−6eV)を表わし、Tiはイオン化のしきい値(〜12eV)を表わす。曲線92は、E/N〜2.2×10−16 Vcmの分布を示す。これは、14V/cmの電界および6.4×1016 / c mの密度を表わす。曲線94は、E/N 〜 4.4×10-16Vcmの分布を示す。曲線96は、E/N〜8.8×1 0−16 Vcmの分布を示す。曲線98は、E/N〜10.9×10−16Vcmの分布を示す。曲線92〜98は、E/Nが低下するにつれて、Tを超えるエネルギを持つ電子の数が減少することを示す。曲線98の電子エネルギの分布は、分布曲線92よりかなり高いイオン密度につながる。
したがって、プラズマに結合されるエネルギのレベルおよび圧力は、プラズマの組成を決定する上で2つの重要な変数であることが分かる。第1実施例では、低圧(1〜30ミリトル)を使用し、比較的高レベルのRF電力を誘導コイルに印加する(最高10kWまで)。これにより、比較的高レベルのイオン化か行なわれる。第2実施例の場合、より高い圧力(約1〜2トル)およびより低いレベルのRF電力(約500〜1500ワット)を使用する。これは、第1実施例に比較して、イオン化よりも解離に有利である。第2実施例では、プラズマを維持し、原子の解離を続けるために必要な最小限度のイオン化か起こることが望ましい。第2実施例では、電界Eの一般的な値は、反応室の最も高い位置で約40V/cmであり、ガスの密度Nの一般的な値は、約1016/cm ないし10 17/cmである。 E/Nは約10−15cm以下とすることが望ましく、プラズマの中心部におけるイオン密度の最高値は10/cm台とすることが望ましい。
第1実施例の場合と同様に、エネルギがプラズマに容量結合するのを実質的に防止し、かつプラズマ電位の変化を大幅に減少し、それによってプラズマが誘導コイル内の体積に制限されるようにするために、分割ファラデーシールドを使用する。第1実施例では、第2機構(通電電極)がイオン衝突のエネルギとウエハ・エッチングのエネルギを別個に独立して制御できるようにするために、分割ファラデーシールドを使用することが望ましい。しかし、レジスト除去等の工程では、荷電粒子束は望ましくなく、ウエハの表面に不純物を打ち込んだり、損傷するおそれがある。したがって第2実施例では、イオン密度を低下し、またイオンをウエハに向けて加速する通電電極をなくする。分割ファラデーシールドは、誘導コイルとの容量結合によって生じるウエハおよびリアクターの壁への電流束(current flux)を減少するため、およびプラズマをそれが生成されるコイル内部の領域に閉じ込めておくために重要である。
上述の通り、分割ファラデーシールドにより、誘導コイルはプラズマ内に誘導電界だけを発生させることができるようになる。プラズマと誘導コイルの間の容量電界――これは電流を室壁に向かわせたり、プラズマの電位を変化させる――は、分割ファラデーシールドによって実質的に阻止される。誘導電界は電子を円周方向に加速する。これにより、電子が解離およびイオン化を起こすエネルギは得られるが、イオンが室壁に向かって直接加速されることはない。さらに、誘導電界は、プラズマの電位を変化させたり電流をウエハに向かわせる電荷をプラズマに蓄積させない。これは、図1に示すマクスウェルの電磁方程式から理解することができる。
Figure 0004381908
方程式(1)により、誘導電界Eのカール(回転)は、磁界の時間変化率によって得られる。誘導コイルは時間依存磁界を誘発するので、これを零にすることはできない。このことは、誘導電界がいかなる電位の勾配にもなり得ないことを意味する。なぜならば、誘導電界が何らかの電位の勾配とすることができれば、カールは方程式(1)に矛盾して零となるからである。方程式(2)は、電位から生じる電界が、電荷密度ρを誘電率ε0で割った値であることを示す。誘導電界はいかなる電位の勾配にもなり得ないので、誘導電界のためにプラズマ内に電荷が蓄積することはありえないことが、方程式(2)から導き出される。したがって、誘導電界は、プラズマ電位の時間的変化を誘発しない。
容量結合によって誘発される電界を阻止しながら、誘導電界を使用して放電を維持することにより、幾つかの利点が実現される。第一に、誘導電界は円周方向であり、室壁に対するバイアスが誘発されないので、室壁のイオン・ボンバードメントが減少し、より低いエネルギで発生する。これはリアクターの寿命を延ばすことにつながり、レジストまたは薄膜の除去を向上するために添加剤を使用する場合には、特に重要である。例えば、薄膜をウエハから剥離したり、残留物をウエハから除去する化学反応に影響を及ぼすために、SF、Cl、CHF、CF、C、CFCなどの低濃度のハロゲン化ガスを酸素に少量添加することがある。容量電界のために室壁のボンバードメントが生じる場合、これらの添加剤により室壁は急速に腐食する。第2実施例では、容量電界を実質的に阻止するために分割ファラデーシールドを使用するので、添加剤を使用しても、リアクターの実質的な腐食は少ない。第二に、プラズマ電位の変化が最小限になり、ウエハ表面の電界を小さくしておくことができる。これにより、ウエハ表面に送られウエハを損傷する荷電粒子が減少する。第三に、プラズマ電位のRF変化が減少したので、プラズマを反応室のウエハ表面から離れた部分に実質的に閉じ込めることができる。先行技術でプラズマとウエハを分離するために使用された複雑な構造は、第2実施例のリアクターでは使用されない。これにより、解離された原子をウエハ表面全体に妨害されずに拡散することができ、レジストやその他の薄膜をより均等に剥離することができる。しかし、後述するように、変形実施例では、荷電粒子およびUV放射をさらに濾過して除去するために、プラズマとウエハの間にグリッド・システムを使用することができる。
次に、第2実施例によるプラズマリアクターの構造および作動について説明する。図10は、第2実施例によるリアクターの側面断面図を示す。基台40の上に、プラズマ室50を閉囲する円筒形の室壁41がある。円周方向の誘導電界を使用する場合、イオンと室壁の衝突を減少するために、円筒形または反円形の反応室を使用することが望ましい。反応室41は約30cmの高さがあり、横方向の直径は、処理するウエハの直径によって異なる。室壁41は、水晶またはアルミニウムなどの非導電性材料で形成する。
反応室50の構造は、特徴として、解離ガスをプラズマから反応室の底部のウエハまたはその他の基板に直接流し拡散できるようになっている。これにより剥離の均一性を向上することができる。壁または管によりプラズマをウエハから分離する先行技術は一般に、5ないし10パーセントの範囲の均一性(ウエハの一部分における剥離の量が他の部分における剥離の5ないし10パーセント以内であることを意味する)を示すが、本発明の第2実施例は、共通の剥離工程を使用した場合、数パーセントの均一性を達成することができる。しかし、以下で説明するように、変形実施例ではグリッド・システムを用いて、均一性を大幅に低下することなく荷電粒子を除去することができる。
反応室の基部に、処理するウエハを支持するためのアルミニウム・ブロック60または受け台(pedestal)がある。ブロックは、アッシングを強化するために、250℃などの高温に維持することができる。加熱装置61を用いて、従来の方法でブロックを加熱することができる。また、ウエハに誘導される荷電粒子を減少するために、プラズマとウエハ表面の間の電界を最小限にすることが望ましい。したがって、ウエハを支持するアルミニウム・ブロック60の電位は、ウエハの真上のチャンバの体積とほぼ同一電位に維持する。これは、アルミニウム・ブロックと接地電位の間に要素Zを配置し、励起周波数におけるブロックの対接地インピーダンスを高くすることによって達成される。アルミニウム・ブロックは、反応室の電位で実質的に自由に浮遊(float)する。プラズマに結合されるRF電力の周波数を前提として、インピーダンスZは非常に高い値を選択する。図11 Aに示すように、インピーダンスZは、アルミニウム・ブロックと接地電位の間に並列LC回路を挿入することによって得ることが望ましい。キャパシタンスCは、アルミニウム・ブロックとプラズマ室の他の要素との間の浮遊キャパシタンスCstrayを考慮に入れて選択する。 13.56MHzの周波数で、500ワットから1500ワットの間のRF電力を使用する場合、インダクタンスLは1マイクロヘンリー未満程度が望ましく、キャパシタンスCは数百ピコファラッド程度が望ましい。
外周を取り囲む壁41は、第1実施例で述べた通り、従来のインピーダンスマッチングネットワーク44を介してRF電源43に接続された誘導コイル42である。このコイルはリアクターの上半分だけを取り囲み、プラズマがこの領域で生成され、ここに閉じ込められるようにすることが望ましい。反応室の底部は、プラズマからの解離ガスをウエハ表面全体に均等に拡散することができる拡散領域として機能する。
この誘導コイ歩は、反応室50の最上部分内に、実質的に垂直な軸を持ち軸方向に対称なRF電界、および実質的に円周方向の誘導電界を発生する。これらの電界は両方とも、中心軸の回りに回転対称性を示す。この構成では、プラズマ62は、反応室の頂部付近では円環に近い形に集中する。しかし、電界は完全な対称ではなく、実際には反応室壁の近傍の方が反応室の中心部より強いことは理解されよう。電界およびプラズマの密度は、円環の中心部でピークに達する。回転対称性は、ウエハ処理の均一性に貢献する。
第2実施例では、13.56MHzの周波数および500ワットから1500ワットの間の電力の電源43から誘導コイルにRFエネルギを印加する。もちろん、幅広い様々な周波数を使用することができる。反応室内に所望の電界が生成されるように、誘導コイルの巻数および電流は任意の周波数に対し調整することができる。第2実施例では、約40ボルト/cmのピーク誘導電界が望ましい。酸素を使用する剥離の場合、1ないし27MHzの周波数を使用することができる。誘導コイルの巻数が約20回ないし30回と非常に大きく、かつ100アンペア程度の非常に大きい電流を使用する場合には、周波数をさらに低くし、おそらく100kHzもの低い周波数を使用することができる。コイルの電流要件および巻数のため、低い周波数の使用が望ましくないが、容量インピーダンスは周
波数と反比例するので、これらの周波数でプラズマ電位の変化は低下する。したがって、分割ファラデーシールドを使用せずに低周波数および高電流で作動することができ、あるいは代替的に、実効性の低い遮蔽を使用することもできる。
第1実施例のイオン・エッチング装置の場合と同様に、誘導コイルとプラズマの間に分割ファラデーシールドを挿入する。第1実施例の分割ファラデーシールドをここでも使用することができるが、図10は代替的な構成例を示す。図10には、内側63および外側64の2つの分割ファラデーシールドがある。分割ファラデーシールドを使用すると、誘導コイルと分割ファラデーシールドの間に多少の誘導結合が発生する。誘導コイルから(分割ファラデーシールドを介して)接地までの電流経路に沿って、多少のインダクタンスがあるので、遮蔽に多少の電圧が現れ、プラズマ電位を変化させる。例えば第1実施例の場合、部はに5ないし10ボルトの電圧が現れ、1ボルトのプラズマ電位の変化が発生する。図10に示す二重分割ファラデーシールドを使用する場合、この変化をさらに減少することができる。誘導コイルとの容量結合のために、外側の分割ファラデーシールド64には、5ないし10ボルトが生じる。内側63と外側64の分割フアラデーシールドの間にも多少の容量結合が生じるが、この流動経路に沿ったインダクタンスは有限なので、内側の分割ファラデーシールド63には約1ボルトが生じるだけである。これによって発生するプラズマ電位の変化は約0.1ボルトにすぎない。
分割ファラデーシールドの容量結合電圧をさらに減少するために、任意のRF周波数に対して最小化したインピーダンスZsを介して、外側の遮蔽64を接地に接続することができる。図11Bに示すように、このインピーダンスは、直列LC回路によって得ることができる。使用するRFエネルギの周波数に回路を同調することができるように、調整可能なコンデンサを使用することが望ましい。 13.56MHzの周波数および500ワットから1500ワットの間の電力のRFエネルギを使用する場合、1/4マイクロヘンリーのインダクタンスL、および最高約500ピコファラッドまでのキャパシタンスCが望ましい。技術上既知の通り、回路がRF電力の周波数で共振するように、コンデンサを調整し、対接地インピーダンスを最小にすることができる。分割ファラデーシールドと接地の間に調整可能なインピーダンスを挿入することによっても、運転開始時に任意の周波数に対し高いインピーダンスを使用できるという利点が得られる。これにより遮蔽に高電圧を発生させることができ、これは最初にプラズフに着火するために使用することができる。
ガス源49からリアクターにガスを供給する。第2実施例では、約1〜10標準リットル/分の流量でOガスを供給するが、一般的な流量は2標準リットル/分である。しかし、第2実施例では、1 0 0標準立法センチメートル/分ないし最高100標準リットル/分までの流量を効果的に使用することができると信じられる。ガス源49および排気システム59は、誘導電界の強さを前提条件として、解離を促進するようなプラズマからウエハまでの流動および反応室の圧力を維持するために協働する。酸素ガスを使用する処理の場合、1〜5トルの範囲の圧力を使用するが、1.5トルが望ましい。しかし、分割ファラデーシールドによりイオン・ボンバードメントが減少するので、イオン密度は高くなるが、0.1トルもの低い圧力を使用することもできる。さらに、以下で説明するように、濾過技術を用いて、残留帯電粒子を除去することができる。また、剥離を向上するために、他めガスを使用することもできる。特に、技術上既知の通り、レジスト上に形成される特殊な化学残留物を攻撃するために、酸素に低濃度のガスを添加することができる。エッチング中に、レジストが非常に固くかつ濃くなることがある。イオン注入が発生し、ホウ素やヒ素などの不純物がレジストに埋め込まれることがある。エッチングエ程でも、レジストにSiO残留物が生成されたり、アルミニウム汚染物質が注入されることがある。技術上既知の通り、ウエハ処理による上記およびその他の副作用で、酸素原子だけでは非常に反応しにくいレジストまたは薄膜が生成されることがある。レジストの除去を強化するために、任意の化学残留物や汚染物質と反応して可溶性または揮発性化合物を形成する添加剤を添加することができる。レジストの組成および使用するエッチングエ程によって、様々な添加剤の中からどれか1つを選択することができる。一般的な添加剤として、Ar、He、SF、Cl、CHF、C、CFC、N、N0、NH、H、水蒸気などがある。例えば、標準レジストを使用したポリシリコンのエッチングの後は、レジストの除去を強化するために、OガスにO.2%ないし10%の濃度のCFを添加することが望ましい。重要なことは、上述の通り、分割ファラデーシールドによりプラズマ電位の変化が減少するので、反応室の実質的な食刻や摩耗を生じることなくこれらの添加剤を使用できるということである。
第2実施例によるリアクターでは、大量のガスが解離され、ウエハ表面を通過する流れの中で均一に拡散される。転換の効率は50%以上という高さになり、10ミクロン/分を超える剥離速度を達成することができる。数パーセントという均一性を達成することができ、ウエハの損傷は低い。ウエハの損傷は、処理後のウエハのCV曲線のフラットバンド電圧の変化を観察することによって測定される。可動ナトリウムやその他の汚染物質がウエハに埋め込まれ、SiOなどの絶縁材の性能を劣化することがある。第2実施例の場合、典型的なウエハのCV変化から導き出される可動イオン密度は、1010/cm台である。プラズマとウエハを分離する物理的障壁を使用する従来のリアクターは剥離速度が低く、均一性も劣るが、プラズマを分離しないリアクターは1012/cmもの高い可動イオン濃度を発生させることがある。
図12は、半導体ウエハ等から残留レジストおよび汚染物質を除去するために本発明のより好ましい第3実施例を示す。図12は、第3実施例による二重リアクターシステムの側面断面図である。第3実施例では、2つの円筒形プラズマ室202a、202bが並んで配置されている。2つのプラズマ室202a、202bでは同様の要素が使用されている。これらの同様の要素は、図12の各プラズマ室で同一符号を用いて表示し、接尾辞の“a”および“b”を付加することにより、それぞれプラズマ室202aおよび202bの要素を区別している。これらの要素は、接尾辞を付加しない符号により一般的に参照することがある。2つのプラズマ室は実質的にそっくり同じ要素を使用し、実質的に独立して作動するが、ガス供給システム204、排気システム206、およびウエハ処理室20
8は共用している。第3実施例のシステムは、2つのウエハを同時処理するように構成されており、スループットが2倍になる。
第3実施例の反応システムは、第2実施例で述べた方法と同様の方法で作動する。しかし、単一分割ファラデーシールドおよび荷電粒子フィルタを使用する。
分割ファラデーシールドは接地され、スロットの幅が広くなっており、誘導コイルからプラズマにエネルギがわずかに容量結合することができる。これはプラズマ電位を多少変化させるが、プラズマを発火させ、プラズマ反応を維持するのに役立つ。プラズマ電位にわずかな変化があるものの(10ボルト台)、この変化は分割ファラデーシールドによって大幅に減少される。単一分割ファラデーシールドと荷電粒子フィルタのこの組合せにより、ウエハの荷電粒子曝露を事実上減少しながら、持続するプラズマ反応を容易に維持することができる。さらに、荷電粒子フィルタは、プラズマ内で発生するUV放射がウエハに達するのを阻止する。そうしなければこのUV放射は、ウエハのSiO層あるいはSiO/Si境界を損傷させる。最後に、荷電粒子フィルタの設計は中性活性種の拡散を事実上強化し、したがって一部の従来の障壁システムのように剥離の均一性を低下させることもない。
次に、第3実施例による反応システムの構造を詳しく説明する。第3実施例では、レジスト剥離、残留物または汚染物質の除去、浮きかす(descum)等の除去のために2つのウエハを処理室208に配置することができる。処理室208は矩形であり、約25cmの高さh1を持つ。図13は、第3実施例による反応システムの簡易平面図である。図13の場合、処理室の幅302は約60cmである。処理室の壁210の外側から測定した処理室の奥行304は、約35cmである。プラズマ室202a、202bは処理室の上に配置され、図13に示すように外径が約20cmである。プラズマ室は、中心距離で約28cmの距離308だけ離れている。
図12を見ると、処理室の壁210は約2.5cmの厚さであり、接地されている。処理室の壁210はシステムの共通帰線となり、アルミニウムなどの導電性材料で形成される。処理室内にはアルミニウム・ブロック212があり、導電性加熱器およびウエハ7のための受け台として機能する。2枚の20cmウエハは、処理のためにアルミニウム・ブロック212上に、中心距離で約28cm離して配置される。もちろん本発明は、他のサイズのウエハ用に容易に適応させることができる。アルミニウム・ブロック212は、ウエハ表面で所望される反応に有利な高温に維持することができる。大抵のレジスト剥離の場合、アルミニウム・ブロックは約250℃に維持することが望ましい。特定の処理には他の温度が望ましいかもしれない。例えば、注入レジスト除去には150℃から180℃の間の温度を使用することができ、浮きかす除去には約100℃の温度を使用することができる。図の明瞭化のために図12には加熱装置は省略されているが、第2実施例で説明したように、加熱装置を用いてアルミニウム・ブロックを加熱することができる。アルミニウム・ブロックは、円筒形のセラミック支持台213の上に配置ざれ、RF分離される。特に、第2実施例で説明したように、励起周波数でブロック・接他間に高いインピーダンスを発生させるために、アルミニウム・ブロック212と接地電位の間に要素Zを配置することができる。接地電位は処理室の壁210によって得ることができる。このRF分離により、アルミニウム・ブロック212の電位を、ウエハ7の真上の処理室の体積と同一電位またはそれに近い電位に維持することができる。これは、ウエハ7に誘導される荷電粒子電流を減少するのに役立つ。
セラミック支持台213の下に、排気(排ガス)システム206がある。排気システム206は、従来のファン、ポンプ、または同様の装置によって駆動することができる。セラミック支持台213には、ガスがアルミニウム・ブロック212の周辺から排気システムに達することができるように、スロットが切られている。これは、ウエハ7の中心からアルミニウム・ブロックの周辺に向かう半径方向のガスの流動を促進する。その後、ガスはセラミック支持台2 13のスロットを通してアルミニウム・ブロックの下を流れ、排気システム206に達する。排気システム206には遮断弁2 14があり、排気システムを止めることができる。さらに、排気システム206のガスの流量を調整するために、絞り弁を設ける。
処理室208の頂部は、ウエハ7の表面から約5〜6cm上の位置になる。これにより、ガスがウエハの表面全体に拡散し流れる距離が決まる。各ウエハ7の上にプラズマ室202a、202bがある。プラズマ室は約25cmの高さh2があり、図13に示すように、約20cmの外径を持つ。図12を見ると、プラズマ室の壁216は、水晶やアルミナなどの非導電性材料で形成され、厚さは約4〜6cmである。プラズマ室の壁216の基部は、処理室208の頂部で処理室の壁210に固定する。第3実施例のプラズマ室の頂部のふた217は、アルミニウムまたは同様の導電性材料である。2つのプラズマ室202a、202bは、両者間の電磁干渉を防止するために、導電性仕切り218によって分離する。第3実施例では、この仕切り218はアルミニウムの薄板(厚さは約1/16インチ)で形成する。この仕切りは、プラズマ室および誘導コイルを閉囲し、周囲の環境への電磁干渉の放射を防止する、安全ケージの一体化部品とすることができる。図の明瞭化のために、安全ケージは図12に示されていない。
プラズマ処理室202の上にガス供給システム204を設ける。中心には、ガス(酸素など)をプラズマ処理室に供給するために使用できる投入管(input pipe)220がある。一般に、酸素ガスによるアッシング(ash)の後で残留物を除去するために、添加物も投入管220を介して導入することができる。一般的に、最後まで完全にアッシングするために、酸素ガスが使用される。次に、CFなどの添加物が約O.2%ないし10%の濃度で酸素に添加され、残留汚染物質を除去するために、投人骨を通して約15秒間プラズマ室に導入される。第3実施例では、均―性を向上するために、シャワー・ヘッド型ノズル222によりガスを処理室に横方向に拡散させる。
第3実施例では、投入管220から約1ないし20標準リットル/分の速度でOガスを供給するが、4標準リットル/分(各プラズマ室に2標準リットル/分づつ)が一般的である。しかし、第3実施例では、200標準立法センチメートル/分ないし最高200標準リットル/分までの流量を効果的に使用することができると信じちれる。ガス供給システム204および排気システム206は、誘導電界の強さを前提として解離が促進され心プラズ7からウエハまでの流量および反応室内の圧力を維持するために、協働する。腹案ガスによる処理の場合、1〜5トルの範囲の圧力が使用されるが、1.5トルが望ましい。しかし、イオン密度は増加するが、分割ファラデーシールドおよび荷電粒子フィルタ(以下でさらに詳しく説明する)によりイオン・ポンバードメントが減少するので、0. 1トルもの低い圧力を使用することもできる。
プラズマ室の壁216の周囲は、誘導コイルで取り囲む。誘導コイルは、すでに述べた通り、従来のインピーダンスマッチングネットワーク(回示せず)を介してRF供給源(図示せず)に接続する。第3実施例では、誘導コイル224a、224bの巻数はそれぞれ3巻である。誘導コイル224a、224bは導体の径を約1/4インチとし、巻線は中心距離で約5/8インチ分離することが望ましい。1つのプラズマ室の片側のコイルめ中心からそのプラズマ室の反対側のコイルの中心までの直径は、約9インチである。誘導コイルの中央の巻線の中心は、プラズマ室のほぽ中間あたり、プラズマ処理室の頂部から約11cm、処理室208の頂部から約14cmの位置にくるようにする。これにより、プラズマはプラズマ処理リアクターの中間領域で発生し、実質的にそこに閉じ込められる。
第3実施例では、13.56MHzの周波数および500ワットから1500ワットの間の電力のRFエネルギを誘導コイルに印加することが望ましい。誘導コイルは、プラズマ室202の中間部分内に、実質的に垂直な軸を持ち軸方向に対称なRF磁界および実質的に円周方向の誘導電界を発生させる。これらの電磁界はどちらも、中心軸を中心に回転対称性を示す。この構成では、プラズマは、プラズマリアクターの中間にほぽ円環の形状に集まる。しかし、電場は完全な対称ではなく、実際には反応室壁216の近傍の方がプラズマ室の中心部より強いことを理解されたい。電界およびプラズマの密度は、円環の中心でピークに達する。第3実施例におけるピーク電界Eの代表的な値は、反応室の最も高い位置で約40V/cmであり、ガスの密度Nの代表的な値は、約1016/cmないし1 017/ cmである。 E/Nは約10−15Vcm以下が望ましく、プラズマの中心におけるピーク・イオン密度は約109/cm 未満が望ましい。
第1実施例および第2実施例の場合と同様に、誘導コイルとプラズマの間に分割ファラデーシールドを挿入する。第3実施例では、幅の広いスロットを切った単一分割ファラデーシールドを使用する。図14は、第3実施例による分割ファラデーシールド226の構造を示す。この分割ファラデーシールドは、プラズマ室202の頂部から約3/4インチの位置から始まり、処理室208の頂部から約1−1/4インチ上の位置で終わる垂直のスロットを有する。これにより分割ファラデーシールドをプラズマ室の上に簡単に収まる一体化部品とすることができる。分割ファラデーシールドの下部は、分割ファラデーシ−ルドの全ての部分の共通帰線になる処理室の頂部に接続する。
第3実施例における分割ファラデーシールドは、プラズマ電位が多少変化できるように設計されている。これにより、プラズマ室におけるプラズマの発火およびプラズマ反応の維持が容易になる。それでもなお、分割ファラデーシールドは実質的な容量結合を阻止し、プラズマ電位の変化を所望の量(第3実施例では10ボルト台)に制限する。分割ファラデーシールドのスロットの数および幅は、容量結合および電位の変化のレベルを制御するように選択することができる。第3実施例の分割ファラデーシ−ルド226のスロット228は、上部230が約3/16インチのスロット幅で始まり、中間部231が約3/8インチに広がっている。誘導コイル224は、分割ファラデーシールドのスロットの幅が広くなっているこの中間部分の周囲を囲んでいる。それからスロットは再び狭くなり、下部234で3/16インチになる。スロットの上部および下部の狭い部分230、234は、約2−1/2インチの長さであり、幅広い中間部分234は約3インチの長さである。第3実施例の分割ファラデーシールドの場合、8個のスロットがあり、隣接スロット間は中心距離で約8.35cm離れている。これは、誘導コイルのプラズマヘの容量結合を最大限に阻止するように設計された分割ファラデーシールドと対照的である。より完全に容量結合を阻止する場合は、多数の狭いスリット(30程度以上)を使用したり、第2実施例で説明したような二重分割ファラデーシールドを使用することができる。第3実施例の分割ファラデーシールドは、より多くのプラズマ電位の変化を可能にし、より多くの荷電粒子を発生させるが、プラズマ反応の発火および維持が容易になり、また分割ファラデーシールドの構造がいっそう簡素化される。
図12を見ると、誘導コイル224とプラズマ室壁216の間に、分割ファラデーシールド226が挿入されている。分割ファラデーシールドは、誘導コイル224から約5/16インチ離すことが望ましい。分割ファラデーシールド226は、スロットが含まれる部分の幅が約1000分の115インチである。分割ファラデーシールド226のスロットの無い上部および下部の部分は、分割ファラデーシールドの構造をより頑丈にするために、もっと厚くすることができる(約1/2インチの厚さ)。
ウエハ7に達する荷電粒子電流を減少し、プラズマで発生するUV放射がウエハ7に達するのを阻止するために、プラズマ室202と処理室208の間に、荷電粒子フィルタ240を設ける。第3実施例では、分割ファラデーシールドがプラズマ電位の多少の変化を許容しているので、荷電粒子フィルタ240を設けることが特に望ましい。しかし、荷電粒子フィルタは、第2実施例およびその他の種類のリアクターやストリッピング・システムでも使用すると有利な場合がある。こうした荷電粒子フィルタは、荷電粒子をガス流から篩分けすることが望ましい多数の状況で適用することができる。
第3実施例の荷電粒子フィルタ240は、図15Aでさらに詳しく示す。この荷電粒子フィルタは、アルミニウムなどの導電性材料で形成された上部グリッド402および下部グリッド404を有する。こうした材料を使用するのは、それらの表面に形成される酸化物がふっ素原子の攻撃に強く、かつ銅など他の金属のように酸素原子の分子への再結合を触発しないからである。両グリッドは約1000分の40〜50インチ離すことが望ましく、厚さは約0.16インチである。グリッドは、水晶、アルミナ、または雲母などの絶縁材のブロック406により離れた状態に維持する。各グリッドは、穴の配列を有する。穴は直径が約0.156インチであり、中心距離が約0.28インチ離れている。下部グリッド404の穴の配列410は、上部グリッド402の穴の配列408とは位置がずれている。図15Bは上部グリッド402の平面図であり、穴の配列408の配置を示す。さらに、穴の配列408に対する穴の配列410の配置を、図15Bに破線で示す。上部グリッド402および下部グリッド404を通す視線(見通し線)が無いことが望ましい。これにより、プラズマ室における潜在的損傷力を持つUV放射がウエハ7に達するのを防止する。また、これにより、荷電粒子および解離原子はフィルタを通る非直線流動経路を取らされる。これにより、中性活性種が均一に拡散するための余裕の時間が得られ、また荷電粒子がフィルタでガス流から膨分けされる機会が得られる。
荷電粒子は、グリッド402、404との衝突により、ガス流から篩分けされる。この過程は、上下グリッド402、404の間に電界を誘発することによって強化される。第3実施例では、上部グリッド402を処理室210の壁に電気的に接続し、それによって接地する。下部グリッド404は直流電源232 (バッテリ等)に接続し、下部グリッドに対接地電位を与える。図12には2つの電源232a、232bが示されているが、両方の荷電粒子フィルタ240a、240bに単一の電源を使用できることは、容易に理解されるであろう。第3実施例では、この電位は約一9ボルトであるが、普通の技術の熟練者には、他の電位を使用できることが容易に理解されるであろう。例えば、正の電位を使用してもよい。上下グリッドに異なる電位を印加する目的は、2つのグリッド間の空洞部
に電界を誘発することである。しかし、グリッド間の電位差は、グリッド間にイオン化を誘発しないように制限する必要がある。本発明の範囲は、荷電粒子の捕集を誘発する他の方法(例えば磁界を利用して流動ガス内の浮遊荷電粒子を導電性ベーンまたはプレートに向かわせ、そこで荷電粒子を捕集する方法など)を包含するのは勿論である。
グリッド間の電界は、荷電粒子をグリッドの一方に引き付け、中性活性種(解
離原子など)は実質的に妨害せずに通過させる。上部グリッドに対する負の電位を下部グリッドに印加すると、電界Eは、図15Aに示すように上部グリッドの方向に誘発される。したがって、フィルタ240に入った負の荷電粒子(例えば電子)は上部グリッド402に引き付けられ、正の荷電粒子(例えばイオン)は下部グリッド404に引き付けられる。この電界は、荷電粒子をグリッドに引き付け、そこで捕集してガス流から取り出すことにより、ガス流からの荷電粒子の諦分けを大幅に強化する。
図15Cは、3つのグリッドを使用した本発明による変形荷電粒子フィルタを示す。図15Cの変形実施例は、上部グリッド420ヒ、中間グリッド422、および下部グリッド424の3つのグリッドを使用する。上部グリッドと中間グリッドの構成および関係は、図15Aに関連して先に述べた説明と同じである。第3の下部グリッド424は、中間グリッド422の下に追加する。下部グリッド424は、中間グリッド422から約1000分の40〜50インチ離して配置する。下部グリッド424と中間グリッド422は、水晶、アルミナ、または雲母などの絶縁材の第2ブロック426により離れた状態に維持する。下部グリッド424の穴の配列428の位置が、中間グリッド422の穴の配列430の位置からずれていることは、先に図15Aおよび図15Bに関連して説明したのと同じである。穴の配列428は、同じく中間グリッド422の穴の配列430の位置からずれている上部グリッド420の穴の配列432と同一配置にすることができる。中間グリッド422および下部グリッド424を通す視線(見通し線)が無いことが好ましい。上部グリッド420および中間グリッド422の場合と同様に、中間グリッド422および下部グリッド424はUV放射を遮断し、荷電粒子および解離原子を非直線流動経路で移動させる。中問グリッド422と下部グリッド424の間に電界を誘発させる。これは、下部グリッド424に、中間グリッド422とは異なる電位を印加して電界を誘発することによって達成される。図15Bに示す実施例の場合、接地に対し−9ボルトの電位を中間グリッド422に印加し、接地電位を下部グリッド424に印加する。もちろん、中間グリッド422と下部グリッド424の間に電界を誘発するために、他の電位および他の方法を用いることができることは、容易に理解されるであろう。しかし、電界は、グリッド間にイオン化を誘発しないように制限する必要がある。図15Aに関連して先に述べた通り、誘発された電界は荷電粒子をグリッドに引き付け、それにより荷電粒子の篩分けが強化される。図15Cに示す変形実施例の場合、正の荷電粒子(例えばイオン)は中間グリッド422に引き付けられ、負の荷電粒子(例えば電子)は下部グリッドに引き付けられる。
図15A、図15B、および図15Cに関連して説明した荷電粒子フィルタは、ウエハ7に到達する荷電粒子の濃度を著しく低下する。フィルタが無い場合、約0.1μA/cmの荷電粒子電流がウエハ7に到達する。接地電位の単一グリッドを使用すると、約10nA/cmの荷電粒子電流がウエハ7に到達する。9ボルトの電位差を持つ2つのグリッドを使用すると、0.1nA/cm未満(潜在的にはわずか1pA/cm)の荷電粒子電流がウエハ7に到達する。第2グリッドに対し9ボルトの電位差を持つ第3のグリッドを追加すると、ウエハ7に到達する荷電粒子電流は1 pA/cm2未満に減少することができる。
前記と同様の方法でさらに多くのグリッドを追加することができるが、グリッド数が増えるたびに、アッシング速度はわずかづつ低下する。しかし、第3実施例の誘導結合プラズマは、プラズマ電位の変化を減少し、大量の解離酸素を発生させる。このため、数個のグリッドを使用しても、最高約6ミクロン/分までの高い剥離速度を達成することができる。第3実施例のフィルタ・システムでは複数の等間隔の穴を使用することにより、障壁またはノズルでプラズマをウエハから分離する従来に方法に比べ、処理の均一性が維持されることに注意されたい。さらに、分割ファラデーシールドにより、近接配置された小径の穴を有するグリッドをプラズマの近傍で使用することが可能になり、穴の中でホロー陰極放電を生じることもない。プラズマ電位の変化を減少するために分割ファラデーシールドを使用しなければ、プラズマをグリッドまで押しさげることなく、豊富な酸素原子を解離する充分なエネルギを持つプラズマを発生させることは困難であり、またプラズマがグリッドまで押し下げられると、グリッドの穴の中でホロー陰極放電が誘発される。フィルタにおけるホロー陰極放電は、フィルタ内に濃密なプラズマ(かなりの量の荷電粒子を含む)を発生させるおそれがある。これは、荷電粒子をガス流から膨分けるフィルタの性能を損ねることになる。
変形実施例の荷電粒子フィルタ・システム502を図16に示す。このフィルタ・システム502では、2つの導電性リング504、506が、水晶またはアルミナなどの絶縁材のブロック508により分離されている。上部リング504は接地し、下部リング506には電位を印加する。図16に示す実施形態では、接地に対して−30ボルトの電位が下部リング506に印加される。これにより、プラズマ室に電界Efが発生し、荷電粒子が導電性リング504、506に引き付けられる。いうまでもなく、他の方法を用いて電界を発生させて荷電粒子を取り除いたり、流動ガスが荷電粒子をプラズマからウエハに向かって運ぶときに荷電粒子を導電性金属板に引き付けさせる磁気フィルタなどを使用することもできる。しかし、図16に示す実施形態は、プラズマ内で発生するUV放射を遮断せず、ウエハのSiO層やSiO/Si境界を損傷するおそれがあるので、注意されたい。さらに図16のフィルタ・システムは、図15A、図15B、および図15Cに関連して説明したフィルタ・システムほど効果的ではない。
以上の説明は、当業者が容易に本発明を利用できるように説明したものである。特定の設計および寸法は例示である。より好ましい変形例が当業者にとっては可能である。またここに示した一般原則は、本発明の精神および範囲を逸脱することなく、他の実施形態や適用例にも適用することができる。例えば、使用するガスおよびプラズマの組成は、様々な工程に適合するように変えることができる。特に、誘導電界の強さおよびプラズマの圧力は、解離される原子およびイオンの相対的濃度を調整するために、変化させることができる。このような方法で、本発明のリアクターは幅広い様々な処理工程に適応することができる。例えば、フォトリングラフィの後で、等方性スカム除去のために、約1トルのアルゴン/酸素の組合せを使用することができる。イオン密度を上げるために圧力を約0.1トル以下まで下げ、ブロックにRFバイアスをかけることによって、異方性スカム除去を行なうこともできる。パッド・エッチングに便利なSiの選択的エッチングは、約0.1トルないし3トルの圧力でSFとアルゴンまたはヘリウムの組合せを使用することによって、達成することができる。コンタクト・クリーン(contact cl ean)に便利な等方性シリコン・エッチングは、約0.1トルないし3トルの圧力でアルゴンまたはヘリウムをHおよび/またはSFまたはNFと使用することによって、達成することができる。したがって、本発明をここに示した実施形態に限定するつもりはなく、ここに開示した原理および特徴に従う最も広い範囲が適用されるものとする。
本発明の最も実務的かつより好ましい実施形態を開示したが、本発明が開示した実施形態に限定されず、それどころか、以下の請求頂の精神および範囲に合まれる多数のその他の変形例や同等の構成配置例を包含するものであることは、当業者には容易に理解されるであろう。
先行技術のプラズマ・エッチングリアクダーの構造を示す。 主にアッシングに使用される先行技術のプラズマリアクターの断面図である。 電力が反応室に容量結合される先行技術のプラズマ・エッチングリアクターの等価回路である。 通電電極に印加される高周波信号とプラズマの電圧Vpとシース電圧Vacとの間の関係を示す。 本発明の第1実施例による誘導結合リアクターの側面図である。 本発明の第1実施例による誘導結合リアクターの側面断面図である。 本発明の第1実施例によるリアクターの平面図である。 第1実施例によるリアクターにおいてCs1がCs2よりずっと大きい場合のプラズマ電圧Vpと陰極に印加される高周波電圧との間の関係を示す。 様々な値のE/Ngに対する電子エネルギー分布を示すグラフである 本発明の第2実施例によるリアクターの側面断面図である。 図11Aは、第2実施例のリアクターのインピーダンスZbを与えるために使用する回路であり、図11Bは、第2実施例のリアクターのインピーダンスZsを与えるために使用する回路である。 本発明の第3実施例による二重プラズマ反応システムの側面断面図である。 第3実施例による二重プラズマ反応システムの略示的平面図である。 第3実施例による分割ファラデーシールドの構造を示すプラズマ反応システムの略示的側面図である。 図15Aは、第3実施例による荷電粒子フィルタの略示的側面断面図であり、図15Bは、第3実施例による荷電粒子フィルタで使用するグリッドの平面図であり、 図15Cは、本発明による3グリッド式荷電粒子フィルタの略示的側面断面図である。 本発明による荷電粒子フィルタの代替実施例の略示的側面断面図である。
符号の説明
1 リアクターの壁
2 プラズマ室
3 ガス供給源
4 排気システム
5 RF電源装置
6 通電電極
7 ウエハ
8 スリット弁
9 プラズマ体
10 プラズマ・シース
11 外側管
12 内側管
13 穴
14 ガス管
15−16 電極
17 ウエハ
21−24 シース・インピーダンスをモデル化した要素
25 低インピーダンス抵抗
26−28 シース・インピーダンスをモデル化した要素
31 RF信号
32 プラズマ電圧
33 信号32のピーク(波高値)
34 信号31のピーク(波高値)
35 接地
36 通電電極のシース電圧
40 ベース(基台)
41 室壁(反応室の壁)
42 誘導コイル
43 第1RF電源
44 マッチングネットワーク
45 ファラデーシールド
46 ファラデーシールドの導電性板
47 コイル42の高電圧端
48 ファラデーシールドの導電板間の隙間(ギャップ)
49 ガス供給源
50 プラズマ室
51 第2RF電源
52 通電電極
53 接地された導電板
54 磁石
55 強磁性体のリターン・プレート
56 導電性コイル
57 DC電流源
58 排気口
59 排気システム
60 アルミニウム・ブロック
61 加熱装置
62 プラズマ
63 内側分割ファラデーシールド
64 外側分割ファラデーシールド
71 RF電圧信号
72 プラズマ電圧信号
73 プラズマ電圧信号のピーク(波高値)
74 RF電圧信号のピーク(波高値)
76 プラズマ電圧信号のDC成分
92 E/N〜2.2×10−16 Vcm2の場合の電子エネルギ分布曲線
94 E/N〜4.4×10−16 Vcm2の場合の電子エネルギ分布曲線
96 E/N〜8.8×10−16 Vcm2の場合の電子エネルギ分布曲線
98 E/N〜10.9×10−16 Vcm2の場合の電子エネルギ分布曲線
202 プラズマ室
204 ガス供給システム
206 排気システム
208 ウエハ処理室
210 処理室の壁
212 アルミニウム・ブロック
213 セラミック支持台
214 遮断弁
215 スロットル弁
216 発生室の壁
217 発生室の頂部ふた
218 仕切り
220 投入管
222 ノズル
224 誘導コイル
226 分割ファラデーシールド
228 分割ファラデーシールドのスロット
230 スロットの上部
231 スロットの中間部
232 DC電源
234 スロットの下部
240 荷電粒子フィルタ
302 処理室の幅
304 処理室の奥行
306 外径
308 距離
402 上部グリッド
404 下部グリッド
406 絶縁材のリングまたは層
408 グリッド402の穴の配列
410 グリッド404の穴の配列
420 上部グリッド
422 中間グリッド
424 下部グリッド
426 絶縁材のリングまたは層
428 グリッド424の穴の配列
430 グリヅド422の穴の配列
432 グリッド420の穴の配列
502 フィルタ・システム
504 上部導電性リング
506 下部導電性リング
508 絶縁材のリング

Claims (15)

  1. a) 荷電粒子および活性化中性種を含有するプラズマを発生するプラズマ発生領域を有する室と、
    b) プラズマ発生領域から距離をおいて配置した処理予定の基板と、
    c) プラズマ発生領域と前記基板との間に形成された流動経路と、
    d) 無線周波数の第1電源と、
    e) 前記室のプラズマ発生領域に隣接した誘導コイルであって、前記無線周波数の第1電源に接続されることで同第1電源からプラズマに電力を誘導する誘導コイルと、
    f) プラズマ発生領域と、流動経路を横切る基板との間に配置された荷電粒子フィルターであって、同荷電粒子フィルターは、第1と第2のグリッドが短い距離の間隔をおいて配置され、かつ、荷電粒子フィルターのこれらグリッドの少なくとも一方の厚みが前記短い距離よりも大きく、
    g) 前記誘導コイルとプラズマとの間の分割ファラデーシールドであって、同分割ファラーシールドは、誘導コイルが第1無線周波数の第1電源からプラズマに電力を誘導結合するように、少なくとも一つの実質的に非導電性ギャップを形成する分割ファラデーシールドを備え、
    h) その分割ファラデーシールドが、前記誘導コイルとプラズマの間の容量結合を実質的に低下させ、その結果、荷電粒子フィルターの電位に対するプラズマ電位の変調を低下させることを特徴とした基板処理用プラズマリアクター。
  2. 荷電粒子フィルターが導電性材料を含有している請求項1に記載のプズマリアクター。
  3. 荷電粒子フィルターが実質的に平面であり、そして誘導コイルが荷電粒子フィルターに実質的に平行な誘導電場を前記室内に発生する請求項1に記載のプラズマリアクター。
  4. 荷電粒子フィルターが導電性材料を含有している請求項3に記載のプラズマリアクター。
  5. 荷電粒子フィルターが少なくとも一つの凹んだ領域を形成し、そして分割ファラデーシールドが、荷電粒子フィルターの凹んだ領域に凹んだカソードの放電が起こるのを防止する請求項2に記載のプラズマリアクター。
  6. 第1のグリッドは、近接して配置された一連の開口を有し、第2グリッドは、第1グリッドの前記した一連の開口からずらして(offset)、それ自体近接して間隔をおいて配置された一連の開口を有したことを特徴とする請求項2に記載のプラズマリアクター。
  7. さらに、荷電粒子が荷電粒子フィルターに引き付けられるように、荷電粒子フィルターに結合された第2電源を備えてなる請求項2に記載のプラズマリアクター。
  8. さらに、荷電粒子が荷電粒子フィルターのグリッドに引き付けられるように、電場を荷電粒子フィルター内に誘導する第2電源を備えてなる請求項6に記載のプラズマリアクター。
  9. a) プラズマを発生するプラズマ室と、
    b) 無線周波数第1電源と、
    c) プラズマ室に隣接し、かつ前記第1電源に結合されて同第1電源からプラズマに電力を誘導結合する誘導コイルと、
    d) 誘導コイルとプラズマの間に配置され、かつ誘導コイルが高周波の第1電源からプラズマに電力を誘導結合するように少なくとも一つの実質的に非導電性のギャップを形成する分割ファラデーシールドと、
    e) 処理予定の基板が、生成プラズマに暴露されるように配置された基板の支持体を備えてなり、
    f) 可変インピーダンスが分割ファラデーシールドと接地電位の間に与えられ、かつ、その可変インピーダンスが、処理中、無線周波数の第1電源の与えられた周波数に対して低くなるように選択されることを特徴とした基板処理用プラズマリアクター。
  10. 可変インピーダンスが、プラズマリアクターが点火中、与えられた周波数に対して高くなるよう選択される請求項9に記載のプラズマリアクター。
  11. 可変インピーダンスが直列LC回路によって与えられる請求項9に記載のプラズリアクター。
  12. 前記直列LC回路を可変キャパシタを備えている請求項11に記載のプラズマリアクター。
  13. さらに、プラズマと基板の間に配置された荷電粒子フィルターを備えてなる請求項9に記載のプラズマリアクター。
  14. 荷電粒子フィルターが導電性材料を含有している請求項13に記載のプラズマリアクター。
  15. 荷電粒子フィルターが、一連の相互に近接した開口を形成する第1のグリッドと、前記第1グリッドの近接して設けた一連の開口からずらして近接して設けた一連の開口を形成する第2グリッドとを含む複数の近接したグリッドを有したことを特徴とする請求項14に記載のプラズマリアクター。
JP2004199302A 1994-11-15 2004-07-06 誘導性プラズマリアクター Expired - Lifetime JP4381908B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/340,696 US5811022A (en) 1994-11-15 1994-11-15 Inductive plasma reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP51620796A Division JP3737514B2 (ja) 1994-11-15 1995-11-13 誘導性プラズマリアクター

Publications (2)

Publication Number Publication Date
JP2005045231A JP2005045231A (ja) 2005-02-17
JP4381908B2 true JP4381908B2 (ja) 2009-12-09

Family

ID=23334543

Family Applications (2)

Application Number Title Priority Date Filing Date
JP51620796A Expired - Lifetime JP3737514B2 (ja) 1994-11-15 1995-11-13 誘導性プラズマリアクター
JP2004199302A Expired - Lifetime JP4381908B2 (ja) 1994-11-15 2004-07-06 誘導性プラズマリアクター

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP51620796A Expired - Lifetime JP3737514B2 (ja) 1994-11-15 1995-11-13 誘導性プラズマリアクター

Country Status (3)

Country Link
US (3) US5811022A (ja)
JP (2) JP3737514B2 (ja)
WO (1) WO1996015545A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831260B2 (en) 2016-03-02 2017-11-28 Samsung Electronics Co., Ltd. Semiconductor memory devices
KR20190139324A (ko) * 2017-06-09 2019-12-17 맷슨 테크놀로지, 인크. 균일성 제어를 이용하는 플라즈마 스트립 도구
TWI763793B (zh) * 2017-06-09 2022-05-11 美商得昇科技股份有限公司 電漿處理裝置

Families Citing this family (411)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5573595A (en) * 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5780359A (en) * 1995-12-11 1998-07-14 Applied Materials, Inc. Polymer removal from top surfaces and sidewalls of a semiconductor wafer
KR100440418B1 (ko) 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
WO1997033300A1 (en) * 1996-03-06 1997-09-12 Mattson Technology, Inc. Icp reactor having a conically-shaped plasma-generating section
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JPH10223607A (ja) * 1997-02-03 1998-08-21 Mitsubishi Electric Corp プラズマ処理装置
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US6392187B1 (en) * 1997-10-15 2002-05-21 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
WO1999019526A2 (en) * 1997-10-15 1999-04-22 Tokyo Electron Limited Apparatus and method for adjusting density distribution of a plasma
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
WO1999026277A1 (en) 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6136388A (en) * 1997-12-01 2000-10-24 Applied Materials, Inc. Substrate processing chamber with tunable impedance
US7004107B1 (en) 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2002503031A (ja) * 1998-02-09 2002-01-29 アプライド マテリアルズ インコーポレイテッド 種密度を個別制御するプラズマアシスト処理チャンバ
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6074514A (en) * 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6055928A (en) * 1998-03-02 2000-05-02 Ball Semiconductor, Inc. Plasma immersion ion processor for fabricating semiconductor integrated circuits
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6611249B1 (en) 1998-07-22 2003-08-26 Silicon Graphics, Inc. System and method for providing a wide aspect ratio flat panel display monitor independent white-balance adjustment and gamma correction capabilities
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6218640B1 (en) * 1999-07-19 2001-04-17 Timedomain Cvd, Inc. Atmospheric pressure inductive plasma apparatus
US6613681B1 (en) * 1998-08-28 2003-09-02 Micron Technology, Inc. Method of removing etch residues
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
JP2000091247A (ja) * 1998-09-14 2000-03-31 Tokyo Electron Ltd プラズマ処理装置
US6558504B1 (en) * 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
US6610257B2 (en) 1999-01-11 2003-08-26 Ronald A. Vane Low RF power electrode for plasma generation of oxygen radicals from air
DE69919499T2 (de) * 1999-01-14 2005-09-08 Vlaamse Instelling Voor Technologisch Onderzoek, Afgekort V.I.T.O. Vorrichtung zum Aufbringen von Beschichtungen auf ein Substrat durch eine induktiv-angekoppelte magnetisch-begrenzte Plasmaquelle
US6248251B1 (en) * 1999-02-19 2001-06-19 Tokyo Electron Limited Apparatus and method for electrostatically shielding an inductively coupled RF plasma source and facilitating ignition of a plasma
US6388382B1 (en) 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
DE19927806A1 (de) * 1999-06-18 2001-01-04 Bosch Gmbh Robert Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung
US6890860B1 (en) * 1999-06-30 2005-05-10 Cypress Semiconductor Corporation Method for etching and/or patterning a silicon-containing layer
US6688948B2 (en) * 1999-07-07 2004-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer surface protection method
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
JP4108228B2 (ja) * 1999-07-15 2008-06-25 富士通株式会社 半導体装置の製造方法
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
US6372151B1 (en) 1999-07-27 2002-04-16 Applied Materials, Inc. Storage poly process without carbon contamination
JP3374796B2 (ja) * 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6322661B1 (en) * 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6599842B2 (en) * 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
WO2001046492A1 (en) * 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6420842B1 (en) 2000-01-11 2002-07-16 American Superconductor Corporation Exciter and electronic regulator for rotating machinery
US6426280B2 (en) 2000-01-26 2002-07-30 Ball Semiconductor, Inc. Method for doping spherical semiconductors
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6498107B1 (en) * 2000-05-01 2002-12-24 Epion Corporation Interface control for film deposition by gas-cluster ion-beam processing
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
EP1178134A1 (fr) * 2000-08-04 2002-02-06 Cold Plasma Applications C.P.A. Procédé et dispositif pour traiter des substrats métalliques au défilé par plasma
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100382720B1 (ko) * 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6706142B2 (en) * 2000-11-30 2004-03-16 Mattson Technology, Inc. Systems and methods for enhancing plasma processing of a semiconductor substrate
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US6634313B2 (en) 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US6685799B2 (en) * 2001-03-14 2004-02-03 Applied Materials Inc. Variable efficiency faraday shield
US20020148565A1 (en) * 2001-04-12 2002-10-17 Applied Materials, Inc. Mushroom stem wafer pedestal for improved conductance and uniformity
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
JP4219628B2 (ja) * 2001-07-27 2009-02-04 東京エレクトロン株式会社 プラズマ処理装置および基板載置台
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100481313B1 (ko) 2001-11-09 2005-04-07 최대규 유도결합 플라즈마 반응기
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US7513971B2 (en) 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP2003323997A (ja) * 2002-04-30 2003-11-14 Lam Research Kk プラズマ安定化方法およびプラズマ装置
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7183514B2 (en) * 2003-01-30 2007-02-27 Axcelis Technologies, Inc. Helix coupled remote plasma source
DE10308539B3 (de) * 2003-02-27 2004-06-03 Bauer Maschinen Gmbh Fräsvorrichtung zum Fräsen von Schlitzen im Boden
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US6879109B2 (en) * 2003-05-15 2005-04-12 Axcelis Technologies, Inc. Thin magnetron structures for plasma generation in ion implantation systems
EP1480250A1 (en) * 2003-05-22 2004-11-24 HELYSSEN S.à.r.l. A high density plasma reactor and RF-antenna therefor
US20040237897A1 (en) * 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
US7230258B2 (en) * 2003-07-24 2007-06-12 Intel Corporation Plasma-based debris mitigation for extreme ultraviolet (EUV) light source
US20050048788A1 (en) * 2003-08-26 2005-03-03 Tang Woody K. Sattayapiwat Methods of reducing or removing micromasking residue prior to metal etch using oxide hardmask
US20050194099A1 (en) * 2004-03-03 2005-09-08 Jewett Russell F.Jr. Inductively coupled plasma source using induced eddy currents
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US8038858B1 (en) * 2004-04-28 2011-10-18 Alameda Applied Sciences Corp Coaxial plasma arc vapor deposition apparatus and method
US20050258148A1 (en) * 2004-05-18 2005-11-24 Nordson Corporation Plasma system with isolated radio-frequency powered electrodes
DE102004029466A1 (de) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20070193602A1 (en) * 2004-07-12 2007-08-23 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7400096B1 (en) * 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
US7172969B2 (en) * 2004-08-26 2007-02-06 Tokyo Electron Limited Method and system for etching a film stack
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
CN2737419Y (zh) * 2004-09-20 2005-11-02 张国鸿 一种置球袋
KR100599092B1 (ko) * 2004-11-29 2006-07-12 삼성전자주식회사 구동 주파수 조절에 의한 전자기유도 가속장치
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US7700494B2 (en) * 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
JP4951501B2 (ja) * 2005-03-01 2012-06-13 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
WO2006106764A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路
JP2006332336A (ja) * 2005-05-26 2006-12-07 Toshiba Corp フォトマスク用プラズマエッチング装置およびエッチング方法
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
KR100709354B1 (ko) * 2005-06-17 2007-04-20 삼성전자주식회사 다채널 플라즈마 가속장치
KR100823949B1 (ko) * 2005-06-30 2008-04-22 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭 방법 및 장치
KR100766093B1 (ko) * 2005-07-13 2007-10-11 삼성전자주식회사 플라즈마를 분리 가속시키는 중성 빔 에칭 장치
KR101123003B1 (ko) * 2005-08-04 2012-03-12 주성엔지니어링(주) 플라즈마 처리 장치
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US7947605B2 (en) * 2006-04-19 2011-05-24 Mattson Technology, Inc. Post ion implant photoresist strip using a pattern fill and method
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
US7777152B2 (en) * 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US20080017794A1 (en) * 2006-07-18 2008-01-24 Zyvex Corporation Coaxial ring ion trap
WO2008024392A2 (en) 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
WO2008070331A2 (en) * 2006-10-25 2008-06-12 Continental Automotive Systems Us, Inc. Configurable protocol identification device
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
JP4703604B2 (ja) * 2007-05-23 2011-06-15 株式会社東芝 磁気記録媒体およびその製造方法
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US20090000738A1 (en) * 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
EP2053631A1 (fr) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Procédé et dispositif pour le traitement par plasma de substrats au défilé
JP2011503844A (ja) * 2007-11-01 2011-01-27 ユージン テクノロジー カンパニー リミテッド 高周波駆動誘導結合プラズマを用いたウェハ表面処理装置
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP2009164365A (ja) * 2008-01-08 2009-07-23 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP4309945B1 (ja) * 2008-01-31 2009-08-05 株式会社東芝 磁気記録媒体の製造方法
US20090220865A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Method and apparatus for source field shaping in a plasma etch reactor
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7723240B2 (en) * 2008-05-15 2010-05-25 Macronix International Co., Ltd. Methods of low temperature oxidation
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP5705290B2 (ja) * 2009-01-15 2015-04-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20110094346A (ko) * 2009-01-15 2011-08-23 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리장치 및 플라즈마 생성장치
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US7994724B2 (en) * 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101842675B1 (ko) 2009-07-08 2018-03-27 플라즈마시, 인크. 플라즈마 처리를 위한 장치 및 방법
US8349125B2 (en) * 2009-07-24 2013-01-08 Xei Scientific, Inc. Cleaning device for transmission electron microscopes
US8178280B2 (en) * 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
KR101205242B1 (ko) * 2010-04-30 2012-11-27 주식회사 테라세미콘 플라즈마 처리 장치
US9174296B2 (en) * 2010-10-20 2015-11-03 Lam Research Corporation Plasma ignition and sustaining methods and apparatuses
CN102573429B (zh) * 2010-12-09 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 屏蔽装置、加工方法及设备、半导体设备
US9653264B2 (en) 2010-12-17 2017-05-16 Mattson Technology, Inc. Inductively coupled plasma source for plasma processing
DE102010055889B4 (de) * 2010-12-21 2014-04-30 Ushio Denki Kabushiki Kaisha Verfahren und Vorrichtung zur Erzeugung kurzwelliger Strahlung mittels einer gasentladungsbasierten Hochfrequenzhochstromentladung
US9380693B2 (en) 2011-02-03 2016-06-28 Tekna Plasma Systems Inc. High performance induction plasma torch
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
JP2013084552A (ja) * 2011-09-29 2013-05-09 Tokyo Electron Ltd ラジカル選択装置及び基板処理装置
KR101246191B1 (ko) * 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
EP2604293A1 (en) * 2011-12-14 2013-06-19 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO A surface cleaning device and a method of cleaning a surface.
CN104350584B (zh) 2012-05-23 2017-04-19 东京毅力科创株式会社 基板处理装置及基板处理方法
WO2014014907A1 (en) * 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
CN107195541B (zh) * 2012-07-24 2020-07-24 Ev 集团 E·索尔纳有限责任公司 永久结合晶圆的方法及装置
KR101377997B1 (ko) * 2012-10-08 2014-03-25 주식회사 코디에스 플라즈마 에칭 장치 및 기체 분배장치
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9636048B2 (en) 2013-03-14 2017-05-02 Group Mee Llc Specialized sensors and techniques for monitoring personal activity
US9018111B2 (en) * 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) * 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) * 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10297457B2 (en) 2015-03-19 2019-05-21 Mattson Technology, Inc. Controlling azimuthal uniformity of etch process in plasma processing chamber
JP6515665B2 (ja) * 2015-05-07 2019-05-22 東京エレクトロン株式会社 基板処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10811233B2 (en) * 2016-08-13 2020-10-20 Applied Materials, Inc. Process chamber having tunable showerhead and tunable liner
JP6715129B2 (ja) * 2016-08-31 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180143332A1 (en) 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US20180174801A1 (en) * 2016-12-21 2018-06-21 Ulvac Technologies, Inc. Apparatuses and methods for surface treatment
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US20180323045A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107301943A (zh) * 2017-07-27 2017-10-27 北京北方华创微电子装备有限公司 法拉第屏蔽件及反应腔室
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
WO2019046648A1 (en) * 2017-08-31 2019-03-07 Prodew, Inc. AIR TREATMENT SYSTEMS
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10804109B2 (en) * 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10460914B2 (en) 2017-11-30 2019-10-29 Lam Research Corporation Ferrite cage RF isolator for power circuitry
KR20200072557A (ko) * 2017-12-27 2020-06-22 매슨 테크놀로지 인크 플라즈마 처리 장치 및 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US20200013591A1 (en) * 2018-02-15 2020-01-09 Yield Engineering Systems, Inc. Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
WO2019240930A1 (en) 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN112335017B (zh) 2018-11-16 2024-06-18 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN112601836A (zh) 2019-04-30 2021-04-02 玛特森技术公司 使用甲基化处理选择性沉积
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11049692B2 (en) 2019-07-17 2021-06-29 Mattson Technology, Inc. Methods for tuning plasma potential using variable mode plasma chamber
TW202118354A (zh) 2019-07-17 2021-05-01 美商得昇科技股份有限公司 利用可調式電漿電位的可變模式電漿室
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11189464B2 (en) 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102540773B1 (ko) * 2021-01-19 2023-06-12 피에스케이 주식회사 패러데이 실드 및 기판 처리 장치
US20240096599A1 (en) * 2021-02-08 2024-03-21 Hitachi High-Tech Corporation Plasma processing device
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4116793A (en) * 1974-12-23 1978-09-26 Telic Corporation Glow discharge method and apparatus
US4252608A (en) * 1979-03-16 1981-02-24 The United States Of America As Represented By The United States Department Of Energy Generating end plug potentials in tandem mirror plasma confinement by heating thermal particles so as to escape low density end stoppering plasmas
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4450787A (en) * 1982-06-03 1984-05-29 Rca Corporation Glow discharge plasma deposition of thin films
JPS5984528A (ja) * 1982-11-08 1984-05-16 Nec Kyushu Ltd プラズマエツチング装置
JPS6016424A (ja) * 1983-07-08 1985-01-28 Fujitsu Ltd マイクロ波プラズマ処理方法及びその装置
JPS6020440A (ja) * 1983-07-14 1985-02-01 Tokyo Daigaku イオンビ−ム加工装置
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
DE3708717A1 (de) * 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
JPS6436021A (en) * 1987-07-31 1989-02-07 Canon Kk Microwave plasma processor
DE3801309A1 (de) * 1988-01-19 1989-07-27 Leybold Ag Einrichtung fuer die regelung der targetgleichspannung und der biasgleichspannung von sputteranlagen
US4961820A (en) * 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5226056A (en) * 1989-01-10 1993-07-06 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing method and apparatus therefor
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE4018954A1 (de) * 1989-06-15 1991-01-03 Mitsubishi Electric Corp Trockenaetzgeraet
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0744176B2 (ja) * 1989-08-30 1995-05-15 株式会社東芝 プラズマアッシング方法
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
JP3381916B2 (ja) * 1990-01-04 2003-03-04 マトソン テクノロジー,インコーポレイテッド 低周波誘導型高周波プラズマ反応装置
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
EP0450106A1 (de) * 1990-03-30 1991-10-09 Siemens Aktiengesellschaft Verfahren und Vorrichtung zur Herstellung einer Titannitrid-Schicht für höchstintegrierte Schaltungen mittels chemischer Dampfphasenabscheidung
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
KR0176715B1 (ko) * 1990-07-30 1999-04-15 오가 노리오 드라이에칭방법
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JPH04225226A (ja) * 1990-12-26 1992-08-14 Fujitsu Ltd プラズマ処理装置
JPH0547717A (ja) * 1991-01-22 1993-02-26 Tokyo Electron Ltd プラズマ表面処理の終点検出方法及びプラズマ表面処理装置の状態監視方法
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
JP3115015B2 (ja) * 1991-02-19 2000-12-04 東京エレクトロン株式会社 縦型バッチ処理装置
JPH04354331A (ja) * 1991-05-31 1992-12-08 Sony Corp ドライエッチング方法
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US5228052A (en) * 1991-09-11 1993-07-13 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing apparatus
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP3401801B2 (ja) * 1992-06-17 2003-04-28 株式会社日立製作所 イオンビーム装置
US5462629A (en) * 1992-08-28 1995-10-31 Kawasaki Steel Corp. Surface processing apparatus using neutral beam
JPH0684837A (ja) * 1992-09-04 1994-03-25 Mitsubishi Electric Corp プラズマ処理装置
JP2625072B2 (ja) * 1992-09-08 1997-06-25 アプライド マテリアルズ インコーポレイテッド 電磁rf結合を用いたプラズマ反応装置及びその方法
JPH06163465A (ja) * 1992-11-24 1994-06-10 Hitachi Ltd ドライエッチング装置
JPH06252096A (ja) * 1993-02-24 1994-09-09 Hitachi Ltd 半導体加工装置
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5696428A (en) 1995-06-07 1997-12-09 Lsi Logic Corporation Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831260B2 (en) 2016-03-02 2017-11-28 Samsung Electronics Co., Ltd. Semiconductor memory devices
US10224339B2 (en) 2016-03-02 2019-03-05 Samsung Electronics Co., Ltd. Semiconductor memory devices
KR20190139324A (ko) * 2017-06-09 2019-12-17 맷슨 테크놀로지, 인크. 균일성 제어를 이용하는 플라즈마 스트립 도구
KR102245720B1 (ko) * 2017-06-09 2021-04-29 매슨 테크놀로지 인크 균일성 제어를 이용하는 플라즈마 스트립 도구
TWI763793B (zh) * 2017-06-09 2022-05-11 美商得昇科技股份有限公司 電漿處理裝置

Also Published As

Publication number Publication date
US6551447B1 (en) 2003-04-22
WO1996015545A1 (en) 1996-05-23
JP2005045231A (ja) 2005-02-17
JP3737514B2 (ja) 2006-01-18
US5811022A (en) 1998-09-22
US6143129A (en) 2000-11-07
JPH10508985A (ja) 1998-09-02

Similar Documents

Publication Publication Date Title
JP4381908B2 (ja) 誘導性プラズマリアクター
JP3691784B2 (ja) 低周波誘導型高周波プラズマ反応装置
US6083363A (en) Apparatus and method for uniform, low-damage anisotropic plasma processing
US6849857B2 (en) Beam processing apparatus
KR101488538B1 (ko) 다중 주파수 rf 전력을 이용한 하이브리드 rf 용량 및 유도 결합형 플라즈마 소스 및 그 사용 방법
US5964949A (en) ICP reactor having a conically-shaped plasma-generating section
JP3912993B2 (ja) 中性粒子ビーム処理装置
US20020033233A1 (en) Icp reactor having a conically-shaped plasma-generating section
JP4070152B2 (ja) トロイダル低電場反応性ガスソース
US7034285B2 (en) Beam source and beam processing apparatus
TW201606111A (zh) 使用上游電漿源來進行的後腔室減污
KR20170022902A (ko) Icp 플라즈마들에서 유전체 윈도우를 재컨디셔닝하도록 전력공급된 정전 패러데이 차폐의 인가
WO2002078042A2 (en) Neutral particle beam processing apparatus
US6909087B2 (en) Method of processing a surface of a workpiece
US6909086B2 (en) Neutral particle beam processing apparatus
JP2006508541A (ja) 基板帯電ダメージを抑制するための方法及び装置
JP2004281231A (ja) ビーム源及びビーム処理装置
KR20030019973A (ko) 전원공급구조가 개선된 플라즈마 식각장치 및 그 장치의세정방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070820

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070823

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071115

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080304

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080619

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080829

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20081003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090309

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090309

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090528

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090817

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090916

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121002

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131002

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term