JP2013118377A - パワートランジスタ装置のための多層構造を作製する方法、ヘテロ接合電界効果トランジスタ装置のための多層構造を作製する方法、および窒化物系ヘテロ接合電界効果トランジスタ装置 - Google Patents

パワートランジスタ装置のための多層構造を作製する方法、ヘテロ接合電界効果トランジスタ装置のための多層構造を作製する方法、および窒化物系ヘテロ接合電界効果トランジスタ装置 Download PDF

Info

Publication number
JP2013118377A
JP2013118377A JP2012262399A JP2012262399A JP2013118377A JP 2013118377 A JP2013118377 A JP 2013118377A JP 2012262399 A JP2012262399 A JP 2012262399A JP 2012262399 A JP2012262399 A JP 2012262399A JP 2013118377 A JP2013118377 A JP 2013118377A
Authority
JP
Japan
Prior art keywords
layer
nitride
reaction chamber
source
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012262399A
Other languages
English (en)
Inventor
Jamal Ramdani
ジャマル・ラムダニ
lin lin Liu
リュウ・リンリン
Paul Edwards John
ジョン・ポール・エドワーズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Power Integrations Inc
Original Assignee
Power Integrations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Power Integrations Inc filed Critical Power Integrations Inc
Publication of JP2013118377A publication Critical patent/JP2013118377A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】III族窒化物系化合物半導体装置およびその作製方法を提供する。
【解決手段】パワートランジスタ装置のための多層構造を作製する方法は、反応室内で、窒素プラズマストライクを行ない、その結果窒化物系活性半導体層のすぐ上に窒化物層を形成するステップを含む。次に、窒化物層の上面を第2の源に露出する。その後の窒素−酸素プラズマストライクの結果、窒化物層のすぐ上に酸窒化物層が形成される。窒化物層はパシベーション層を備え、酸窒化物層はパワートランジスタ装置のゲート誘電体を備える。
【選択図】図1C

Description

本発明は一般的に、III族窒化物系化合物半導体装置およびその作製方法に関し、より特定的には、GaN高電子移動度トランジスタ(HEMT)およびGaNヘテロ接合電界効果トランジスタ(HFET)を含む窒化ガリウム(GaN)スイッチング装置ならびにそのようなパワートランジスタ装置を作製する方法に関する。
背景
窒化ガリウム(GaN)および他のバンドギャップの広いIII族窒化物系直接遷移型半導体材料は、珪素系装置に対するそれらの優れた物理的好ましさにより、ある電子機器で有利に利用される。たとえば、GaNおよびAlGaN/GaNトランジスタは、GaN系材料および装置の構造が与える高い電子移動度、高い絶縁破壊電圧、および高飽和電子速度特性により、高速スイッチングおよび高パワー適用例で通常用いられる。
GaNおよびAlGaN/GaN集積回路(IC)装置は、サファイア、炭化珪素、単結晶GaN、Siなどの基板材料上に半絶縁(高抵抗)GaNバッファ層をエピタキシャル成長させることによって典型的に準備される。高電圧動作のためには、IC装置は、GaNバッファ層を通る漏れ電流を最小にしつつ、高い絶縁破壊電圧VBRを有する必要がある。漏れ電流の1つの源は、GaNバッファ層中の酸素などの残留ドナーによる意図しないドーピング(UID)である。たとえば、酸素は、フロントエンドおよびバックエンド作製処理ステップの間の表面汚染の結果、GaNバッファ層中に意図せず導入されることがある。また、GaNの圧電性とパシベーションの間に通常行なわれる複数の誘電体堆積との結果、(正または負のいずれかの)電荷蓄積が界面で起こることがある。この電荷蓄積は、IC装置の電圧−電流特性および周波数応答に悪影響を及ぼす可能性がある。
本発明の非限定的かつ非網羅的な実施形態を以下の図と関連して説明し、特に明記されなければさまざまな図を通して同じ参照番号は同じ部分を指す。
図面のいくつかの図を通して、対応の参照番号は対応の構成要素を示す。当業者は、図中の要素が簡潔さおよび明瞭さのために図示されており、かつ縮尺通りに必ずしも描かれていないことを認めるであろう。たとえば、図中の要素のうちいくつかの寸法は、本発明のさまざまな実施形態の理解を向上させるのを助けるために他の要素に対して誇張されているかもしれない。また、商業的に見込みのある実施形態で有用なまたは必要な、一般的であるが十分に理解される要素は、本発明のこれらのさまざまな実施形態のより妨げられない見方を容易にするために、示されていないことがしばしばある。
本発明の実施形態に従う、作製プロセスの段階の例示的なGaN系HFET装置を図示する側面断面図である。 本発明の実施形態に従う、作製プロセスの段階の例示的なGaN系HFET装置を図示する側面断面図である。 本発明の実施形態に従う、作製プロセスの段階の例示的なGaN系HFET装置を図示する側面断面図である。 作製プロセスの完了後の、図1A−図1Cに示される本発明の実施形態に従う別の例示的なGaN HFETの側面断面図である。 パシベーション化ゲート誘電体/絶縁多層構造の形成のためのステップの例示的なシーケンスの図である。
詳細な説明
以下の説明では、本発明の完全な理解を与えるため、数多くの具体的な詳細を述べる。しかしながら、本発明を実践するのに具体的な詳細を用いる必要がないことが当業者には明らかであろう。他の事例では、本発明を曖昧にすることを回避するため、周知の材料または方法を詳細に説明していない。
この明細書を通じて、「一実施形態」、「ある実施形態」、「一例」、または「ある例」に対する参照は、実施形態または例と関連して説明される特定的な特徴、構造、または特性が本発明の少なくとも一実施形態に含まれることを意味する。したがって、「一実施形態では」、「ある実施形態では」、「一例」、または「ある例」という、この明細書を通じてさまざまな場所に現われる文言は、必ずしも同じ実施形態または例をすべて指しているわけではない。さらに、特定的な特徴、構造、または特性は、1つ以上の実施形態または例において任意の好適な組合せおよび/または副次的組合せで組合されてもよい。特定的な特徴、構造、または特性は、集積回路、電子回路、組合せ論理回路、または記載の機能性を提供する他の好適な構成要素に含まれてもよい。さらに、ここで与えられる図は当業者への説明目的のためのものであり、図面は必ずしも縮尺通りに描かれているわけではないことが認められる。
本明細書中で用いられるような「ウェハ」は、集積回路の作製で用いられる、珪素、サファイア、炭化珪素、窒化ガリウムなどの結晶などの半導体材料の薄いスライスである。
本発明の実施形態に従うと、GaN系トランジスタ装置と、HFETのためのパシベーション化ゲート誘電体(たとえば酸化物)多層を形成するインサイチュー処理ステップを含む、これを作製する方法とが開示される。窒化アルミニウム(AlN)および酸窒化アルミニウム(AlON)に基づく新たな材料組合わせは、パシベーションの際の電荷蓄積を軽減する作製プロセスのフローで有利に利用される。同時に、高パワーGaN系HEMTで用いるための高品質ゲート酸窒化物層が生成される。一実施形態では、原子層堆積(ALD)反応室技術を利用して、活性トランジスタ装置層の上に窒化化合物(たとえばAlN)の高品質の薄い層を形成し、その後すぐに、酸窒化物(たとえばAlON)材料層を備えるゲート酸化物を堆積する。
図1A−図1Cは、例示的な作製プロセスにおけるさまざまな段階での半導体装置構造(たとえば、GaN HFET装置)の側面断面図を図示する。たとえば、図1Aは、たとえば、サファイア、Si、GaN、またはSiCなどの多数の異なる材料のうち任意の1つから形成される基板115を含むバルクエピタキシャルウェハ102を示す。エピタキシャルGaNバッファ層120は、基板115上の第1の活性層として形成されて示される。格子不整合および/または熱膨張係数の相違に伴って起こり得る問題を回避するため、基板115とバッファ層120との間にオプションの薄い核生成層(たとえば図2の層215を参照)を形成してもよい。
図1Aはさらに、GaNバッファ層120の上に形成される窒化アルミニウムガリウム(AlGaN)層120を示す。AlGaN層120は、GaN HFET装置の第2の活性層を備える。2つの材料層同士の間のバンドギャップの差により、AlGaN層120とGaNバッファ層120との間の界面に二次元電子ガスチャネル(2−DEG)130が形成される。
示されるように、図1Aは、それぞれGaN HFET装置のソースおよびドレイン電極を備えるオーミック金属コンタクト180および170の形成の直後の作製プロセス中の時点での装置構造も図示する。図1Aは、AlGaN層120のすぐ上に形成されたオーミック金属コンタクト180および170を示す。他の実施形態では、オーミック金属コンタクト180および170は、AlGaN層125を通って鉛直方向下向きに延在する凹部の中に形成されてGaNバッファ層120に接してもよい。
図1Bは、パシベーション化ゲート誘電体構造を備える多層構造140の形成後の図1Aの例示的なGaN HFET装置の構造を図示する。一実施形態では、多層構造140は、AlGaN層142のすぐ上に配設されるAlN層142と、AlN層140のすぐ上に配設されるAlON層145とを備える。他の実施形態では、パシベーション層142は、SiNまたは他の同様の窒化物系の材料を備えてもよい。ゲート誘電体層145は、酸窒化珪素(SiON)または同様の性質を有する別の酸窒化物材料も備えてもよい。
図1Bは、AlON層145のすぐ上に配設されるオプションの薄い(たとえば2−4nmの)第2のパシベーション層148も示す。示される例では、第2のパシベーション層148は窒化珪素(SiN)を備える。第2のパシベーション層148はある実施形態では必要ないかもしれないことが認められる。示されるように、多層構造140は、下にあるAlGaN(活性)層125の表面酸化/汚染を防止する第1のまたは初期パシベーション層、および完成したGaN HFET装置のためのゲート誘電体(絶縁体)としての両者としても機能する。さらに、AlNパシベーション層140は、電荷の効率的な変調を助け、漏れ電流を低減し、完成したGaN HFET装置のオフ状態の耐圧を増大させる。
一実施形態では、多層構造140は、ALD反応室中でインサイチューで形成され、AlN層140は約2−10nmの範囲の厚みに形成され、AlON層145は約10−25nm厚の範囲の厚みに形成される。ある実施形態では、AlON層145は、窒化物から酸窒化物層(膜)へと滑らかに徐々に遷移するような勾配をつけられてもよい。すなわち、AlON層145中の窒素組成は、AlN層140との界面での(たとえば100%のまたは100%近くの)最も高い原子百分率からAlON層145の上面でのまたはその近くでの(たとえば数原子パーセントなどの)最も低い百分率まで変化してもよい。
図1Cは、ゲート部材160、ゲートフィールドプレート165、および示される例ではSiNを備えるプラズマ強化化学気相成長(PECVD)の第2のパシベーション層170の形成後の図1Bの装置の構造を図示する。半導体の技術分野の当業者は、図1Cが完全に作製されたGaN HFET装置を図示することを理解するであろう。ウェハの表面上に(たとえばパターニングされた線またはトレースなどの)金属を形成すること、(裏面ラッピングまたはウェハ薄板化とも称される)ウェハのバックグラインド、ダイ分離、およびパッケージ化を含む他の標準的な製造後またはバックエンド処理ステップを行なってもよいことがさらに認められる。
図2は、上述のような第1のパシベーションとゲート誘電体との組合せを備える多層構造240を含む別の完全に作製されたGaN HFET装置200の側面断面図である。示される例では、GaN HFET装置200は、基板210の上に配設される核生成(遷移)層215を含む。基板210は、サファイア、珪素、窒化ガリウム、または炭化珪素(SiC)などの材料を、限定されることなく、備えてもよい。一実施形態では、核生成層215は、アルミニウムに富んだAlGaN層(AlxGa(x-1)N;0>x>1)を備える。基板210、核生成層215、およびGaNバッファ層220は一括してバルクエピタキシャルウェハ202を備える。
引き続いてGaN HFET200の例示的な装置構造について、核生成層215の上にGaNバッファ層220が配設され、AlGaN(またはより一般的にはAlxGa(x-1)N;0>x>1)層225がGaNバッファ層220の上に配設される。GaN HFET装置200は、多層(たとえばパシベーション化ゲート誘電体)構造235、第2のパシベーション層240、第3のパシベーション層245、およびポリイミド封入層290をさらに含む。ソースおよびドレインオーミック金属コンタクト280および270はそれぞれ、AlGaN層225のすぐ上に(または代替的にその凹部の中に)形成される。ゲート部材260は、多層構造240の上に配設される。GaN HFET装置200は、ゲートフィールドプレート265およびソースフィールドプレート285を含むようにも示される。
図3は、第1のパシベーション化ゲート誘電体多層構造の形成のステップの例示的なシーケンスである。HFETのソースおよびドレイン領域へのオーミック金属コンタクトの形成に引き続いて、多層構造形成プロセスは、ALD反応室へのウェハのすぐの搬入で始まる(ブロック301)。望まれないGa23層の形成という結果を生じ得るウェハ上面(すなわち活性層)の酸化を防止するため、周囲雰囲気への長時間の露出を最小限にしなければならない。一実施形態では、ウェハがチャンバ内に搬入された後は、温度を約300Cで安定化して約3分間真空下に留める。
ALD反応室に一旦搬入されると、ウェハ(たとえば図1のAlGaN層125)の上面は、典型的には低パワーで行なわれる窒素(N2)プラズマストライクに露出される(ブロック302)。このプラズマ露出は、その後のALD堆積ステップのためにウェハ材料の表面を準備するための洗浄ステップとして働く。
次に、ステップのシーケンスの反復サイクルで第1の(たとえばAlN)パシベーション層が形成される(ブロック303)。各サイクルの典型的な持続時間は、約20msから約20秒のどこかをとってもよく、この結果、0.1Å−3.0Å厚の層(膜)が形成される。一実施形態では、シーケンスは、アルミニウム源、たとえばトリメチルアルミニウム(TMA)にウェハを約30ミリ秒露出することで始まる。これによりアルミニウムがウェハの上面(たとえばAlGaN)と反応できるようになる。アルミニウム源への露出の後、約2秒間アルゴンガスを一気に流すことによってチャンバおよびラインからアルミニウムをパージする。
チャンバとラインからアルミニウムがパージされた後、約15秒間窒素プラズマストライクを行なう。このステップは、ウェハの表面上に以前堆積されたアルミニウムと反応する窒素の源を提供する。換言すると、表面反応が起こって、その結果、AlNパシベーション層が形成される。以前論じたように、代替的な実施形態では、アルミニウムよりもむしろ珪素を源として用いて、SiNパシベーション層も形成してもよい。N2プラズマストライクの後、チャンバおよびラインを(たとえば約3秒間アルゴンガスで)再びパージして、その後サイクルを繰返して所望の材料層厚みを達成してもよい。上述の例示的なGaN HFET装置については、AlNパシベーション層の厚みは2−10nm厚のおよその範囲にある。
次に反復サイクルの第2のシーケンスを行なって、第1のAlNパシベーション層の上にゲート誘電体(たとえば酸化物)層を形成する(ブロック304)。ウェハは、先行するステップのいずれの後でもALD反応室から取出されないことに留意されたい。すなわち、パシベーション化ゲート誘電体多層構造の形成は、インサイチューで、すなわち、多層構造の形成のために必要な処理ステップ全体についてウェハがALD反応室中にある状態で、達成される。
一実施形態では、第2のシーケンスは、特定のドーズ(dose)でアルミニウム源(TMA)に露出することで始まり、その結果、AlN表面上でアルミニウムの反応が起こる。次に、ALD反応室およびラインは、約2秒間アルゴンガスを一気に流すことによってパージされる。次に、窒素−酸素プラズマストライクを約15秒間行なって、窒素および酸素の2つの源を与えて、ウェハの表面上に先に堆積されているアルミニウムと反応させる。このステップの結果、下にあるAlNパシベーション層の上にAlONの滑らかな原子層が形成される。いずれの過剰な窒素および酸素も洗い流すようにアルゴンガスを約3秒間用いて、チャンバおよびラインの2回目のパージを再び行なう。上記ステップのシーケンスを必要に応じて繰返して所望の層(膜)厚みを生成してもよい。一実施形態では、AlONゲート誘電体層は約10−25nmの厚みに形成される。
AlON層の形成に続いて、ウェハがALD反応室中に留まったままでAlON層の上にオプションのSiN層(たとえば2−4nm厚)を形成してもよい。次にALD反応室からウェハを取出して(ブロック305)、次に、GaN HFET装置を完全に作製するのに必要な残余の処理ステップを行なう。ALD反応室中での合計処理時間は、多層構造を形成するパシベーションおよびゲート誘電体層の所望の合計厚みの関数であることが認められる。
要約書に記載されるものを含む、図示される本発明の例の上記説明は、網羅的であること、または開示される正確な形態への限定を意図されるものではない。発明の特定の実施形態および例が本明細書中で例示の目的のために記載されたが、本発明のより広い意図および範囲から逸脱することなく、さまざまな均等の変形例が可能である。実際に、具体的な例示的厚み、材料の種類、処理ステップなどは説明の目的のために与えられるものであり、かつ本発明の教示に従う他の実施形態および例では他の値も用いてもよいことが認められる。上記の詳細な説明に照らして、発明の例にこれらの変形をなすことができる。以下の請求項で用いられる用語は、明細書および請求項に開示される特定の実施形態に発明を限定するものと解釈されてはならない。むしろ、その範囲全体は、確立された請求項解釈の原則に従って解釈されるべき以下の請求項によって定められるべきものである。したがって、本明細書および図は、制限的というよりはむしろ例示的なものとしてみなされるべきである。
102,202 バルクエピタキシャルウェハ、115,210 基板、120,220 GaNバッファ層、125,225 AlGaN層、130 二次元電子ガスチャネル(2−DEG)、170,180,270,280 オーミック金属コンタクト、140,235 多層構造、145 AlON層、148,240 第2のパシベーション層、200 GaN HFET装置、215 核生成層、245 第3のパシベーション層、301,302,303,304,305 ブロック

Claims (29)

  1. パワートランジスタ装置のための多層構造を作製する方法であって、
    (a)窒化物系活性半導体層を有するウェハを反応室に搬入するステップと、
    (b)前記反応室内で、前記窒化物系活性半導体層の上面を第1の源に露出するステップと、
    (c)前記反応室内で、窒素(N)プラズマストライクを行ない、その結果、前記窒化物系活性半導体層のすぐ上に窒化物層を形成するステップと、
    (d)前記反応室内で、前記窒化物層の上面を第2の源に露出するステップと、
    (e)前記反応室内で、窒素−酸素プラズマストライクを行ない、その結果、前記窒化物層のすぐ上に酸窒化物層を形成するステップとを備え、
    前記窒化物層はパシベーション層を備え、前記酸窒化物層は前記パワートランジスタ装置のゲート誘電体を備える、方法。
  2. 前記第1の源はアルミニウム(Al)を備え、前記窒化物層はAlN層を備える、請求項1に記載の方法。
  3. 前記第1の源は珪素(Si)を備え、前記窒化物層はSiN層を備える、請求項1に記載の方法。
  4. 前記第1の源はトリメチルアルミニウム(TMA)源を備える、請求項1に記載の方法。
  5. 前記第2の源はアルミニウム(Al)を備え、前記酸窒化物層はAlON層を備える、請求項1に記載の方法。
  6. 前記第2の源はトリメチルアルミニウム(TMA)源を備える、請求項1に記載の方法。
  7. 前記窒化物層が第1の厚みに形成されるまでステップ(b)および(c)を繰返すステップをさらに備える、請求項1に記載の方法。
  8. 前記第1の厚みは約2−10nm厚の範囲にある、請求項7に記載の方法。
  9. 前記酸窒化物層が第2の厚みに形成されるまでステップ(d)および(e)を繰返すステップをさらに備える、請求項1に記載の方法。
  10. 前記第2の厚みは約10−25nm厚の範囲にある、請求項9に記載の方法。
  11. 前記反応室から前記ウェハを取出すステップをさらに備える、請求項1に記載の方法。
  12. 前記反応室内で、前記酸窒化物層の上に窒化珪素層を形成するステップと、
    前記反応室から前記ウェハを取出すステップとをさらに備える、請求項1に記載の方法。
  13. 前記酸窒化物層は、前記窒化物層でのまたはその近くでの最も高い原子百分率から前記酸窒化物層の上面でのまたはその近くでの最も低い原子百分率まで変化する勾配のある窒素組成で形成される、請求項1に記載の方法。
  14. 前記窒化物系半導体層はAlGaNを備える、請求項1に記載の方法。
  15. ヘテロ接合電界効果トランジスタ(HFET)装置のための多層構造を作製する方法であって、
    (a)反応室内で、窒化物系半導体層の上面を第1のアルミニウム源に露出するステップと、
    (b)前記反応室内で、前記ウェハを窒素(N)プラズマに露出して、その結果、前記窒化物系半導体層の上に窒化アルミニウム(AlN)層を形成するステップと、
    (c)前記反応室内で、前記AlN層の上面を第2のアルミニウム源に露出するステップと、
    (d)前記反応室内で、前記ウェハを窒素−酸素プラズマに露出して、その結果、前記AlN層の上に酸窒化アルミニウム(AlON)層を形成するステップとを備え、
    前記窒化物層はパシベーション層を備え、前記酸窒化物層はパワートランジスタ装置のゲート誘電体を備える、方法。
  16. 前記第1のアルミニウム源および前記第2のアルミニウム源は両者ともトリメチルアルミニウム(TMA)源を備える、請求項15に記載の方法。
  17. 前記AlN層が第1の厚みに形成されるまでステップ(a)および(b)を繰返すステップをさらに備える、請求項15に記載の方法。
  18. 前記第1の厚みは約2−10nm厚の範囲にある、請求項17に記載の方法。
  19. 前記AlON層が第2の厚みに形成されるまでステップ(c)および(d)を繰返すステップをさらに備える、請求項15に記載の方法。
  20. 前記第2の厚みは約10−25nm厚の範囲にある、請求項19に記載の方法。
  21. 前記反応室内で、前記AlON層の上に窒化珪素層を形成するステップをさらに備える、請求項15に記載の方法。
  22. 前記AlON層は、前記窒化物層でのまたはその近くでの最も高い原子百分率から前記酸窒化物層の上面でのまたはその近くでの最も低い原子百分率まで変化する勾配のある窒素組成で形成される、請求項15に記載の方法。
  23. 前記窒化物系半導体層はAlGaNを備える、請求項15に記載の方法。
  24. 窒化物系ヘテロ接合電界効果トランジスタ(HFET)装置であって、
    第1の活性半導体層と、
    前記第1の活性半導体層の上に配設される第2の活性半導体層とを備え、その間に二次元電子ガスが形成され、さらに
    前記第2の活性半導体層のすぐ上に配設される第1の窒化物系パシベーション層および前記第1の窒化物系パシベーション層のすぐ上に配設される酸窒化物層を含む多層構造を備え、前記第1の窒化物系パシベーション層は第1の厚みを有し、前記酸窒化物層は第2の厚みを有し、前記酸窒化物層は前記窒化物系HFET装置のゲート誘電体を備え、さらに
    前記第2の活性半導体層のすぐ上に、またはそれを通って延在する凹部の中に、離間されたソースおよびドレインオーミックコンタクトを備える、窒化物系HFET装置。
  25. 前記第1の窒化物系パシベーション層はAlNを備える、請求項24に記載の窒化物系HFET装置。
  26. 前記第1の窒化物系パシベーション層はSiNを備える、請求項24に記載の窒化物系HFET装置。
  27. 前記第1の厚みは約2−10nm厚の範囲にある、請求項24に記載の窒化物系HFET装置。
  28. 前記酸窒化物層はAlONを備える、請求項24に記載の窒化物系HFET装置。
  29. 前記第2の厚みは約10−25nm厚の範囲にある、請求項24に記載の窒化物系HFET装置。
JP2012262399A 2011-12-01 2012-11-30 パワートランジスタ装置のための多層構造を作製する方法、ヘテロ接合電界効果トランジスタ装置のための多層構造を作製する方法、および窒化物系ヘテロ接合電界効果トランジスタ装置 Pending JP2013118377A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/373,811 2011-12-01
US13/373,811 US8633094B2 (en) 2011-12-01 2011-12-01 GaN high voltage HFET with passivation plus gate dielectric multilayer structure

Publications (1)

Publication Number Publication Date
JP2013118377A true JP2013118377A (ja) 2013-06-13

Family

ID=47632686

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012262399A Pending JP2013118377A (ja) 2011-12-01 2012-11-30 パワートランジスタ装置のための多層構造を作製する方法、ヘテロ接合電界効果トランジスタ装置のための多層構造を作製する方法、および窒化物系ヘテロ接合電界効果トランジスタ装置

Country Status (5)

Country Link
US (2) US8633094B2 (ja)
EP (1) EP2600404A3 (ja)
JP (1) JP2013118377A (ja)
CN (1) CN103137476B (ja)
TW (1) TWI500149B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015056437A (ja) * 2013-09-10 2015-03-23 トランスフォーム・ジャパン株式会社 半導体装置
JP2016539496A (ja) * 2013-10-15 2016-12-15 蘇州晶湛半導体有限公司Enkris Semiconductor,Inc. Iii族窒化物半導体デバイスおよびその製造方法
JP2017045943A (ja) * 2015-08-28 2017-03-02 富士電機株式会社 窒化物半導体装置の製造方法
JPWO2015008430A1 (ja) * 2013-07-16 2017-03-02 パナソニックIpマネジメント株式会社 半導体装置
WO2019009006A1 (ja) * 2017-07-07 2019-01-10 パナソニック株式会社 半導体装置
JP2019075558A (ja) * 2017-10-12 2019-05-16 パワー・インテグレーションズ・インコーポレーテッド ヘテロ構造デバイスのためのゲートスタック
JP6793887B1 (ja) * 2020-01-10 2020-12-02 三菱電機株式会社 半導体装置およびその製造方法

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012143742A1 (en) * 2011-04-21 2012-10-26 Aristotle University Of Thessaloniki-Research Committee Method for in-line determination of film thickness and quality during printing processes for the production of organic electronics
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US10002957B2 (en) * 2011-12-21 2018-06-19 Power Integrations, Inc. Shield wrap for a heterostructure field effect transistor
JP6090764B2 (ja) * 2012-05-24 2017-03-08 ローム株式会社 窒化物半導体装置およびその製造方法
US9443941B2 (en) * 2012-06-04 2016-09-13 Infineon Technologies Austria Ag Compound semiconductor transistor with self aligned gate
US8928037B2 (en) 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US9443737B2 (en) * 2013-04-03 2016-09-13 Texas Instruments Incorporated Method of forming metal contacts in the barrier layer of a group III-N HEMT
US8916427B2 (en) * 2013-05-03 2014-12-23 Texas Instruments Incorporated FET dielectric reliability enhancement
TWI653742B (zh) * 2014-05-30 2019-03-11 台達電子工業股份有限公司 半導體裝置與其之製造方法
US9640620B2 (en) 2014-11-03 2017-05-02 Texas Instruments Incorporated High power transistor with oxide gate barriers
CN104409495B (zh) * 2014-11-18 2017-03-29 西安电子科技大学 直角栅场板异质结场效应晶体管及其制作方法
CN104393042B (zh) * 2014-11-18 2017-04-19 西安电子科技大学 T形漏场板异质结功率器件及其制作方法
CN104393030B (zh) * 2014-11-18 2017-04-19 西安电子科技大学 绝缘栅型直角复合源场板功率晶体管
CN104409494B (zh) * 2014-11-18 2017-04-19 西安电子科技大学 基于直角源场板和直角漏场板的复合场板功率器件
CN104409480B (zh) * 2014-11-18 2017-05-10 西安电子科技大学 绝缘栅型直角源场板高电子迁移率器件及其制作方法
US9799509B2 (en) * 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US9837281B2 (en) * 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
US10745808B2 (en) 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US9941384B2 (en) 2015-08-29 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
CN105405878B (zh) * 2015-11-27 2018-11-16 西安电子科技大学 多沟道侧栅结构的绝缘栅AlGaN/GaN高电子迁移率晶体管
CN105448962B (zh) * 2015-11-27 2019-01-08 西安电子科技大学 多沟道侧栅结构的AlGaN/GaN高电子迁移率晶体管
US9490335B1 (en) 2015-12-30 2016-11-08 International Business Machines Corporation Extra gate device for nanosheet
US20180076310A1 (en) * 2016-08-23 2018-03-15 David Sheridan Asymmetrical blocking bidirectional gallium nitride switch
JP6594272B2 (ja) * 2016-09-02 2019-10-23 株式会社東芝 半導体装置及びその製造方法
CN106373884B (zh) * 2016-09-08 2019-12-24 西安电子科技大学 复合栅介质GaN基绝缘栅高电子迁移率晶体管的制作方法
TWI736600B (zh) 2017-03-31 2021-08-21 聯穎光電股份有限公司 高電子遷移率電晶體
TWI722166B (zh) * 2017-04-10 2021-03-21 聯穎光電股份有限公司 高電子遷移率電晶體
US11133190B2 (en) * 2017-05-05 2021-09-28 Lawrence Livermore National Security, Llc Metal-based passivation-assisted plasma etching of III-v semiconductors
CN107425051B (zh) * 2017-07-19 2020-10-02 苏州能讯高能半导体有限公司 一种半导体器件及其制备方法
US10680090B2 (en) 2017-07-20 2020-06-09 Delta Electronics, Inc. Enclosed gate runner for eliminating miller turn-on
CN111834435A (zh) 2019-04-15 2020-10-27 联华电子股份有限公司 高电子迁移率晶体管
US11127847B2 (en) * 2019-05-16 2021-09-21 Vanguard International Semiconductor Corporation Semiconductor devices having a gate field plate including an extension portion and methods for fabricating the semiconductor device
CN114270501A (zh) * 2019-08-06 2022-04-01 Iqe公开有限公司 用于层状iii族氮化物结构的电介质钝化
US11133408B2 (en) 2019-08-06 2021-09-28 Iqe Plc Dielectric passivation for layered structures
CN113517354B (zh) * 2021-04-29 2023-04-28 电子科技大学 一种高压jfet器件

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL167277C (nl) 1970-08-29 1981-11-16 Philips Nv Halfgeleiderinrichting met een plaatvorming half- geleiderlichaam met over althans een deel van de dikte van het halfgeleiderlichaam afgeschuinde randen, dat is voorzien van een metalen elektrode die een gelijkrichtende overgang vormt met het halfgeleider- lichaam en werkwijze ter vervaardiging van de halfgeleiderinrichting.
US4142195A (en) 1976-03-22 1979-02-27 Rca Corporation Schottky barrier semiconductor device and method of making same
US4408216A (en) 1978-06-02 1983-10-04 International Rectifier Corporation Schottky device and method of manufacture using palladium and platinum intermetallic alloys and titanium barrier for low reverse leakage over wide temperature range
NL184551C (nl) 1978-07-24 1989-08-16 Philips Nv Veldeffekttransistor met geisoleerde stuurelektrode.
FR2517883A1 (fr) 1981-12-09 1983-06-10 Thomson Csf Dispositif semi-conducteur a faible capacite parasite muni de connexions externes prises au moyen de poutres
US4543595A (en) 1982-05-20 1985-09-24 Fairchild Camera And Instrument Corporation Bipolar memory cell
GB2137412B (en) 1983-03-15 1987-03-04 Standard Telephones Cables Ltd Semiconductor device
US4967243A (en) 1988-07-19 1990-10-30 General Electric Company Power transistor structure with high speed integral antiparallel Schottky diode
US4946547A (en) 1989-10-13 1990-08-07 Cree Research, Inc. Method of preparing silicon carbide surfaces for crystal growth
US5200022A (en) 1990-10-03 1993-04-06 Cree Research, Inc. Method of improving mechanically prepared substrate surfaces of alpha silicon carbide for deposition of beta silicon carbide thereon and resulting product
DE69229265T2 (de) 1991-03-18 1999-09-23 Univ Boston Verfahren zur herstellung und dotierung hochisolierender dünner schichten aus monokristallinem galliumnitrid
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
EP0607435B1 (en) 1992-08-07 1999-11-03 Asahi Kasei Kogyo Kabushiki Kaisha Nitride based semiconductor device and manufacture thereof
EP0622858B2 (en) 1993-04-28 2004-09-29 Nichia Corporation Gallium nitride-based III-V group compound semiconductor device and method of producing the same
JP3495814B2 (ja) 1994-05-30 2004-02-09 キヤノン株式会社 電池用電極及び該電極を有するリチウム二次電池
EP1339116A3 (en) 1994-05-30 2005-03-23 Canon Kabushiki Kaisha Rechargeable lithium battery
US6078090A (en) 1997-04-02 2000-06-20 Siliconix Incorporated Trench-gated Schottky diode with integral clamping diode
US5689128A (en) 1995-08-21 1997-11-18 Siliconix Incorporated High density trenched DMOS transistor
US5874747A (en) 1996-02-05 1999-02-23 Advanced Technology Materials, Inc. High brightness electroluminescent device emitting in the green to ultraviolet spectrum and method of making the same
JP3742144B2 (ja) 1996-05-08 2006-02-01 ソニー株式会社 非水電解液二次電池及び非水電解液二次電池用の平面状集電体
US5612567A (en) 1996-05-13 1997-03-18 North Carolina State University Schottky barrier rectifiers and methods of forming same
US5741724A (en) 1996-12-27 1998-04-21 Motorola Method of growing gallium nitride on a spinel substrate
JP3491492B2 (ja) 1997-04-09 2004-01-26 松下電器産業株式会社 窒化ガリウム結晶の製造方法
US5785606A (en) 1997-05-02 1998-07-28 Marquez; Ruben L. Method of playing multiple hand card game
US6239033B1 (en) 1998-05-28 2001-05-29 Sony Corporation Manufacturing method of semiconductor device
DE19723176C1 (de) 1997-06-03 1998-08-27 Daimler Benz Ag Leistungshalbleiter-Bauelement und Verfahren zu dessen Herstellung
KR20010021496A (ko) 1997-07-03 2001-03-15 추후제출 에피택셜 필름의 결함 제거 방법
JP3505357B2 (ja) 1997-07-16 2004-03-08 株式会社東芝 窒化ガリウム系半導体素子およびその製造方法
JP4453111B2 (ja) 1997-10-27 2010-04-21 三菱化学株式会社 負極材料とその製造方法、負極活物質、および非水系二次電池
JP3036495B2 (ja) 1997-11-07 2000-04-24 豊田合成株式会社 窒化ガリウム系化合物半導体の製造方法
US6608327B1 (en) 1998-02-27 2003-08-19 North Carolina State University Gallium nitride semiconductor structure including laterally offset patterned layers
JP2948205B1 (ja) 1998-05-25 1999-09-13 花王株式会社 二次電池用負極の製造方法
JP4352473B2 (ja) 1998-06-26 2009-10-28 ソニー株式会社 半導体装置の製造方法
JP2000150535A (ja) 1998-11-09 2000-05-30 Fujitsu Quantum Device Kk 電界効果トランジスタとその製造方法
US6331450B1 (en) 1998-12-22 2001-12-18 Toyoda Gosei Co., Ltd. Method of manufacturing semiconductor device using group III nitride compound
US6252288B1 (en) 1999-01-19 2001-06-26 Rockwell Science Center, Llc High power trench-based rectifier with improved reverse breakdown characteristic
US20010001494A1 (en) 1999-04-01 2001-05-24 Christopher B. Kocon Power trench mos-gated device and process for forming same
US6389051B1 (en) 1999-04-09 2002-05-14 Xerox Corporation Structure and method for asymmetric waveguide nitride laser diode
US7084456B2 (en) 1999-05-25 2006-08-01 Advanced Analogic Technologies, Inc. Trench MOSFET with recessed clamping diode using graded doping
US6291298B1 (en) 1999-05-25 2001-09-18 Advanced Analogic Technologies, Inc. Process of manufacturing Trench gate semiconductor device having gate oxide layer with multiple thicknesses
US6252258B1 (en) 1999-08-10 2001-06-26 Rockwell Science Center Llc High power rectifier
US6211018B1 (en) 1999-08-14 2001-04-03 Electronics And Telecommunications Research Institute Method for fabricating high density trench gate type power device
JP4412827B2 (ja) 1999-08-20 2010-02-10 シャープ株式会社 窒化物半導体厚膜基板
EP1237210A4 (en) 1999-10-22 2007-11-14 Sanyo Electric Co PROCESS FOR PRODUCING AN ELECTRODE FOR A LITHIUM ACCUMULATOR
JP2002083594A (ja) 1999-10-22 2002-03-22 Sanyo Electric Co Ltd リチウム電池用電極並びにこれを用いたリチウム電池及びリチウム二次電池
JP3733068B2 (ja) 1999-10-22 2006-01-11 三洋電機株式会社 リチウム電池用電極及びリチウム二次電池
US6184570B1 (en) 1999-10-28 2001-02-06 Ericsson Inc. Integrated circuit dies including thermal stress reducing grooves and microelectronic packages utilizing the same
US6380108B1 (en) 1999-12-21 2002-04-30 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on weak posts, and gallium nitride semiconductor structures fabricated thereby
US6573537B1 (en) 1999-12-22 2003-06-03 Lumileds Lighting, U.S., Llc Highly reflective ohmic contacts to III-nitride flip-chip LEDs
US6586781B2 (en) 2000-02-04 2003-07-01 Cree Lighting Company Group III nitride based FETs and HEMTs with reduced trapping and method for producing the same
KR100348269B1 (ko) 2000-03-22 2002-08-09 엘지전자 주식회사 루데니움 산화물을 이용한 쇼트키 콘택 방법
JP2001357855A (ja) 2000-06-14 2001-12-26 Shin Kobe Electric Mach Co Ltd 非水電解液二次電池
US6344665B1 (en) 2000-06-23 2002-02-05 Arima Optoelectronics Corp. Electrode structure of compound semiconductor device
JP4022708B2 (ja) 2000-06-29 2007-12-19 日本電気株式会社 半導体装置
US20020015833A1 (en) 2000-06-29 2002-02-07 Naotomi Takahashi Manufacturing method of electrodeposited copper foil and electrodeposited copper foil
JP2003101036A (ja) 2001-09-25 2003-04-04 Sanyo Electric Co Ltd ショットキーバリアダイオードおよびその製造方法
JP2002064201A (ja) 2000-08-18 2002-02-28 Toshiba Corp 半導体電界効果トランジスタ及び電力増幅器
US6518079B2 (en) 2000-12-20 2003-02-11 Lumileds Lighting, U.S., Llc Separation method for gallium nitride devices on lattice-mismatched substrates
JP2002305309A (ja) 2001-02-01 2002-10-18 Hitachi Ltd 半導体装置およびその製造方法
JP4073176B2 (ja) 2001-04-02 2008-04-09 新電元工業株式会社 半導体装置およびその製造方法
US6437374B1 (en) 2001-05-07 2002-08-20 Xerox Corporation Semiconductor device and method of forming a semiconductor device
TW492202B (en) 2001-06-05 2002-06-21 South Epitaxy Corp Structure of III-V light emitting diode (LED) arranged in flip chip configuration having structure for preventing electrostatic discharge
US20020197835A1 (en) * 2001-06-06 2002-12-26 Sey-Ping Sun Anti-reflective coating and methods of making the same
US20030015708A1 (en) 2001-07-23 2003-01-23 Primit Parikh Gallium nitride based diodes with low forward voltage and low reverse current operation
US6524900B2 (en) 2001-07-25 2003-02-25 Abb Research, Ltd Method concerning a junction barrier Schottky diode, such a diode and use thereof
US20050179106A1 (en) 2001-07-27 2005-08-18 Sanyo Electric Company, Ltd. Schottky barrier diode
JP4064085B2 (ja) 2001-10-18 2008-03-19 三菱電機株式会社 半導体装置及びその製造方法
US6768146B2 (en) 2001-11-27 2004-07-27 The Furukawa Electric Co., Ltd. III-V nitride semiconductor device, and protection element and power conversion apparatus using the same
JP3871607B2 (ja) 2001-12-14 2007-01-24 松下電器産業株式会社 半導体素子およびその製造方法
US6515308B1 (en) 2001-12-21 2003-02-04 Xerox Corporation Nitride-based VCSEL or light emitting diode with p-n tunnel junction current injection
US6855970B2 (en) 2002-03-25 2005-02-15 Kabushiki Kaisha Toshiba High-breakdown-voltage semiconductor device
US6624444B1 (en) 2002-03-28 2003-09-23 Intel Corporation Electrical-optical package with capacitor DC shunts and associated methods
DE10217235A1 (de) 2002-04-18 2003-10-30 Philips Intellectual Property Schaltungsanordnung zur Erzeugung von Gleichspannungen
JP4221697B2 (ja) 2002-06-17 2009-02-12 日本電気株式会社 半導体装置
US7323402B2 (en) 2002-07-11 2008-01-29 International Rectifier Corporation Trench Schottky barrier diode with differential oxide thickness
JP3790500B2 (ja) 2002-07-16 2006-06-28 ユーディナデバイス株式会社 電界効果トランジスタ及びその製造方法
US20040021152A1 (en) 2002-08-05 2004-02-05 Chanh Nguyen Ga/A1GaN Heterostructure Field Effect Transistor with dielectric recessed gate
JP2004087587A (ja) 2002-08-23 2004-03-18 Mitsubishi Electric Corp 窒化物半導体装置およびその製造方法
FR2844099B1 (fr) 2002-09-03 2005-09-02 Commissariat Energie Atomique Dispositif semiconducteur de puissance quasi-vertical sur substrat composite
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
JP4748498B2 (ja) 2002-12-05 2011-08-17 古河電気工業株式会社 電流遮断器付きGaN系半導体装置
TWI240434B (en) 2003-06-24 2005-09-21 Osram Opto Semiconductors Gmbh Method to produce semiconductor-chips
FR2857982B1 (fr) 2003-07-24 2007-05-18 Soitec Silicon On Insulator Procede de fabrication d'une couche epitaxiee
JP4249184B2 (ja) * 2003-08-12 2009-04-02 日本電信電話株式会社 窒化物半導体成長用基板
DE102004041622A1 (de) 2003-08-29 2005-03-24 Fuji Electric Holdings Co. Ltd., Kawasaki Halbleiterbauteil
US7026665B1 (en) 2003-09-19 2006-04-11 Rf Micro Devices, Inc. High voltage GaN-based transistor structure
US7041579B2 (en) 2003-10-22 2006-05-09 Northrop Grumman Corporation Hard substrate wafer sawing process
JP2005129696A (ja) 2003-10-23 2005-05-19 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4288592B2 (ja) 2004-01-20 2009-07-01 ソニー株式会社 電池
JP4449467B2 (ja) 2004-01-28 2010-04-14 サンケン電気株式会社 半導体装置
US7084475B2 (en) 2004-02-17 2006-08-01 Velox Semiconductor Corporation Lateral conduction Schottky diode with plural mesas
US7253015B2 (en) 2004-02-17 2007-08-07 Velox Semiconductor Corporation Low doped layer for nitride-based semiconductor device
JP4610207B2 (ja) 2004-02-24 2011-01-12 三洋電機株式会社 半導体装置およびその製造方法
US7229866B2 (en) 2004-03-15 2007-06-12 Velox Semiconductor Corporation Non-activated guard ring for semiconductor devices
US7465592B2 (en) 2004-04-28 2008-12-16 Verticle, Inc. Method of making vertical structure semiconductor devices including forming hard and soft copper layers
JP4398780B2 (ja) 2004-04-30 2010-01-13 古河電気工業株式会社 GaN系半導体装置
US20050277292A1 (en) * 2004-05-28 2005-12-15 Chao-Hsien Peng Method for fabricating low resistivity barrier for copper interconnect
US7417266B1 (en) 2004-06-10 2008-08-26 Qspeed Semiconductor Inc. MOSFET having a JFET embedded as a body diode
US7238976B1 (en) 2004-06-15 2007-07-03 Qspeed Semiconductor Inc. Schottky barrier rectifier and method of manufacturing the same
US7118970B2 (en) 2004-06-22 2006-10-10 Cree, Inc. Methods of fabricating silicon carbide devices with hybrid well regions
EP1612866B1 (en) 2004-06-30 2014-07-30 Imec AlGaN/GaN Hemt Devices
US7547928B2 (en) 2004-06-30 2009-06-16 Interuniversitair Microelektronica Centrum (Imec) AlGaN/GaN high electron mobility transistor devices
JP2006100645A (ja) 2004-09-30 2006-04-13 Furukawa Electric Co Ltd:The GaN系半導体集積回路
KR100889362B1 (ko) 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US7456443B2 (en) 2004-11-23 2008-11-25 Cree, Inc. Transistors having buried n-type and p-type regions beneath the source region
JP4609048B2 (ja) 2004-11-25 2011-01-12 ソニー株式会社 二次電池用負極および二次電池
US7116567B2 (en) 2005-01-05 2006-10-03 Velox Semiconductor Corporation GaN semiconductor based voltage conversion device
US7436039B2 (en) 2005-01-06 2008-10-14 Velox Semiconductor Corporation Gallium nitride semiconductor device
US20060151868A1 (en) 2005-01-10 2006-07-13 Zhu Tinggang Package for gallium nitride semiconductor devices
US7429534B2 (en) 2005-02-22 2008-09-30 Sensor Electronic Technology, Inc. Etching a nitride-based heterostructure
JP2006245317A (ja) 2005-03-03 2006-09-14 Fujitsu Ltd 半導体装置およびその製造方法
DE102005012217B4 (de) 2005-03-15 2007-02-22 Infineon Technologies Austria Ag Lateraler MISFET und Verfahren zur Herstellung desselben
JP4912604B2 (ja) 2005-03-30 2012-04-11 住友電工デバイス・イノベーション株式会社 窒化物半導体hemtおよびその製造方法。
US7615774B2 (en) 2005-04-29 2009-11-10 Cree.Inc. Aluminum free group III-nitride based high electron mobility transistors
US8482035B2 (en) 2005-07-29 2013-07-09 International Rectifier Corporation Enhancement mode III-nitride transistors with single gate Dielectric structure
WO2008027027A2 (en) 2005-09-07 2008-03-06 Cree, Inc Transistor with fluorine treatment
US8026568B2 (en) 2005-11-15 2011-09-27 Velox Semiconductor Corporation Second Schottky contact metal layer to improve GaN Schottky diode performance
US7696598B2 (en) 2005-12-27 2010-04-13 Qspeed Semiconductor Inc. Ultrafast recovery diode
JP5351519B2 (ja) 2005-12-27 2013-11-27 パワー・インテグレーションズ・インコーポレーテッド 高速回復整流器構造体の装置および方法
US7592211B2 (en) * 2006-01-17 2009-09-22 Cree, Inc. Methods of fabricating transistors including supported gate electrodes
JP4705482B2 (ja) 2006-01-27 2011-06-22 パナソニック株式会社 トランジスタ
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP5231719B2 (ja) 2006-03-30 2013-07-10 富士通株式会社 電界効果トランジスタの製造方法
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
US8399911B2 (en) 2006-06-07 2013-03-19 Imec Enhancement mode field effect device and the method of production thereof
JP5099008B2 (ja) * 2006-07-26 2012-12-12 富士通株式会社 SiC基板を用いた化合物半導体装置とその製造方法
US7939853B2 (en) 2007-03-20 2011-05-10 Power Integrations, Inc. Termination and contact structures for a high voltage GaN-based heterojunction transistor
JP5462161B2 (ja) 2007-07-20 2014-04-02 アイメック Iii−v族mesfetでのダマシンコンタクト製造方法
JP2009032796A (ja) 2007-07-25 2009-02-12 Rohm Co Ltd 窒化物半導体素子および窒化物半導体素子の製造方法
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
JP4584293B2 (ja) 2007-08-31 2010-11-17 富士通株式会社 窒化物半導体装置、ドハティ増幅器、ドレイン電圧制御増幅器
CN100594591C (zh) * 2007-10-17 2010-03-17 中国科学院微电子研究所 一种提高氮化镓基场效应晶体管性能的方法
CN101459080B (zh) * 2007-12-12 2010-04-14 中国科学院微电子研究所 一种制作氮化镓基场效应晶体管的方法
FR2926672B1 (fr) 2008-01-21 2010-03-26 Soitec Silicon On Insulator Procede de fabrication de couches de materiau epitaxie
JP5301208B2 (ja) 2008-06-17 2013-09-25 日本電信電話株式会社 半導体装置
US8309987B2 (en) 2008-07-15 2012-11-13 Imec Enhancement mode semiconductor device
US7985986B2 (en) 2008-07-31 2011-07-26 Cree, Inc. Normally-off semiconductor devices
KR101233105B1 (ko) 2008-08-27 2013-02-15 소이텍 선택되거나 제어된 격자 파라미터들을 갖는 반도체 물질층들을 이용하여 반도체 구조물들 또는 소자들을 제조하는 방법
US8168486B2 (en) 2009-06-24 2012-05-01 Intersil Americas Inc. Methods for manufacturing enhancement-mode HEMTs with self-aligned field plate
US8384129B2 (en) 2009-06-25 2013-02-26 The United States Of America, As Represented By The Secretary Of The Navy Transistor with enhanced channel charge inducing material layer and threshold voltage control
WO2010151857A2 (en) 2009-06-26 2010-12-29 Cornell University Method for forming iii-v semiconductor structures including aluminum-silicon nitride passivation
US8791034B2 (en) 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
EP2317542B1 (en) 2009-10-30 2018-05-23 IMEC vzw Semiconductor device and method of manufacturing thereof
JP5635803B2 (ja) * 2010-05-07 2014-12-03 トランスフォーム・ジャパン株式会社 化合物半導体装置の製造方法及び化合物半導体装置
US9070758B2 (en) 2011-06-20 2015-06-30 Imec CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US8940620B2 (en) 2011-12-15 2015-01-27 Power Integrations, Inc. Composite wafer for fabrication of semiconductor devices
US20140077266A1 (en) 2012-09-14 2014-03-20 Power Integrations, Inc. Heterostructure Transistor with Multiple Gate Dielectric Layers
US8928037B2 (en) 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2015008430A1 (ja) * 2013-07-16 2017-03-02 パナソニックIpマネジメント株式会社 半導体装置
JP2015056437A (ja) * 2013-09-10 2015-03-23 トランスフォーム・ジャパン株式会社 半導体装置
JP2016539496A (ja) * 2013-10-15 2016-12-15 蘇州晶湛半導体有限公司Enkris Semiconductor,Inc. Iii族窒化物半導体デバイスおよびその製造方法
JP2017045943A (ja) * 2015-08-28 2017-03-02 富士電機株式会社 窒化物半導体装置の製造方法
WO2019009006A1 (ja) * 2017-07-07 2019-01-10 パナソニック株式会社 半導体装置
JPWO2019009006A1 (ja) * 2017-07-07 2020-05-07 パナソニック株式会社 半導体装置
US11342428B2 (en) 2017-07-07 2022-05-24 Panasonic Holdings Corporation Semiconductor device
JP7203727B2 (ja) 2017-07-07 2023-01-13 パナソニックホールディングス株式会社 半導体装置
JP2019075558A (ja) * 2017-10-12 2019-05-16 パワー・インテグレーションズ・インコーポレーテッド ヘテロ構造デバイスのためのゲートスタック
JP7330605B2 (ja) 2017-10-12 2023-08-22 パワー・インテグレーションズ・インコーポレーテッド ヘテロ構造デバイスのためのゲートスタック
JP6793887B1 (ja) * 2020-01-10 2020-12-02 三菱電機株式会社 半導体装置およびその製造方法
WO2021140632A1 (ja) * 2020-01-10 2021-07-15 三菱電機株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
EP2600404A3 (en) 2014-07-23
TW201342592A (zh) 2013-10-16
TWI500149B (zh) 2015-09-11
EP2600404A2 (en) 2013-06-05
US9343541B2 (en) 2016-05-17
US20130140605A1 (en) 2013-06-06
CN103137476B (zh) 2016-05-25
CN103137476A (zh) 2013-06-05
US20140124789A1 (en) 2014-05-08
US8633094B2 (en) 2014-01-21

Similar Documents

Publication Publication Date Title
US9343541B2 (en) Method of fabricating GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US10446676B2 (en) Heterostructure power transistor with AlSiN passivation layer
US8247796B2 (en) Semiconductor device
JP6151487B2 (ja) 化合物半導体装置及びその製造方法
Chung et al. N-face GaN/AlGaN HEMTs fabricated through layer transfer technology
TWI509796B (zh) 高電子移動率電晶體及其形成方法
TWI476914B (zh) 半導體裝置及半導體裝置之製造方法
CN103035697B (zh) 半导体器件及制造方法
TW201314899A (zh) 半導體裝置
JP2008512874A (ja) Hemt装置及び製造方法
JP2011091397A (ja) 歪緩衝中間層を有するiii−v族半導体デバイス
TW201513342A (zh) 半導體裝置及其製造方法
TWI565060B (zh) 帶有以氮化半導體製成之緩衝層的半導體裝置
WO2019118473A1 (en) Methods for transistor epitaxial stack fabrication
JP6772579B2 (ja) 半導体装置及び半導体装置の製造方法
Ryu et al. Thin-body N-face GaN transistor fabricated by direct wafer bonding
JP2017085058A (ja) 化合物半導体装置及びその製造方法
JP6792135B2 (ja) 化合物半導体装置及びその製造方法
US11222968B2 (en) HEMT device structure and manufacturing method thereof
JP2013214625A (ja) 窒化物半導体へのオーミック接触領域の形成方法
WO2022205469A1 (en) Iii nitride semiconductor wafers
JP2018056319A (ja) 半導体装置、半導体装置の製造方法、電源装置及び増幅器
JP2013214626A (ja) 窒化物半導体を用いた電界効果型トランジスタ及びその製造方法