JP2019075558A - ヘテロ構造デバイスのためのゲートスタック - Google Patents

ヘテロ構造デバイスのためのゲートスタック Download PDF

Info

Publication number
JP2019075558A
JP2019075558A JP2018189353A JP2018189353A JP2019075558A JP 2019075558 A JP2019075558 A JP 2019075558A JP 2018189353 A JP2018189353 A JP 2018189353A JP 2018189353 A JP2018189353 A JP 2018189353A JP 2019075558 A JP2019075558 A JP 2019075558A
Authority
JP
Japan
Prior art keywords
gate dielectric
dielectric layer
thickness
layer
active layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018189353A
Other languages
English (en)
Other versions
JP2019075558A5 (ja
JP7330605B2 (ja
Inventor
ラムダニ ジャマール
Ramudani Jamar
ラムダニ ジャマール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Power Integrations Inc
Original Assignee
Power Integrations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Power Integrations Inc filed Critical Power Integrations Inc
Publication of JP2019075558A publication Critical patent/JP2019075558A/ja
Publication of JP2019075558A5 publication Critical patent/JP2019075558A5/ja
Application granted granted Critical
Publication of JP7330605B2 publication Critical patent/JP7330605B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET

Abstract

【課題】ゲート・ソース間耐圧の高いヘテロ構造デバイスを提供する。【解決手段】ヘテロ構造半導体デバイスは、第1の活性層102と、第1の活性層102上に位置する第2の活性層106とを含む。二次元電子気体層104が、第1の活性層102と第2の活性層106との間に形成される。サンドウィッチゲート誘電体層構造物113が、第2の活性層106上に位置する。パッシベーション層114が、サンドウィッチゲート誘電体層構造物113の上方に位置する。ゲート116が、パッシベーション層114を通ってサンドウィッチゲート誘電体層構造物113まで延びる。第1のオーミック接点118と第2のオーミック接点120とが、第2の活性層106に電気的に接続される。第1のオーミック接点118と第2のオーミック接点120とが横方向に離隔され、ゲート116が第1のオーミック接点118と第2のオーミック接点120との間に位置する。【選択図】図1

Description

本発明は、概して、高電圧電界効果トランジスタ(FET:field effect transistors)に関し、特に、高電子移動度トランジスタ(HEMT:high−electron−mobility transistor)およびヘテロ構造電界効果トランジスタ(HFET:heterostructure field−effect transistor)、およびこのようなパワートランジスタデバイスを製造する方法に関する。
高電圧FETのうちの一種がヘテロ構造FET(HFET)であり、高電子移動度トランジスタ(HEMT)とも呼ばれる。窒化ガリウム(GaN)、および、炭化ケイ素(SiC)などの他の広バンドギャップIII族窒化物ベースの直接遷移半導体材料をベースとしたHFETが、それらの物理的性質を理由として特定の電子デバイスにおいて使用される。例えば、GaNおよびAlGaN/GaNトランジスタは、GaNベースの材料およびデバイス構造によりもたらされる高電子移動度、高い絶縁破壊電圧、および高飽和電子速度といった特性を理由として、高速スイッチングおよび高出力用途(例えば電力スイッチおよび電力コンバーター)において一般的に使用される。HFETの物理的性質に起因して、HFETは、同じ電圧において同じ電流を伝導する他の半導体スイッチより実質的に速く状態を変えることができ、広バンドギャップは、高温におけるHFETの性能を改善することができる。
GaNベースのHFETデバイスは、典型的には、薄いゲート誘電体(例えば酸化物)材料の上方に形成されたゲート部材を含む。従来、ゲート酸化物と下方のGaN層との間における界面状態は、GaNベースのHFETの安定性および電気的信頼性における役割を果たす。ゲート安定性を改善することは、より高い電圧(例えば600V)の動作を達成することができる。典型的なHFETゲート構造はショットキーゲートを含み、ショットキーゲートは、ゲート酸化物を含まないか、または単一の薄いゲート酸化物層を含む。これらの構造物は、通常20〜40Vの範囲内の低クリティカル電圧による影響を受ける。クリティカル電圧VCRITは、ゲート漏れ電流に比較的急峻な立ち上がりが存在するゲート対ソース電圧VGSとして規定される。
以下の図を参照しながら、本発明の非限定的かつ非網羅的な実施形態が説明され、異なる図の中の同様の参照符号は、別段の指定がない限り、同様の部分を示す。
サンドウィッチゲート誘電体構造物をもつ例示的な半導体デバイスの断面側面図である。 サンドウィッチゲート誘電体構造物をもつ半導体デバイスを製造するための例示的な一工程フローを示す図である。 サンドウィッチゲート誘電体構造物の印加されたステップストレスに対する例示的なゲート・ソース漏れを示すグラフである。
図面中の複数の図にわたり、対応する参照符号が、対応する構成要素を示す。当業者は、図中の要素が簡潔かつ明確であるように描かれることと、一定の縮尺で描かれるとは限らないこととを理解する。例えば、図中のいくつかの要素の寸法は、本発明の様々な実施形態をより理解しやすくするために、他の要素より誇張される場合があり得る。さらに、市販に適した実施形態において有用または必要な、一般的だがよく理解される要素は、多くの場合、本発明に係るこれらの様々な実施形態の図が見づらくなるのを防ぐために、描かれない。
複数のゲート誘電体層を含むヘテロ構造トランジスタの例が本明細書において説明される。以下の説明では、本発明を十分に理解できるように、多くの特定の詳細事項が記載される。しかし、本発明を実施する際に特定の詳細事項が使用されるとは限らないことが、当業者には明らかとなる。他の例では、よく知られた材料または方法については、本発明が理解しにくくなるのを防ぐために、詳細には説明されない。
本明細書中での、「一実施形態(one embodiment)」、「一実施形態(an embodiment)」、「一例(one example)」、または「一例(an example)」についての言及は、実施形態または例との関連で説明される特定の特徴、構造、または特性が本発明の少なくとも1つの実施形態に含まれることを意味する。従って、本明細書中の様々な場所で使用する「一実施形態において(in one embodiment)」、「一実施形態において(in an embodiment)」、「一例(one example)」または「例(an example)」という語句は、すべてが同じ実施形態または例に関するとは限らない。さらに、特定の特徴、構造、または特性は、1つまたは複数の実施形態または例において、任意の適切な組み合わせ、および/または部分的組み合わせで組み合わされ得る。特定の特徴、構造、または特性は、説明される機能を提供する集積回路、電子回路、結合論理回路、または他の適切な構成要素に含まれ得る。加えて、本明細書とともに提供される図が当業者への説明を目的としていることと、図面が一定の縮尺で描かれるとは限らないこととが理解される。
本明細書において使用される場合、HFETデバイスの「クリティカル電圧(critical voltage)」または「クリティカルゲート電圧(critical gate voltage)」は、ゲート漏れ電流に比較的急峻な立ち上がりが存在するゲート対ソース電圧として規定される。熱安定性は、温度に応じてデバイスのゲート漏れ電流がどの程度増加するかに関する。
上述のように、典型的なHFETゲート構造は、ゲート酸化物を含まないか、または単一の薄いゲート酸化物層を含むショットキーゲートを含む。これらの構造物は、通常20〜40Vの範囲内の低クリティカル電圧の影響を受ける。クリティカル電圧VCRITは、ゲート漏れ電流に比較的急峻な立ち上がりが存在するゲート対ソース電圧VGSとして規定される。より高い信頼性および高いゲート酸化物の完全性を達成するために、クリティカル電圧は、現在の範囲の20〜40Vから上げられることが必要である。さらに、単一の薄いゲート酸化物層を含むデバイスは、デバイスが高温(摂氏120度など)で動作しているとき、室温における漏れ電流より2または3倍大きなゲート漏れ電流の増加を経験し得る。
ゲート誘電体の性質はまた、HFETの他のパラメータおよび特性に影響を与える。例えば、ゲート誘電体層の厚さに下方の障壁層の厚さを加えたものが、高電圧HFETのゲート閾値電圧を部分的に決定する。より厚いゲート誘電体が、より高い温度またはより高い印加されるゲート電圧にともなうゲート漏れ電流を減らす一方で、ゲート誘電体の厚さは閾値電圧に寄与する。従って、HFETデバイスのための一定の閾値電圧を提供する能力と、熱および電圧安定性との間にトレードオフが存在する。
本発明の実施形態によると、サンドウィッチゲート誘電体構造物を含むGaNベースのHFETデバイス、およびそれを製造する方法が開示される。一実施形態において、HFETデバイスは、第1の活性層と第2の活性層とを、その間に生じる二次元電子気体層とともに含む。サンドウィッチゲート誘電体構造物は、第2の活性層上に位置し、第1のゲート誘電体層、第2のゲート誘電体層、および第3のゲート誘電体層を含む。第1のゲート誘電体層は、第2の活性層上に位置する。窒化ケイ素(SiN)、窒化炭素(CN)、窒化ホウ素(BN)、または窒化アルミニウム(AlN)などの窒化物ベースの化合物が、第1のゲート誘電体層のために使用され得る。第2のゲート誘電体層は、第1のゲート誘電体層上に位置し、SiN、CN、BN、またはAlNが、第2のゲート誘電体層のために使用され得る。第3のゲート誘電体層は、第2のゲート誘電体層上に位置する。一例において、酸化アルミニウム(Al2O3)が、第3のゲート誘電体層のために使用され得る。ゲートは、第3のゲート誘電体層上に位置し、サンドウィッチゲート誘電体構造物の上部まで延びている。デバイスのオーミック接点(ソースおよびドレイン)は、サンドウィッチゲート誘電体構造物を通って延びて、第2の活性層に接触する。
様々な実施形態において、このサンドウィッチゲート誘電体構造物は、高クリティカル電圧動作(例えば、>80V)をもたらし得る。さらに、サンドウィッチゲート誘電体構造物を使用するデバイスは、改善された熱安定性を経験し得る。デバイスは、摂氏200度まで上昇する温度においてデバイスが動作しているときに、漏れ電流の変化を実質的に経験しないものであり得る。より安定かつ堅牢なゲート誘電体構造物を製造することに加えて、他の利点は、より低いゲート漏れ、およびより均一なゲート閾値電圧をさらに含み得る。サンドウィッチゲート誘電体構造物は、HFETデバイスがゲート漏れ電流を最小化しながら一定の閾値電圧を維持することをさらに可能にし得る。
以下の説明において、例示的なHFETが説明を目的として使用される。しかし、本発明の実施形態が、金属−酸化物−半導体FET(MOSFET:metal oxide semiconductor FET)または金属−絶縁体−半導体FET(MISFET:metal insulator semiconductor FET)デバイスなどの、他の種類のFETに使用され得ることが理解されなければならない。
図1は、第1の活性層102、第2の活性層106、第1のゲート誘電体108、第2のゲート誘電体110、第3のゲート誘電体112、パッシベーション層114、オーミック接点118および120、ならびにゲート116を含む半導体デバイス100(例えば、GaN HFET)の断面側面図を示す。図1に、2つの層間のバンドギャップ差に起因して、第1の活性層102と第2の活性層106との間に生じ得る電荷層104がさらに示される。電荷層106は、第1の活性層102と第2の活性層106との間におけるバンドギャップ差によりもたらされる量子井戸に捕獲された電子が、二次元に自由に動くが第3の次元に強く閉じ込められるので、二次元電子気体(2DEG:two−dimensional electron gas)層106とも呼ばれる横方向伝導チャネルを規定する。さらに、第1の活性層102がチャネル層またはバッファ層とも呼ばれるのに対し、第2の活性層106は障壁層またはドナー層とも呼ばれる。
第2の活性層106は、第1の活性層102上に位置する。サンドウィッチゲート誘電体構造物113は、第2の活性層上に位置し、第1のゲート誘電体層108、第2のゲート誘電体層110、および第3のゲート誘電体層112を含む。第1のゲート誘電体層108は、第2の活性層106上に位置する。第2のゲート誘電体層110は、第1のゲート誘電体層108上に位置する。第3のゲート誘電体層112は、第2のゲート誘電体層110上に位置する。パッシベーション層114は、サンドウィッチゲート113誘電体構造物上に位置する。示されるように、パッシベーション層114は、第3のゲート誘電体層112上に位置する。ゲート116は、パッシベーション層114を通って第3のゲート誘電体層112まで縦方向に下方に延びる。ソースオーミック接点118およびドレインオーミック接点120のそれぞれが、パッシベーション層114、第3のゲート誘電体層112、第2のゲート誘電体層110、および第1のゲート誘電体層108を通って縦方向に下方に延びて、第2の活性層106に電気的に接続することが示される。言い換えると、オーミック接点118および120は、サンドウィッチゲート誘電体構造物113の底部まで延びる。示されるように、ゲート116がソースオーミック接点118とドレインオーミック接点120との間に位置する状態で、ソースオーミック接点118とドレインオーミック接点120とが横方向に離隔されている。
第1の活性層102は典型的には、サファイア(Al2O3)、シリコン(Si)、GaN、または炭化ケイ素(SiC)などの、多くの異なる材料のうちの任意の1つにより形成された基材(図示されない)の上方に位置することが理解される。一実施形態において、第1の活性層102は、エピタキシャルGaN層を備える。格子不整合および/または熱膨張係数の差にともなう想定される問題を避けるために、1つまたは複数の追加的な層が、基材と第1の活性層102との間に配置され得る。例えば、任意選択的な薄い核形成層が、基材と第1の活性層102との間に形成され得る。他の例において、第1の活性層102は、他のIII族元素の窒化化合物を含有する異なる半導体材料を含み得る。第1の活性層102は、基材上に成長または堆積するようにされ得る。
図1に示す例において、第2の活性層106は、アルミニウムガリウム窒化物(AlGaN)を含む。他の例において、アルミニウムインジウム窒化物(AlInN)およびアルミニウムインジウムガリウム窒化物(AlInGaN)などの異なるIII族窒化物半導体材料が、第2の活性層106のために使用され得る。他の実施形態において、第2の活性層106の材料は、不定比化合物であり得る。このような材料において、元素の比は通常の整数により簡単に表されない。例えば、第2の活性層106は、0<X<1としたときのAlXGa1−XNなどのIII族窒化物半導体材料の不定比化合物であり得る。第2の活性層106は、第1の活性層102上に成長または堆積するようにされ得る。
一実施形態において、第1のゲート誘電体層108は、窒化ケイ素(SiN)を含む。他の実施形態において、第1のゲート誘電体層108は、Si3N4を含み得る。さらに違う他の例において、窒化炭素(CN)、窒化ホウ素(BN)、または窒化アルミニウム(AlN)などの異なる窒化物ベースの化合物が、第1のゲート誘電体層108のために使用され得る。第1のゲート誘電体層108は、第2の活性層106と原子配列を保ち得る窒化物ベースの材料であり得る。さらに、第1のゲート誘電体層108は、絶縁性であり得、少なくとも3電子ボルト(eV)のバンドギャップをもち得る。一例において、第1のゲート誘電体層108の厚さは、実質的に1〜5ナノメートル(nm)の間の厚さであり得る。第1のゲート誘電体層108が、第1の活性層102および第2の活性層106のそれぞれとインサイチュで(in−situ、引き続き同様の環境で)堆積され得る。第1のゲート誘電体層108が、金属・有機化学気相デコンポジション(MOCVD)を使用して堆積され得る。別の一実施形態において、第1のゲート誘電体層108が、原子層堆積(ALD:atomic layer deposition)を通して第1の活性層102および第2の活性層106からイクサイチュで(ex−situ、同様ではない環境で)堆積され得る。
示されるように、第2のゲート誘電体層110は、第1のゲート誘電体層108上に位置する。一例において、第2のゲート誘電体層110は、窒化ケイ素(SiN)を含む。他の実施形態において、第2のゲート誘電体層110は、Si3N4を含み得る。窒化炭素(CN)、窒化ホウ素(BN)、または窒化アルミニウム(AlN)などの異なる窒化物ベースの化合物が、第2のゲート誘電体層110のために使用され得る。第2のゲート誘電体層110は、約20〜60nmの厚さの範囲内の厚さをもつ。一実施形態において、第2のゲート誘電体層110は、第1のゲート誘電体層108より厚い。さらに、第2のゲート誘電体層110と第1のゲート誘電体層108との両方のために使用される材料が同じであり得る。第1のゲート誘電体層108および第2のゲート誘電体層110の厚さの和は、30〜60nmの範囲内であり得る。第2のゲート誘電体層110は、MOCVDなどの工程を通して第1の活性層102および第2の活性層106ならびに第1のゲート誘電体層108とインサイチュで堆積するようにされ得る。別の一例において、第2のゲート誘電体層110は、ALDなどの工程を通して、第1の活性層102および第2の活性層106ならびに第1のゲート誘電体層108からイクサイチュで堆積するようにされ得る。
第3のゲート誘電体層112は、第2のゲート誘電体層110上に位置する。一例において、第3のゲート誘電体層112は、酸化アルミニウム(Al2O3)を備える。さらなる例において、ZrO、HfO、SiO2、およびGdOなどの他の酸化物材料が、第3のゲート誘電体層112のために使用され得る。第3のゲート誘電体層112は、10〜20nmの範囲内の厚さをもつ。一実施形態において、第2のゲート誘電体層110は、第1のゲート誘電体層108および第3のゲート誘電体層112より厚い。一例において、第3のゲート誘電体層112は、ALDを使用して第1の活性層102および第2の活性層106からイクサイチュで堆積される。
上述のゲート誘電体構造物は、第2のゲート誘電体層110が第1のゲート誘電体層108と第3のゲート誘電体層110との間に挟まれているので、サンドウィッチゲート誘電体構造物と呼ばれ得る。後述のように、この種類のサンドウィッチ構造物は、デバイス100の全体的な安定性を高め得、漏れ電流を大幅に減らし得る。他の例において、第1のゲート誘電体層108と第3のゲート誘電体層112との間に複数の挟まれた層が存在し得る。
パッシベーション層114は、第3のゲート誘電体層112上に位置し、およびオーミック接点118、120、およびゲート116を横方向から囲む。一実施形態において、パッシベーション層114は、窒化ケイ素(SiN)などの誘電体材料を含み得る。パッシベーション層114は、複数の材料層を備え得る。パッシベーション層114は、環境の電気的および化学的汚染物質からデバイスの表面を隔離することにより、デバイス100の電気的特性の安定性を提供する。パッシベーション層114は、低圧化学蒸着(LPCVD:low pressure chemical vapor deposition)またはプラズマエンハンスト化学蒸着(PECVD:plasma−enhanced chemical vapor deposition)などの化学蒸着を通して堆積するようにされ得る。
第1のゲート誘電体層108、第2のゲート誘電体層110、および第3のゲート誘電体層112のサンドウィッチゲート誘電体構造物は、それぞれ、第2の活性層106からゲート116を分離する。示されるように、ゲート116は、パッシベーション層114を通って位置して、第3のゲート誘電体層112に接触する。一実施形態において、ゲート116は、チタン/窒化チタン/アルミニウム銅スタックを備える。別の一実施形態において、ゲート116は、チタン金(TiAu)合金またはモリブデン金MoAu合金を含む。他の例において、ゲート116は、ゲート電極およびゲートフィールドプレートを備え得る。動作時、ゲート116は、オーミックソース接点118とオーミックドレイン接点120との間における順伝導路を制御する。例示的な製造工程において、ゲート116は、パッシベーション層114において開口をエッチングすることと、続くゲート金属堆積とにより形成され得る。図1に示す例において、パッシベーション層114の上方にあり、オーミックドレイン接点120に向かって横方向に延びたゲート116の一部が、(オーミック接点120に最も近い)縁部における電界強度を軽減するように機能するゲートフィールドプレートとして機能する。
オーミック接点118および120は、パッシベーション層114、第3のゲート誘電体層112、第2のゲート誘電体層110、および第1のゲート誘電体層108を通って位置して、第2の活性層106に接触する。オーミック接点118はソース接点の一例であり、オーミック接点120はドレイン接点の一例である。一実施形態において、オーミック接点118および120は、パッシベーション層114、第3のゲート誘電体層112、第2のゲート誘電体層110、および第1のゲート誘電体層108において開口をエッチングすることと、続く金属堆積およびアニーリングステップとにより形成され得る。
示されるように、図1は、それぞれ、GaN HFETデバイス100のソース電極およびドレイン電極を備えるオーミック金属接点118および120の形成直後における製造工程の一時点におけるデバイス構造を示す。図1は、第2の活性層106上に直接形成されたオーミック金属接点118および120を示す。他の実施形態において、オーミック金属接点118および113は、第2の活性層106内に縦方向に下向きに延びた窪み内に形成され得る。他の実施形態において、オーミック金属接点118および120は、第2の活性層106を通って縦方向に下向きに延びた窪み内に形成されて、第1の活性層102に接触し得る。
HFETデバイス100が電力スイッチとしての使用のために構成されるとき、ゲート116ならびにオーミック接点118および120は、典型的には端子を通して結合されて、外部回路までの電気接続部を形成する。動作時、2DEG層104における電荷は、オーミック接点118とオーミック接点120との間において横方向に流れて、外部回路における電流となる。電荷の流れ、および従って電流は、ゲート116とオーミック接点118との間に電気的に接続された外部回路から電圧により制御され得る。
本開示において使用されるように、電気接続はオーミック接続である。オーミック接続は、電圧と電流との間の関係が実質的に線形であり、両方向の電流に関して対称なものである。例えば、金属のみを通して各々に接触する2つの金属パターンが、電気的に接続される。対照的に、(示されるように)オーミック接点118および120は、これらの2つの接点の間におけるどの接続も半導体材料内のチャネルを通り、この伝導経路がゲート116により制御されるので、HFETデバイス100において互いに電気的に接続されない。同様に、第1のゲート誘電体層108、第2のゲート誘電体層110、および第3のゲート誘電体層112が下層の活性層からゲート116を絶縁するので、ゲート116は第2の活性層106に電気的に接続されない。
上述の実施形態において、第1のゲート誘電体層(108)、第2のゲート誘電体層(110)、および第3のゲート誘電体層(112)の厚さは、ゲート漏れ電流がHFETデバイス100の通常動作中の温度にわたって実質的に一定に留まるようなものである。言い方を変えれば、HFETデバイス100は、デバイスが120℃で動作しているときに、ゲート漏れ電流の実質的な変化をまったく経験しないものであり得る。加えて、本発明の様々な実施形態は、ゲート漏れ電流に対する著しい変化をともなわずに最大200℃で動作し得る。
さらに、本明細書において説明されるサンドウィッチゲート誘電体層構造物は、HFETデバイスの電圧安定性を改善し得る。例えば、HFETデバイス100のクリティカル電圧は、約100〜170Vの範囲まで著しく高められる。
図2は、図1に示されるHFETデバイス100などの半導体デバイスを製造するための例示的な工程フローを示す図200である。示される例において、第1の活性層と第2の活性層との両方が、基材上に堆積または成長するようにされた後、本工程が始まる。ブロック202から始まり、第1のゲート誘電体層がインサイチュで成長するようにされる。一実施形態において、第1のゲート誘電体層は、800〜1050℃の間の温度範囲において行われるMOCVD技術を使用して堆積される。第1のゲート誘電体層は、約1〜5nmの厚さに形成され、ウエハの表面の上方において連続的である。一実施形態において、ゲート誘電体層の厚さは約4nmである。別の一実施形態において、第1のゲート誘電体層は、第1の活性層および第2の活性層とインサイチュで形成される。例えば、第1の活性層と第2の活性層とを形成するために使用される同じ機械(MOCVD)が、第1のゲート誘電体層を形成するためにも使用され得る。第1のゲート誘電体層は、SiNを含み得る。SiNの第1のゲート誘電体層を堆積させるとき、堆積のためのSi源は、Siの源としてのシランまたはジシランであり得る。他の実施形態において第1のゲート誘電体層は、第1の活性層と第2の活性層とからイクサイチュで堆積するようにされ得る。
次に、ブロック204において、第2のゲート誘電体層は、第1のゲート誘電体層の上に堆積するようにされる。一実施形態において、第2のゲート誘電体層は、MOCVDを使用して第1の活性層および第2の活性層ならびに第1のゲート誘電体層とインサイチュで堆積するようにされる。第2のゲート誘電体層は、約20〜60nmの厚さに形成され、ウエハの表面の上方において連続的である。第1のゲート誘電体層は、SiNを含み得る。SiNの第1のゲート誘電体層を堆積させるとき、堆積のためのSi源は、Siの源としてのシランまたはジシランであり得る。別の一実施形態において、第2のゲート誘電体層は、第1のゲート誘電体層からイクサイチュで堆積するようにされ得、プラズマエンハンスト原子層堆積(PEALD:plasma−enhanced atomic layer deposition)、誘導結合プラズマ(ICP:inductive coupling plasma)、化学蒸着(CVD:chemical vapor deposition)、または原子層堆積(ALD)を使用して堆積するようにされ得る。ALDによりSiNの第2のゲート誘電体層を堆積させるとき、堆積のためのSi源は、アミノシランまたはSiの有機金属源であり得る。
ブロック205において、第3のゲート誘電体層は、PEALDを使用して300℃において第1のゲート誘電体層ならびに第1の活性層および第2の活性層からイクサイチュでウエハ表面上に堆積される。一実施形態において、第3のゲート誘電体層は、Al(CH3)3前駆体およびO2プラズマを使用してALDを使用して堆積するようにされる。第3のゲート誘電体層は、10〜20nmの範囲内の厚さまで形成される。
プロセスはブロック206に進み、ブロック206において、パッシベーション層が第3のゲート誘電体層の上方に堆積するようにされる。一実施形態において、パッシベーション層は、PECVDを使用して堆積するようにされ得る。パッシベーション層は、典型的には約100〜150nmの範囲内の厚さまで形成される。上述のように、パッシベーション層は、窒化ケイ素(SiN)または同様の性質をもつ他の材料を含み得る。
ブロック208において、オーミックビアが形成される。オーミックソース接点とオーミックドレイン接点とが、パッシベーション層およびサンドウィッチゲート誘電体構造物を通して形成される。一例において、オーミックビアが、誘導結合プラズマ(ICP)エッチングを使用して形成される。
ブロック210において、オーミック金属化、エッチング、およびアニーリングが実施される。上述の層を通してオーミックビアが形成された後、金属または金属合金が堆積するようにされて、開口を充填する。1つの例示的な製造シーケンスにおいて、オーミック接点のために使用される金属は、スパッタリング技術または物理蒸着(PVD:physical vapor deposition)を使用して堆積するようにされる。例示的なオーミック接点金属は、チタン(Ti)、アルミニウム(Al)、チタン(Ti)、および窒化チタン(TiN)の層を含み得る。金属オーミック接点は、次に、約400〜600℃の温度範囲においてRTAツールを使用するアニーリングされる。ブロック212において、デバイスを分離する注入分離が、窒素またはアルゴン注入を使用して実施され得る。
ゲートは、オーミック接点と同様の手法で形成され得る。ブロック214において、ゲートビアが、パッシベーション層を通して開口をエッチングして第3のゲート誘電体層を露出することにより形成され得る。一実施形態において、ICPエッチングが実施され得る。
エッチング工程が第3のゲート誘電体層を露出した後、ブロック216において、デバイスが高温アニーリングを経る。例示として、アニーリングステップは、約5〜20分間にわたって450〜650℃の温度範囲において炉の中で実施され得る。アニーリングは、また、急速熱アニーリング(RTA:rapid temperature annealing)ツールなどの多くの異なるツールを使用して実施され得る。
ブロック218において、ゲート金属または金属合金堆積が実施されてエッチングされた開口を充填する。金属は、スパッタリング技術または物理蒸着(PVD)を使用して堆積するようにされ得る。例示的なゲート金属は、チタン(Ti)、窒化チタン(TiN)、アルミニウム銅(AlCu)、および窒化チタン(TiN)の層を含み得る。
半導体分野の当業者は、ウエハの表面上に金属(例えば、パターン形成された線またはトレース)を形成すること、ウエハ裏面研磨(裏面ラッピングまたはウエハ薄化とも呼ばれる)、ダイ分離、およびパッケージングを含む、他の標準的な製造後の、または最終段階の処理ステップが実施され得ることを理解する。
図3は、各々が図1に示すサンドウィッチゲート誘電体構造物を含む様々なHFETデバイスに対する、印加されたステップストレス電圧に対する例示的なゲート・ソース漏れ電流を描いたグラフである。示されるように、x軸は絶対ゲート電圧304を表し、y軸はゲート・ソース漏れ電流302である。グラフ300は、クリティカル閾値レベル306をさらに示す。クリティカル閾値306は、ゲート・ソース漏れ電流302がもはや許容可能ではなく、デバイスが絶縁破壊状態にあるとみなされる閾値として規定され得る。示される例において、クリティカル閾値306は、実質的に5.00E−9Aまたは5nAである。加えて、クリティカル電圧は、特定のデバイスに対するゲート・ソース漏れ電流がクリティカル閾値306に達するゲート電圧を表す。
示されるように、グラフ300は、第1のデバイス308、第2のデバイス310、および第3のデバイス312の性能を示す。ゲート・ソース漏れ電流302が測定されながら、様々なデバイスの各々に対するゲート電圧が次第に増加する。第1のデバイス308の場合、クリティカル電圧は約−135Vである。第2のデバイス310の場合、クリティカル電圧は約−165Vである。第3のデバイス312の場合、クリティカル電圧は約−130Vである。3つのデバイス308、310、および312のすべてが、第1のゲート誘電体層、第2のゲート誘電体層、および第3のゲート誘電体層を含むサンドウィッチゲート誘電体構造物を実装する。図3に示されるように、デバイス308、310、および312に対するクリティカル電圧の大きさは、100Vより大きい。サンドウィッチゲート誘電体構造物を使用しないデバイスは、100Vよりはるかに小さなクリティカル電圧の大きさをもち得る。
本発明に関して示される例についての上述の説明は、要約で説明される事項を含め、網羅的であることも、開示される形態そのものへの限定であることも意図されない。本発明の特定の実施形態および例が、本明細書において例示を目的として説明されるが、本発明のより広い趣旨および範囲から逸脱することなく様々な同等な変更が可能である。実際、特定の例示的な電圧、厚さ、材料種などが説明のために提示されることと、本発明の教示に従った他の実施形態および例において他の値も使用し得ることとが理解される。

Claims (26)

  1. 第1の活性層と、
    前記第1の活性層上に位置する第2の活性層であって、二次元電子気体層が、前記第1の活性層と前記第2の活性層との間に形成される、前記第2の活性層と、
    前記第2の活性層上に位置するサンドウィッチゲート誘電体層構造物と、
    前記サンドウィッチゲート誘電体層構造物の上方に位置するパッシベーション層と、
    前記パッシベーション層を通って前記サンドウィッチゲート誘電体層構造物の上部まで延びたゲートと、
    前記第2の活性層に電気的に接続する第1および第2のオーミック接点であって、前記第1のオーミック接点と前記第2のオーミック接点とが、横方向に離隔され、前記ゲートが、前記第1のオーミック接点と前記第2のオーミック接点との間に位置する、前記第1および第2のオーミック接点と、
    を備える、ヘテロ構造半導体デバイス。
  2. 前記サンドウィッチゲート誘電体層構造物が、
    前記第2の活性層上に位置する第1のゲート誘電体層と、
    前記第1のゲート誘電体層上に位置する第2のゲート誘電体層と、
    前記第2のゲート誘電体層上に位置する第3のゲート誘電体層と、
    を備える、
    請求項1に記載のヘテロ構造半導体デバイス。
  3. 前記第3のゲート誘電体層が、酸化アルミニウム(Al2O3)を含む、
    請求項2に記載のヘテロ構造半導体デバイス。
  4. 前記第1のゲート誘電体層が、第1の厚さをもち、
    前記第2のゲート誘電体層が、第2の厚さをもち、
    前記第3のゲート誘電体層が、第3の厚さをもち、
    前記第2の厚さが、前記第1の厚さおよび前記第3の厚さより大きい、
    請求項2に記載のヘテロ構造半導体デバイス。
  5. 前記第1のゲート誘電体層が、窒化物ベースの化合物を含む、
    請求項2に記載のヘテロ構造半導体デバイス。
  6. 前記第1のゲート誘電体層が、窒化ケイ素(SiN)を含む、
    請求項2に記載のヘテロ構造半導体デバイス。
  7. 前記第1のゲート誘電体層が、窒化炭素(CN)を含む、
    請求項2に記載のヘテロ構造半導体デバイス。
  8. 前記第1のゲート誘電体層が、窒化ホウ素(BN)を含む、
    請求項2に記載のヘテロ構造半導体デバイス。
  9. 前記第1のゲート誘電体層と前記第2のゲート誘電体層とが、同じ材料を含む、
    請求項2に記載のヘテロ構造半導体デバイス。
  10. 前記第1のゲート誘電体層が、約1〜5ナノメートルの厚さの範囲内の第1の厚さをもち、
    前記第2のゲート誘電体が、約20〜60ナノメートルの範囲内の第2の厚さをもち、
    前記第3のゲート誘電体が、10〜20ナノメートルの範囲内の第3の厚さをもつ、
    請求項2に記載のヘテロ構造半導体デバイス。
  11. 前記ゲートを通る漏れ電流がヘテロ接合半導体デバイスの通常動作中に温度に対して実質的に一定であるように、前記第1の厚さ、前記第2の厚さ、および前記第3の厚さが設定された、
    請求項9に記載のヘテロ構造半導体デバイス。
  12. 閾値電圧がヘテロ接合半導体デバイスの通常動作中に温度に対して実質的に一定であるように、前記第1の厚さ、前記第2の厚さ、および前記第3の厚さが設定された、
    請求項9に記載のヘテロ構造半導体デバイス。
  13. 前記第1の活性層が、窒化ガリウム(GaN)を含み、
    前記第2の活性層が、アルミニウムガリウム窒化物(AlGaN)を含む、
    請求項1に記載のヘテロ構造半導体デバイス。
  14. 前記ゲート金属が、前記ドレインオーミック接点に向けて延びたゲートフィールドプレートを含む、
    請求項15に記載のヘテロ構造半導体デバイス。
  15. 前記パッシベーション層が、窒化ケイ素(SiN)を含む、
    請求項1に記載のヘテロ構造半導体デバイス。
  16. 基材上に第1の活性層を形成することと、
    前記第1の活性層上に第2の活性層を形成することであって、
    二次元電子気体層が前記第1の活性層と前記第2の活性層との間に形成されるように、前記第1の活性層と前記第2の活性層とが異なるバンドギャップをもつ、
    前記第2の活性層を形成することと、
    前記第2の活性層上に第1のゲート誘電体層を形成することであって、
    前記第1のゲート誘電体層が、第1の厚さをもつ、
    前記第1のゲート誘電体層を形成することと、
    前記第1のゲート誘電体層上に第2のゲート誘電体層を形成することであって、
    前記第2のゲート誘電体層が、前記第1の厚さより大きな第2の厚さをもつ、
    前記第2のゲート誘電体層を形成することと、
    前記第2のゲート誘電体層上に第3のゲート誘電体層を形成することであって、
    前記第3のゲート誘電体層が、前記第2の厚さより小さく、前記第1の厚さより大きな第3の厚さをもつ、
    前記第3のゲート誘電体層を形成することと、
    前記第3のゲート誘電体層と前記第2のゲート誘電体層と前記第1のゲート誘電体層とを通って縦方向に各々が延びた第1および第2のオーミック接点を形成することであって、
    前記第1のオーミック接点と前記第2のオーミック接点とが、横方向に離隔されて、前記第2の活性層に電気的に接続する、
    前記第1および第2のオーミック接点を形成することと、
    前記第1のオーミック接点と前記第2のオーミック接点との間の横方向位置において前記第3のゲート誘電体層に接触するゲートを形成することと、
    を含む、ヘテロ構造半導体デバイスを製造する方法。
  17. 前記第1のオーミック接点と前記第2のオーミック接点とを形成する前に、前記第3のゲート誘電体層の上方にパッシベーション層を堆積および形成することをさらに含む、
    請求項16に記載の方法。
  18. 前記第1のオーミック接点と前記第2のオーミック接点とをアニーリングすることをさらに含む、
    請求項16に記載の方法。
  19. 前記ヘテロ構造半導体デバイスの正常動作中の温度にわたってゲート・ソース漏れ電流が実質的に一定に留まるように、前記第1の厚さ、前記第2の厚さ、および前記第3の厚さが選択される、
    請求項16に記載の方法。
  20. 前記第1のゲート誘電体層と前記第2のゲート誘電体層とが、窒化ケイ素を含む、
    請求項16に記載の方法。
  21. 前記第2のゲート誘電体層が、酸化アルミニウムを含む、
    請求項20に記載の方法。
  22. 前記第1のゲート誘電体層と前記第2のゲート誘電体層とが、前記第1の活性層および前記第2の活性層とインサイチュで形成される、
    請求項16に記載の方法。
  23. 前記第1のゲート誘電体層が、前記第1の活性層および前記第2の活性層とインサイチュで形成され、
    前記第2のゲート誘電体層が、前記第1の活性層および前記第2の活性層とイクサイチュで形成される、
    請求項16に記載の方法。
  24. 前記第1の厚さが、約1〜5ナノメートルの範囲にある、
    請求項16に記載の方法。
  25. 前記第2の厚さが、約30〜40ナノメートルの範囲内にある、
    請求項24に記載の方法。
  26. 前記第3の厚さが、10〜20ナノメートルの範囲内にある、
    請求項25に記載の方法。
JP2018189353A 2017-10-12 2018-10-04 ヘテロ構造デバイスのためのゲートスタック Active JP7330605B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762571642P 2017-10-12 2017-10-12
US62/571,642 2017-10-12

Publications (3)

Publication Number Publication Date
JP2019075558A true JP2019075558A (ja) 2019-05-16
JP2019075558A5 JP2019075558A5 (ja) 2021-11-04
JP7330605B2 JP7330605B2 (ja) 2023-08-22

Family

ID=64959072

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018189353A Active JP7330605B2 (ja) 2017-10-12 2018-10-04 ヘテロ構造デバイスのためのゲートスタック

Country Status (4)

Country Link
US (1) US11114539B2 (ja)
EP (1) EP3480854A3 (ja)
JP (1) JP7330605B2 (ja)
CN (1) CN109659361B (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11024545B2 (en) * 2018-10-31 2021-06-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of manufacture
DE102019120692A1 (de) * 2019-07-31 2021-02-04 Infineon Technologies Ag Leistungshalbleitervorrichtung und Verfahren
CN112652535A (zh) * 2020-12-22 2021-04-13 深圳市美浦森半导体有限公司 一种氮化镓异质结二极管制备方法及二极管
WO2022174400A1 (en) * 2021-02-19 2022-08-25 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009302435A (ja) * 2008-06-17 2009-12-24 Nippon Telegr & Teleph Corp <Ntt> 半導体装置
JP2013118377A (ja) * 2011-12-01 2013-06-13 Power Integrations Inc パワートランジスタ装置のための多層構造を作製する方法、ヘテロ接合電界効果トランジスタ装置のための多層構造を作製する方法、および窒化物系ヘテロ接合電界効果トランジスタ装置
US20140077266A1 (en) * 2012-09-14 2014-03-20 Power Integrations, Inc. Heterostructure Transistor with Multiple Gate Dielectric Layers

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020197835A1 (en) 2001-06-06 2002-12-26 Sey-Ping Sun Anti-reflective coating and methods of making the same
CN1557024B (zh) 2001-07-24 2010-04-07 美商克立股份有限公司 绝缘栅铝镓氮化物/氮化钾高电子迁移率晶体管(hemt)
JP3871607B2 (ja) 2001-12-14 2007-01-24 松下電器産業株式会社 半導体素子およびその製造方法
JP4221697B2 (ja) 2002-06-17 2009-02-12 日本電気株式会社 半導体装置
JP2004087587A (ja) 2002-08-23 2004-03-18 Mitsubishi Electric Corp 窒化物半導体装置およびその製造方法
US7253015B2 (en) 2004-02-17 2007-08-07 Velox Semiconductor Corporation Low doped layer for nitride-based semiconductor device
JP4398780B2 (ja) 2004-04-30 2010-01-13 古河電気工業株式会社 GaN系半導体装置
US7547928B2 (en) 2004-06-30 2009-06-16 Interuniversitair Microelektronica Centrum (Imec) AlGaN/GaN high electron mobility transistor devices
KR100889362B1 (ko) 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US7456443B2 (en) 2004-11-23 2008-11-25 Cree, Inc. Transistors having buried n-type and p-type regions beneath the source region
JP2006245317A (ja) 2005-03-03 2006-09-14 Fujitsu Ltd 半導体装置およびその製造方法
US8482035B2 (en) 2005-07-29 2013-07-09 International Rectifier Corporation Enhancement mode III-nitride transistors with single gate Dielectric structure
EP2312635B1 (en) 2005-09-07 2020-04-01 Cree, Inc. Transistors with fluorine treatment
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
US8399911B2 (en) 2006-06-07 2013-03-19 Imec Enhancement mode field effect device and the method of production thereof
US7939853B2 (en) 2007-03-20 2011-05-10 Power Integrations, Inc. Termination and contact structures for a high voltage GaN-based heterojunction transistor
JP2009032796A (ja) 2007-07-25 2009-02-12 Rohm Co Ltd 窒化物半導体素子および窒化物半導体素子の製造方法
JP4584293B2 (ja) 2007-08-31 2010-11-17 富士通株式会社 窒化物半導体装置、ドハティ増幅器、ドレイン電圧制御増幅器
CN100594591C (zh) 2007-10-17 2010-03-17 中国科学院微电子研究所 一种提高氮化镓基场效应晶体管性能的方法
CN101459080B (zh) 2007-12-12 2010-04-14 中国科学院微电子研究所 一种制作氮化镓基场效应晶体管的方法
US8309987B2 (en) 2008-07-15 2012-11-13 Imec Enhancement mode semiconductor device
US7985986B2 (en) 2008-07-31 2011-07-26 Cree, Inc. Normally-off semiconductor devices
US7968913B2 (en) * 2008-12-08 2011-06-28 National Semiconductor Corporation CMOS compatable fabrication of power GaN transistors on a <100> silicon substrate
US8168486B2 (en) 2009-06-24 2012-05-01 Intersil Americas Inc. Methods for manufacturing enhancement-mode HEMTs with self-aligned field plate
WO2010151721A1 (en) 2009-06-25 2010-12-29 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Transistor with enhanced channel charge inducing material layer and threshold voltage control
US9306050B2 (en) 2009-06-26 2016-04-05 Cornell University III-V semiconductor structures including aluminum-silicon nitride passivation
US9105703B2 (en) 2010-03-22 2015-08-11 International Rectifier Corporation Programmable III-nitride transistor with aluminum-doped gate
JP5635803B2 (ja) 2010-05-07 2014-12-03 トランスフォーム・ジャパン株式会社 化合物半導体装置の製造方法及び化合物半導体装置
WO2012083590A1 (en) * 2010-12-20 2012-06-28 The Hong Kong University Of Science And Technology Power semiconductor field effect transistor structure with charge trapping material in the gate dielectric
JP5758132B2 (ja) 2011-01-26 2015-08-05 株式会社東芝 半導体素子
JP5648523B2 (ja) 2011-02-16 2015-01-07 富士通株式会社 半導体装置、電源装置、増幅器及び半導体装置の製造方法
US9076853B2 (en) 2011-03-18 2015-07-07 International Rectifie Corporation High voltage rectifier and switching circuits
US9070758B2 (en) 2011-06-20 2015-06-30 Imec CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof
US20130099284A1 (en) 2011-10-20 2013-04-25 Triquint Semiconductor, Inc. Group iii-nitride metal-insulator-semiconductor heterostructure field-effect transistors
CN102723358B (zh) 2012-05-30 2015-01-07 苏州能讯高能半导体有限公司 绝缘栅场效应晶体管及其制造方法
CN103134476B (zh) 2013-01-28 2014-12-03 中国科学院研究生院 一种基于水平集算法的海陆分界线检测方法
US8928037B2 (en) 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
JP6301640B2 (ja) * 2013-11-28 2018-03-28 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US10002958B2 (en) * 2016-06-08 2018-06-19 The United States Of America, As Represented By The Secretary Of The Navy Diamond on III-nitride device
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009302435A (ja) * 2008-06-17 2009-12-24 Nippon Telegr & Teleph Corp <Ntt> 半導体装置
JP2013118377A (ja) * 2011-12-01 2013-06-13 Power Integrations Inc パワートランジスタ装置のための多層構造を作製する方法、ヘテロ接合電界効果トランジスタ装置のための多層構造を作製する方法、および窒化物系ヘテロ接合電界効果トランジスタ装置
US20140077266A1 (en) * 2012-09-14 2014-03-20 Power Integrations, Inc. Heterostructure Transistor with Multiple Gate Dielectric Layers

Also Published As

Publication number Publication date
US20190115443A1 (en) 2019-04-18
CN109659361A (zh) 2019-04-19
CN109659361B (zh) 2022-03-04
TW201926693A (zh) 2019-07-01
US11114539B2 (en) 2021-09-07
EP3480854A2 (en) 2019-05-08
EP3480854A3 (en) 2019-08-14
JP7330605B2 (ja) 2023-08-22

Similar Documents

Publication Publication Date Title
KR102014328B1 (ko) AlSiN 패시베이션층을 갖는 헤테로-구조 전력 트랜지스터
TWI525814B (zh) 具有多個閘極電介質層的異質結構電晶體
CN105938799B (zh) 半导体器件的制造方法和半导体器件
JP6054070B2 (ja) Hemt装置を製造するcmosコンパチブルな方法とそのhemt装置
JP5785153B2 (ja) 補償型ゲートmisfet及びその製造方法
JP7330605B2 (ja) ヘテロ構造デバイスのためのゲートスタック
JP6849695B2 (ja) 半導体デバイスのための集積抵抗器
JP5055773B2 (ja) 半導体素子
TWI835753B (zh) 異質結構半導體元件及其製造方法
JP2017195400A (ja) 半導体装置
CN115997287B (zh) 氮化物基半导体ic芯片及其制造方法
WO2024040516A1 (en) Nitride-based electronic device with wafer-level dynamic on-resistance monitoring capability

Legal Events

Date Code Title Description
RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20210409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210921

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210921

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221228

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230706

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230714

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230808

R150 Certificate of patent or registration of utility model

Ref document number: 7330605

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150