JP2005191585A - 半導体ウェーハボート - Google Patents

半導体ウェーハボート Download PDF

Info

Publication number
JP2005191585A
JP2005191585A JP2005018488A JP2005018488A JP2005191585A JP 2005191585 A JP2005191585 A JP 2005191585A JP 2005018488 A JP2005018488 A JP 2005018488A JP 2005018488 A JP2005018488 A JP 2005018488A JP 2005191585 A JP2005191585 A JP 2005191585A
Authority
JP
Japan
Prior art keywords
wafer
semiconductor wafer
wafer boat
ring
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005018488A
Other languages
English (en)
Inventor
Chikun Ko
智勳 洪
Kikin Nan
基欽 南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2005191585A publication Critical patent/JP2005191585A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Packaging Frangible Articles (AREA)

Abstract

【課題】 ウェーハスリップ現象を防止する半導体ウェーハボートを提供する。
【解決手段】 多数個のウェーハが積載されるように上側部材、下側部材、及び上側部材と下側部材とを連結する支持部材20を備え、各支持部材20の内側の間にウェーハを安着可能な多数個のリング型安着板21が一定間隔をおいて積層配置された垂直型半導体ウェーハボートにおいて、安着板21上にウェーハの底面と接触する球型表面を有し、その断面形状が半円形であるリング型突起22が形成されたことを特徴とする。
【選択図】 図10

Description

本発明は半導体ウェーハボートに関するもので、より詳しくは、ウェーハとの接触面積を減らした半導体ウェーハボートに関するものである。
一般的に、半導体製造工程中にはいくつかの工程が反復的に遂行され、このような工程が遂行される設備としていくつかの種類の主設備及び補助設備が使用されている。
このような設備に設置されて多数個のウェーハを積載し、前記設備にローディング及びアンローディングするためのウェーハ容器の一種として半導体ウェーハボートがある。
このような半導体ウェーハボートは、厳しい工程条件、特に高温の環境でウェーハに酸化膜を成長させるか、電気的な特性を有するためにホウ素や燐等の不純物を活性化及び安定化させる拡散工程(Diffusion Process)等に耐える石英系列の材質で製作される。
図1は従来の一般的な半導体ウェーハボートを示したものである。
前記図面に示されるように、従来の垂直型(Vertical Type)半導体ウェーハボートは、多数個のウェーハ1が積載されるように上側部材2、下側部材3、及び前記上側部材2と下側部材3とを連結する3つの支持部材4を備え、前記各支持部材4の内側にウェーハ1の縁の一部分を挿入収容可能な多数個のスロット5が形成されている構成である。
この際、前記スロット5に安着された前記ウェーハ1は、図2に示されるように、前記スロット5によって半月形状の接触面6を有する。
一方、従来の他の形態の半導体ウェーハボートは、図3に示されるように、多数個のウェーハ1が積載されるように上側部材7、下側部材8、及び前記上側部材7と下側部材8とを連結する支持部材9を備え、前記各支持部材9の内側の間にウェーハ1を安着可能な多数個のリング形安着板10が形成されたものである。
この際、前記安着板10に安着される前記ウェーハ1は、図4に示されるように、前記安着板10によって所定の幅のリング形状からなる接触面11を有する。
しかし、前述したような従来の半導体ウェーハボートは図2及び図4に示される接触面6、11によってスリップ(Slip)現象(一般的に接触面でよく始まり、ウェーハ表面に発生する微細なスクラッチによってウェーハの結晶構造が立て続けに壊れながらひびが入る現象)が頻繁に発生する問題点があった。
即ち、このようなスリップ現象は、前記ウェーハの熱膨張係数が前記スロットまたは前記安着板の接触面の熱膨張係数と互いに相異でこれらの間に形成された接触摩擦が発生し、このような摩擦によってウェーハの表面に微細スクラッチが生じ、微細スクラッチを始発にウェーハの結晶構造が格子線に沿ってねじれながらひびが入る現象である。
このようなスリップ現象が発生する要因は高温(約摂氏900度以上)の工程条件でウェーハ加工が行われ、工程が行われるチャンバーの加熱速度が速いからで、前記ウェーハボートのスロット間隔または前記安着板の間隔が非常に狭い場合、または前記工程を終えた半導体ウェーハボートが前記チャンバー内にローディング及びアンローディングされる時、前記半導体ウェーハボートの乗降速度が速い場合にもスリップ現象が発生することがある。
従って、スリップ現象を防止するために従来には前述したような前記4つの要因等を考慮してウェーハを生産することで半導体素子の生産性が落ちる問題点があった。
また、このような要因以外にも、まだ明らかになっていない要因によって前記接触面が広いほど微細スクラッチが多発して前記スリップ現象が頻繁に発生することでウェーハに致命的な損傷を誘発させるか、ウェーハの可溶面積が減るという等の問題点があった。
本発明の目的は、微細なスクラッチを防止してウェーハスリップ現象を防止し、半導体素子の生産性及び収率を向上させるようにした半導体ウェーハボートを提供することにある。
このような、前記の目的を達成するために本発明による半導体ウェーハボートは、多数個のウェーハが積載されるように上側部材、下側部材、及び前記上側部材と下側部材とを連結する支持部材を備え、前記各支持部材の内側にウェーハの縁の一部分を挿入収容可能な多数個のスロットが形成された垂直型半導体ウェーハボートにおいて、前記スロット内に前記ウェーハの底面と接触する半球型突起が形成されることを特徴とする。
また、本発明による半導体ウェーハボートは、多数個のウェーハが積載されるように上側部材、下側部材、及び前記上側部材と下側部材とを連結する支持部材を備え、前記各支持部材の内側の間にウェーハを安着可能な多数個のリング型安着板が一定間隔をおいて積層配置された垂直型半導体ウェーハボートにおいて、前記安着板上に前記ウェーハの底面と接触する球型表面を有し、その断面形状が半円型であるリング型突起が形成されることを特徴とする。
また、本発明による半導体ウェーハボートは、多数個のウェーハが積載されるように上側部材、下側部材、及び前記上側部材と下側部材とを連結する支持部材を備え、前記各支持部材の内側の間にウェーハを安着可能な多数個のリング型安着板が一定の間隔をおいて積層配置された垂直型半導体ウェーハボートにおいて、前記安着板上に前記ウェーハの底面と接触する多数個の半球型突起が形成されることを特徴とする。
以下、本発明の具体的な実施例を添付された図面を参照して詳しく説明する。
図5及び図6を参照して説明すると、本発明の好ましい一実施例による半導体ウェーハボートは、多数個のウェーハ1が積載されるように上側部材12、下側部材13、及び前記上側部材12と下側部材13とを連結する3つの支持部材14(通常3つまたは4つ設置される。)を備え、前記各支持部材14の内側にウェーハ1の縁の一部分を挿入収容可能な多数個のスロット15が形成された垂直型半導体ウェーハボートで、前記スロット15内に前記ウェーハ1の底面と接触する半球型突起16が形成された構成をしている。
即ち、前記半球型突起16は、前記ウェーハ1の底面と点接触する球型表面が形成されたもので、このような半球型突起16に接触するウェーハ1は、接触する部分が図8に示されるように3つの接触点17で表れる。
ここで、図6に示される前記半球型突起16の球型表面の曲率半径が非常に小さい場合には、前記ウェーハ1の表面にスクラッチを誘発することができる反面、非常に大きい場合には前記半球型突起16と前記ウェーハ1の接触点17付近で熱気流の流れが円滑ではなくなる。
このような前記球型表面の曲率半径はウェーハ1の重さ、強度、硬度等を考慮して最適化される。
従って、図7に示されるように、高温の工程チャンバーで形成された熱気流が前記半球型突起16の周辺間隙を通じて前記ウェーハ1の上面及び底面に均一に循環され、特にスロット15の付近でウェーハ1の熱気流の循環が容易に行われるようになることである。
従って、急激な熱変化によって前記ウェーハ1の上面及び底面で発生される熱ストレスを減少させ、熱膨張係数が相異である前記支持部材14との接触面積を縮小させることでスリップ現象を防止することができる。
このような前記半球型突起16は前記支持部材14にスロット15を形成する時、切削加工によって形成することが可能で、射出成形やプレス加工によって製作することもでき、または前記支持部材14と同種の材質で製作して前記支持部材14のスロットに溶接した後、アニーリング(Annealing)処理することで熱膨張による破損を防止することができる。
この際、前記支持部材14と前記半球型突起16の密着性を向上させるためには、前記スロット15の底面に前記半球型突起16の底面とかみ合う形状の溶接用溝を形成することが好ましい。
このような本発明の一実施例による半導体ウェーハボートの半球型突起16の概念は他の形態の半導体ウェーハボートにも適用することができ、これは当業者において、修正及び変更が可能である。
一方、本発明の他の実施例による半導体ウェーハボートは図9及び図10に示されるように、多数個のウェーハ1が積載されるように上側部材18、下側部材19、及び前記上側部材18と下側部材19とを連結する3つの支持部材20(通常3つまたは4つが設置される)を備え、前記各支持部材20の内側の間にウェーハ1を安着可能な多数個のリング型安着板21が一定の間隔をおいて積層配置された垂直型半導体ウェーハボートで、前記安着板21上に前記ウェーハ1の底面と接触する球型表面を有し、その断面形状が半円形であるリング型突起22が形成された構成をしている。
このような前記安着板21は、高温で前記ウェーハ1の垂れ下がることを防止するために考案されたもので、本発明は前記安着板21にリング型突起22を形成して前記ウェーハ1の表面と接触面積を減らすことができるようにしたものである。
即ち、前記リング型突起22は前記ウェーハ1の底面と線接触するドーナッツ型表面が形成されたもので、このようなリング型突起22に接触するウェーハ1は接触する部分が図12に図示されたようにリング型接触線23で表れる。
前記接触線23はウェーハ1の底面にウェーハの中心から一定な半径を維持しながら形成されるし、高温の環境でウェーハ1の垂れ下がりを防止することができるように支持する。
即ち、前記リング形状の接触線23の位置がウェーハ1の中心を基準に、ウェーハ1の外枠から始まってその半径が小さくなるほどウェーハ1の中央部の垂れ下がる現象を減らすことができる反面、所定の半径以下にさらに小さくなった場合にはウェーハ1の縁部の垂れ下がる現象が発生するので、前記リング型突起22の半径はウェーハ1の垂れ下がり現象を考慮して最適化することができる。
また、前記リング型突起22の断面は半減形で、前記半円の曲率半径が非常に小さい場合には、前記ウェーハ1の表面にスクラッチを誘発することができるし、非常に大きい場合には前記リング型突起22と前記ウェーハ1の接触線23の付近で熱気流の流れが円滑ではなくなる。
従って、前記リング型突起22の前述したような要因を全て考慮して設計するべきである。
一方、図11で図示されたように、高温の工程チャンバーで形成された熱気流が前記ウェーハ1の上面及び底面にそれぞれ到達されるし、特に安着板21の周辺の前記ウェーハ1の表面に熱気流が円滑に到達することができる。
従って、急激な熱変化によって前記ウェーハ1の上面及び底面で発生される熱ストレスを減少させ、熱膨張係数が相異である前記安着板21との接触面積を縮小させることでスリップ現象を防止することができる。
このような前記リング型突起22は前記安着板21を製作する時、切削加工によって形成することが可能で、射出成形やプレス加工によって製作することもでき、または前記安着板21と同種の材質で制作して前記支持部材20の安着板21に溶接した後、アニーリング処理することで熱膨張による破損を防止することができる。
この際、前記安着板21と前記リング型突起22の密着性を向上させるためには溶接作業の前に前記安着板21上に前記リング型突起22の底面とかみ合わされる形状である溶接用リング溝を形成することが好ましい。
このような本発明の他の実施例による半導体ウェーハボートのリング型突起22の概念は他の形態の半導体ウェーハボートにも適用することができ、これは当業者において修正及び変更が可能なものである。
一方、図13及び図14に示されるように、本発明の他の実施例による半導体ウェーハボートは多数個のウェーハ1が積載されるように上側部材24、下側部材25、及び前記上側部材24と下側部材25とを連結する3つの支持部材26(通常3つまたは4つが設置される)を備え、前記各支持部材26の内側の間にウェーハ1を安着可能な多数個のリング型安着板27が一定の間隔をおいて積層配置された垂直型半導体ウェーハボートで、前記安着板27に前記ウェーハの底面と接触する6つの半球型突起28が形成された構成をしている。
このような前記安着板27は高温で前記ウェーハ1の垂れ下がりをある程度防止するために発明されたもので、前記安着板27に半球型突起28を形成して前記ウェーハ1の表面と接触面積を減らすことができるようになされたものである。
即ち、前記半球型突起28は前記ウェーハ1の底面と線接触する球型表面が形成されたもので、このような半球型突起28に接触するウェーハ1は接触する部分が図16に示されるように6つの接触点29で表れる。
前記各接触点29はウェーハ1の底面の周りに沿って等間隔で形成されるし、前記半球型突起28は高温の環境でウェーハの垂れ下がりを防止可能に支持する。
即ち、前記各接触点29を連結する円の位置がウェーハ1の中心を基準にウェーハ1の外枠から始まって、その半径が小さくなるほどウェーハ1の中央部の垂れ下がり現象を減らすことができる反面、所定の半径以下にさらに小さくなった場合にはウェーハ1の縁部の下がる現象が発生するようになる。
また、前記接触点29の間隔が広いほど(前記半球型突起の形成個数が減るほど)ウェーハの部分的な垂れ下がり現象が増加し、その間隔が狭いほど(形成の個数が増えるほど)製作費が増加し、熱気流の循環効果は低下される。
また、前記半球型突起28の曲率半径が非常に小さい場合には、前記ウェーハ1の表面にスクラッチを誘発することができるし、非常に大きい場合には前記半球型突起28と前記ウェーハ1の各接触点29の付近で熱気流の流れが円滑ではなくなる。
従って、前記半球型突起28の形成個数、配置半径及び曲率半径等はウェーハ1の重さ、強度、硬度、下がり現象、熱気流の循環効果等を考慮して最適化することができる。
一方、図15に図示されたように、高温の工程チャンバーで形成された熱気流が前記ウェーハ1の上面及び底面に均一に循環され、特に安着板27の周辺での熱気流の循環を円滑にすることができる。
従って、急激な熱変化によって前記ウェーハ1の上面及び底面で発生される熱ストレスを現象させ、熱膨張係数が相異である前記安着板27との接触面積を縮小させることでスリップ現象を防止することができる。
このような前記半球型突起28は前記安着板27を製作する時、切削加工によって形成されることもでき、射出形成やプレス加工によって製作することもできるし、また、前記安着板27と同種の材質で制作して前記安着板27に溶接された後、アニーリング処理することで熱膨張による破損を防止することができる。
この際、前記安着板27と前記半球型突起28の密着性を向上させるためには前記安着板27上に前記半球型突起28の底面形状とかみ合う溶接用溝を形成することが好ましい。
また、前記半球型突起28は1個の前記安着板27上に等間隔で3個乃至12個程度形成することが好ましい。
このような本発明の他の実施例による半導体ウェーハボートの半球型突起28の概念は他の形態の半導体ウェーハボートにも適用することができ、これは当業者において修正及び変更が可能なものである。
従って、本発明の各実施例による半導体ウェーハボートによると、ウェーハに対する従来の面接触支持状態を点接触または線接触支持状態に転換することで前記ウェーハに発生する微細スクラッチを減少させてスリップ現象を最小化することができる利点がある。
(発明の効果)
以上説明したように、本発明の半導体ウェーハボートによると、スリップ現象を防止してより高温での加工が自由になり、工程チャンバーの加熱速度を向上させることができるし、ウェーハボートのスロット間隔をより狭くしてウェーハを大量積載することができるだけではなく、ウェーハボートのローディング及びアンローディングを迅速にすることができるので半導体素子の生産性を大きく向上させることができる。
以上、本発明は記載された具体例に対してのみ詳しく説明されたが、本発明の技術思想範囲内で多様な変形及び修正が可能であることは当業者にとって明白なことであり、このような変形及び修正が添付された特許請求の範囲に属することは当然なことである。
従来の半導体ウェーハボートを示した斜視図である。 図1に積載されたウェーハの接触面を示した底面図である。 従来の他の半導体ウェーハボートを示した斜視図である。 図3に積載されたウェーハの接触面を示した底面図である。 本発明の一実施例による半導体ウェーハボートを示す斜視図である。 図5の半球型突起を示した部分拡大図である。 図5のA−A線断面図である。 図5に積載されたウェーハの接触点を示した底面図である。 本発明の他の実施例による半導体ウェーハボートを示した斜視図である。 図9のリング型突起を示した部分拡大図である。 図9のB−B線断面図である。 図9に積載されたウェーハの接触線を示した底面図である。 本発明の他の実施例による半導体ウェーハボートを示した斜視図である。 図13の半球型突起を示した部分拡大図である。 図13のC−C線断面図である。 図13に積載されたウェーハの接触点を示した底面図である。
符号の説明
1 ウェーハ
2、7、12、18、24 上側部材
3、8、13、19、25 下側部材
4、9、14、20、26 支持部材
5、15 スロット
6、11 接触面
10、21、27 安着板
16、28 半球型突起
17、29 接触点
22 リング型突起
23 接触線

Claims (3)

  1. 多数個のウェーハが積載されるように上側部材、下側部材、及び前記上側部材と下側部材とを連結する支持部材を備え、前記各支持部材の内側の間にウェーハを安着可能な多数個のリング型安着板が一定間隔をおいて積層配置された垂直型半導体ウェーハボートにおいて、
    前記安着板上に前記ウェーハの底面と接触する球型表面を有し、その断面形状が半円形であるリング型突起が形成されたことを特徴とする半導体ウェーハボート。
  2. 前記リング型突起は、前記安着板と同種の材質で構成されたことを特徴とする請求項1に記載の前記半導体ウェーハボート。
  3. 前記リング型突起は、前記安着板上に溶接して形成されることを特徴とする請求項1に記載の前記半導体ウェーハボート。
JP2005018488A 1998-06-23 2005-01-26 半導体ウェーハボート Pending JP2005191585A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980023770A KR20000002833A (ko) 1998-06-23 1998-06-23 반도체 웨이퍼 보트

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP11162254A Division JP2000021796A (ja) 1998-06-23 1999-06-09 半導体ウェ―ハボ―ト

Publications (1)

Publication Number Publication Date
JP2005191585A true JP2005191585A (ja) 2005-07-14

Family

ID=19540538

Family Applications (2)

Application Number Title Priority Date Filing Date
JP11162254A Pending JP2000021796A (ja) 1998-06-23 1999-06-09 半導体ウェ―ハボ―ト
JP2005018488A Pending JP2005191585A (ja) 1998-06-23 2005-01-26 半導体ウェーハボート

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP11162254A Pending JP2000021796A (ja) 1998-06-23 1999-06-09 半導体ウェ―ハボ―ト

Country Status (4)

Country Link
US (1) US6099302A (ja)
JP (2) JP2000021796A (ja)
KR (1) KR20000002833A (ja)
TW (1) TW417141B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104178806A (zh) * 2014-08-20 2014-12-03 中国科学院半导体研究所 悬挂式双面外延生长装置
KR101767703B1 (ko) 2010-05-03 2017-08-11 썬에디슨, 인크. 웨이퍼 지지 링

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI250604B (en) * 1999-07-29 2006-03-01 Ibm Improved ladder boat for supporting wafers
US6474987B1 (en) * 1999-09-03 2002-11-05 Mitsubishi Materials Silicon Corporation Wafer holder
US6287112B1 (en) * 2000-03-30 2001-09-11 Asm International, N.V. Wafer boat
US6455395B1 (en) * 2000-06-30 2002-09-24 Integrated Materials, Inc. Method of fabricating silicon structures including fixtures for supporting wafers
US6450346B1 (en) * 2000-06-30 2002-09-17 Integrated Materials, Inc. Silicon fixtures for supporting wafers during thermal processing
CN1128470C (zh) * 2000-09-01 2003-11-19 陈正明 晶片减薄后与载体分离的工艺方法及其装置
US6497403B2 (en) 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
KR100410982B1 (ko) * 2001-01-18 2003-12-18 삼성전자주식회사 반도체 제조장치용 보트
US6571964B2 (en) * 2001-03-28 2003-06-03 International Business Machines Corporation Tray for retaining disks
CN1313329C (zh) * 2001-04-01 2007-05-02 诚实公司 晶片承载器与插入件以及支承薄晶片的方法
US6871657B2 (en) * 2001-04-06 2005-03-29 Akrion, Llc Low profile wafer carrier
JP4467028B2 (ja) * 2001-05-11 2010-05-26 信越石英株式会社 縦型ウェーハ支持治具
JP2003146704A (ja) * 2001-11-09 2003-05-21 Nippon Sheet Glass Co Ltd 情報記録媒体用ガラス基板の化学強化処理装置
US7077913B2 (en) * 2002-01-17 2006-07-18 Hitachi Kokusai Electric, Inc. Apparatus for fabricating a semiconductor device
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
JPWO2004003995A1 (ja) * 2002-06-27 2005-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US6814808B1 (en) 2002-10-08 2004-11-09 Sci-Tech Glassblowing, Inc. Carrier for semiconductor wafers
KR100492977B1 (ko) * 2002-12-12 2005-06-07 삼성전자주식회사 다공성 실리카 박막의 소결을 위한 웨이퍼 보트
WO2004086496A1 (ja) * 2003-03-26 2004-10-07 Shin-Etsu Handotai Co., Ltd. 熱処理用ウェーハ支持具及び熱処理装置
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
US7083694B2 (en) * 2003-04-23 2006-08-01 Integrated Materials, Inc. Adhesive of a silicon and silica composite particularly useful for joining silicon parts
JP4667376B2 (ja) * 2003-07-02 2011-04-13 クック インコーポレイテッド 小ゲージ針カテーテル挿入器具
US7017758B2 (en) * 2003-07-09 2006-03-28 Chartered Semiconductor Manufacturing Ltd. Wafer protective cassette
TWI310850B (en) * 2003-08-01 2009-06-11 Foxsemicon Integrated Tech Inc Substrate supporting rod and substrate cassette using the same
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US20050051083A1 (en) * 2003-09-05 2005-03-10 Carlos Ruiz Apparatus for carrying reticles and method of using the same to process reticles
US20050205502A1 (en) * 2004-03-18 2005-09-22 Brown Steven A Rails for semiconductor wafer carriers
US7498062B2 (en) * 2004-05-26 2009-03-03 Wd Media, Inc. Method and apparatus for applying a voltage to a substrate during plating
JP4534619B2 (ja) * 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
KR100852975B1 (ko) * 2004-08-06 2008-08-19 가부시키가이샤 히다치 고쿠사이 덴키 열처리 장치 및 기판의 제조 방법
US8017062B2 (en) * 2004-08-24 2011-09-13 Yeshwanth Narendar Semiconductor processing components and semiconductor processing utilizing same
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
CN2762903Y (zh) * 2004-12-30 2006-03-08 鸿富锦精密工业(深圳)有限公司 光学元件清洗机构
US7748542B2 (en) 2005-08-31 2010-07-06 Applied Materials, Inc. Batch deposition tool and compressed boat
WO2007099786A1 (ja) * 2006-02-23 2007-09-07 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
US7661544B2 (en) * 2007-02-01 2010-02-16 Tokyo Electron Limited Semiconductor wafer boat for batch processing
JP5130808B2 (ja) * 2007-07-11 2013-01-30 信越半導体株式会社 ウエーハ熱処理用治具およびこれを備えた縦型熱処理用ボート
KR100912136B1 (ko) * 2007-09-20 2009-08-13 김종민 링보트 제조장치
JP5071217B2 (ja) * 2008-04-17 2012-11-14 信越半導体株式会社 縦型熱処理用ボートおよびそれを用いたシリコンウエーハの熱処理方法
US8042697B2 (en) * 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
TWI371076B (en) * 2008-08-27 2012-08-21 Gudeng Prec Industral Co Ltd A wafer container with at least one supporting module having a long slot
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8267831B1 (en) 2009-05-19 2012-09-18 Western Digital Technologies, Inc. Method and apparatus for washing, etching, rinsing, and plating substrates
US20110062053A1 (en) * 2009-07-13 2011-03-17 Greene Tweed Of Delaware, Inc. Chimerized Wafer Boat for Use in Semiconductor Chip Processing and Related Methods
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
USD666709S1 (en) * 2010-06-21 2012-09-04 Saint-Gobain Ceramics & Plastics, Inc. Kiln post
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
USD763807S1 (en) * 2014-05-22 2016-08-16 Hzo, Inc. Boat for a deposition apparatus
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9153466B2 (en) * 2012-04-26 2015-10-06 Asm Ip Holding B.V. Wafer boat
US8785303B2 (en) * 2012-06-01 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for depositing amorphous silicon
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
FR2995394B1 (fr) 2012-09-10 2021-03-12 Soitec Silicon On Insulator Dispositif de support d'une pluralite de substrats pour un four vertical
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
USD734730S1 (en) * 2012-12-27 2015-07-21 Hitachi Kokusai Electric Inc. Boat of substrate processing apparatus
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWD163542S (zh) * 2013-03-22 2014-10-11 日立國際電氣股份有限公司 基板處理裝置用晶舟
TWD166332S (zh) * 2013-03-22 2015-03-01 日立國際電氣股份有限公司 基板處理裝置用晶舟之部分
US10190235B2 (en) * 2013-05-24 2019-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer supporting structure and method for forming the same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
TWD168827S (zh) * 2013-07-29 2015-07-01 日立國際電氣股份有限公司 半導體製造裝置用晶舟
TWD167988S (zh) * 2013-07-29 2015-05-21 日立國際電氣股份有限公司 半導體製造裝置用晶舟
TWD165429S (zh) * 2013-07-29 2015-01-11 日立國際電氣股份有限公司 半導體製造裝置用晶舟
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP1537630S (ja) * 2014-11-20 2015-11-09
JP1537629S (ja) * 2014-11-20 2015-11-09
JP1537313S (ja) * 2014-11-20 2015-11-09
JP1537312S (ja) * 2014-11-20 2015-11-09
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
DE102015114964A1 (de) * 2015-09-07 2017-03-09 Von Ardenne Gmbh Substratträger, Substrathaltevorrichtung, Substrattransportvorrichtung und Prozessiervorrichtung
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP1563649S (ja) * 2016-02-12 2016-11-21
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102016113924A1 (de) 2016-07-28 2018-02-01 Infineon Technologies Ag Waferbox, Verfahren zum Anordnen von Wafern in einer Waferbox, Wafer-Schutzplatte und Verfahren zum Schützen eines Wafers
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6770461B2 (ja) * 2017-02-21 2020-10-14 クアーズテック株式会社 縦型ウエハボート
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10468148B2 (en) * 2017-04-24 2019-11-05 Infineon Technologies Ag Apparatus and method for neutron transmutation doping of semiconductor wafers
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7030604B2 (ja) * 2018-04-19 2022-03-07 三菱電機株式会社 ウエハボートおよびその製造方法
USD846514S1 (en) * 2018-05-03 2019-04-23 Kokusai Electric Corporation Boat of substrate processing apparatus
USD847105S1 (en) * 2018-05-03 2019-04-30 Kokusai Electric Corporation Boat of substrate processing apparatus
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
USD908102S1 (en) * 2019-02-20 2021-01-19 Veeco Instruments Inc. Transportable semiconductor wafer rack
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
USD908103S1 (en) * 2019-02-20 2021-01-19 Veeco Instruments Inc. Transportable semiconductor wafer rack
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP1658652S (ja) * 2019-08-07 2020-04-27
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210407823A1 (en) * 2020-06-26 2021-12-30 Globalwafers Co., Ltd. Wafer boats for supporting semiconductor wafers in a furnace
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4407654A (en) * 1982-01-21 1983-10-04 The Potters Supply Company Handling and support system for kiln fired ware
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
JPH0992625A (ja) * 1995-09-20 1997-04-04 Tokyo Electron Ltd 熱処理用ボ−ト
US5931666A (en) * 1998-02-27 1999-08-03 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design having rounded horizontal arms

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101767703B1 (ko) 2010-05-03 2017-08-11 썬에디슨, 인크. 웨이퍼 지지 링
CN104178806A (zh) * 2014-08-20 2014-12-03 中国科学院半导体研究所 悬挂式双面外延生长装置

Also Published As

Publication number Publication date
TW417141B (en) 2001-01-01
JP2000021796A (ja) 2000-01-21
US6099302A (en) 2000-08-08
KR20000002833A (ko) 2000-01-15

Similar Documents

Publication Publication Date Title
JP2005191585A (ja) 半導体ウェーハボート
KR101018850B1 (ko) 고온 열처리를 위한 서셉터 플레이트
CN101479840B (zh) 晶片平台
JP2008277795A (ja) エピタキシャル成長用サセプタ
EP2748843B1 (en) Susceptor
KR20110069097A (ko) 고온 환경에서 반도체 웨이퍼용 지지부
JP2001176811A (ja) ウエーハ支持装置
JPH1050626A (ja) 縦型ウエハ支持装置
US8420554B2 (en) Wafer support ring
US20130180446A1 (en) Susceptor
KR20010062144A (ko) 열처리용 기판 보유 지지구, 기판 열처리 장치 및 기판의열처리 방법
JP5130808B2 (ja) ウエーハ熱処理用治具およびこれを備えた縦型熱処理用ボート
JPH10270369A (ja) ウェハ支持体及び縦型ボート
JP3507624B2 (ja) 熱処理用ボ−ト及び熱処理装置
JPH06260438A (ja) 熱処理用ボート
CN220651977U (zh) 一种晶舟及半导体设备
JP2007036105A (ja) シリコンウェーハ用サセプタ
JPH09251960A (ja) 半導体製造用ボート
TWI797779B (zh) 直立型熱處理爐處理舟及半導體晶圓的熱處理方法
JP4157812B2 (ja) ウエハ保持方法及びこの方法に使用する枚葉式熱処理装置
JP5724788B2 (ja) 半導体装置の製造方法
JP2002246449A (ja) ウェハ支持部材、ウェハ保持具およびウェハ保持装置
JP2000124143A (ja) 熱処理装置
JP3867509B2 (ja) 横型熱処理炉用ボートおよび熱処理方法
JP2007266156A (ja) 縦型ウエハボート用ウエハ支持体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080212

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080630