KR101018850B1 - 고온 열처리를 위한 서셉터 플레이트 - Google Patents

고온 열처리를 위한 서셉터 플레이트 Download PDF

Info

Publication number
KR101018850B1
KR101018850B1 KR1020030060106A KR20030060106A KR101018850B1 KR 101018850 B1 KR101018850 B1 KR 101018850B1 KR 1020030060106 A KR1020030060106 A KR 1020030060106A KR 20030060106 A KR20030060106 A KR 20030060106A KR 101018850 B1 KR101018850 B1 KR 101018850B1
Authority
KR
South Korea
Prior art keywords
wafer
susceptor plate
susceptor
support surface
wafers
Prior art date
Application number
KR1020030060106A
Other languages
English (en)
Other versions
KR20040020023A (ko
Inventor
우스테르라켄테오도루스게라르두스마리아
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20040020023A publication Critical patent/KR20040020023A/ko
Application granted granted Critical
Publication of KR101018850B1 publication Critical patent/KR101018850B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

실리콘 웨이퍼의 고온(예를 들어, 1000℃이상) 배치 공정을 위한 서셉터 플레이트(susceptor plate)가 제공된다. 서셉터 플레이트는 각각 하나의 웨이퍼를 수용할 수 있도록 설계되며, 웨이퍼를 장착한 복수개의 서셉터 플레이트는 배치공정 또는 퍼니스(furnace)공정중에 서셉터 플레이트 홀더내에서 수직방향으로 이격되어 있다. 서셉터 플레이트는 지지표면에 최대 20mm의 개구부를 가지면서, 웨이퍼 밑에서 전체적인(full) 지지를 제공한다. 돌출부(protrusion) 또한 최소한으로 유지된다. 서셉터 플레이트는 웨이퍼가 소성변형점이상으로 새깅(sagging)되는 것을 방지한다. 서셉터 플레이트는 또한 정해진 높이 이상의 돌출부를 제거하기 위하여 연삭(grinding) 또는 연마(polishing)된다. 주어진 처리온도에서 서셉터 플레이트는 웨이퍼 소성변형이나 서셉터 플레이트에의 접착없이 높은 램프 레이트(ramp rate)를 허용한다. 실시예에서, 서셉터 플레이트는 새깅방향의 반대로 미리 굽혀진다.

Description

고온 열처리를 위한 서셉터 플레이트{SUSCEPTOR PLATE FOR HIGH TEMPERATURE HEAT TREATMENT}
도 1은 반도체 웨이퍼의 측면과 같이 만곡의 반경을 따라 굽혀지거나 휜 물체를 나타내며,
도 2는 굽혀진 물체의 휘어짐(deflection)을 나타내며,
도 3은 평탄 표면위의 돌출부와 돌출부에 지지된 물체에 대한 영향을 나타내며,
도 4는 서셉터 플레이트 홀더내의 서셉터 플레이트의 3개 부분의 지지를 나타내며,
도 5A는 장착되지 않은 미리-굽혀진 서셉터 플레이트를 나타내는 도 4의 A-A선을 따른 개략적인 측면도를 나타내며,
도 5B는 도 4의 서셉터 플레이트 홀더내에 장착되었을 때, 자체 무게의 새깅에 의해 굽혀진 정도가 감소한 미리-굽혀진 서셉터 플레이트을 나타내는 도 4의 A-A선을 따른 개략적인 측면도를 나타내며,
도 6A는 장착되지 않은 미리-굽혀진 서셉터 플레이트를 나타내는, 도 4의 B-B선을 따른 개략적인 측면도를 나타내며,
도 6B는 도 7의 서셉터 플레이트 홀더내에 장착되었을 때, 자체의 무게의 새깅에 의해 굽혀진 정도가 감소한 미리-굽혀진 서셉터 플레이트를 나타내는 도 4의 B-B선을 따른 굽힘을 나타내며,
도 7은 본 발명의 제 1실시예에 따라 만들어진 서셉터 플레이트를 나타내는 평면도와 여러 국부적인 단면을 나타내는 측면도이고,
도 8은 도 7의 서셉터 플레이트의 개략적인 단면도(스케일은 맞지 않음)이고,
도 9는 본 발명의 제 2실시예에 따라 만들어진 서셉터 플레이트를 나타내는 평면도와 여러 국부적인 단면을 나타내는 측면도이고,
도 10은 본 발명의 제 3실시예에 따라 만들어진 서셉터 플레이트를 나타내는 평면도와 여러 국부적인 단면을 나타내는 측면도이다.
본 발명은, 퍼니스 내에서 고온에서 반도체 웨이퍼를 배치 처리(batch treatment)하는 분야에 관한 것이다. 수직형 퍼니스 내에서 반도체 웨이퍼를 처리하는 동안에, 비교적 많은 수의 웨이퍼가 수직방향으로 이격된 관계를 가지면서, 수평으로 배향된 웨이퍼 보트 내에 수용된다. 표준 웨이퍼 보트 내에서, 웨이퍼는 3 개 이상의 주변부(웨이퍼의 가장자리)에서 지지된다. 그러나, 고온(예를 들면, > 1000℃)에서는 웨이퍼의 항복응력(yied stress)이 감소하고, 자체의 무게로 인해 처지거나, 열적으로 유도된 응력의 결과로 변형되거나, 두 가지 모두의 영향으로 인하여 변형될 수도 있다. 웨이퍼의 두께가 그 지름에 비례하여 증가하는 것이 아니기 때문에, 직경이 큰 웨이퍼는 직경이 작은 웨이퍼에 비하여 이러한 영향에 더 취약하다.
미국특허 제 5,219,079호에서는, 웨이퍼의 휘어짐(warp)을 방지하는 서셉터 플레이트를 포함하는 웨이퍼 보트가 개시되어 있다. 상기 플레이트는 로보트 엔드 이펙터(end effector)로 웨이퍼의 아래 표면과 접촉하여 상기 웨이퍼를 플레이트 상으로 로딩시킬 수 있는 큰 오목부(recess)를 가진다. 그러나, 상대적으로 큰 오목부로 인하여 상기 웨이퍼의 국부적인 변형이 초래됨이 발견되었다. 환형 지지보트와 같이 연장된 웨이퍼 지지 영역을 가진 다른 보트들이 제안되어 있다. 그러나, 300mm 웨이퍼를 고온에서 처리하는데는 위 제안된 지지체가 적당하지 아니하며 여전히 웨이퍼들의 소성변형을 야기한다.
다른 노력으로 일본특허 제 63102225호에서는 웨이퍼의 아래 전체 표면을 지지하는 서셉터 플레이트를 포함하는 웨이퍼보트가 개시되어 있다. 이 보트는 반응성 가스 난류를 웨이퍼로부터 멀리하게 하고 이로써 웨이퍼 위에 화학기상증착 (CVD)으로 증착된 막의 균일성을 개선한다. 웨이퍼는 수동으로 서셉터 플레이트에 장착될 필요가 있다. 이것은 오늘날의 공정에서는 허용될 수 없으며, 이 경우, 300mm 웨이퍼를 수동으로 취급하는 것은 작업이 용이한 선택이 아니다.
따라서, 본 발명의 목적은 300mm 웨이퍼를 1000℃이상의 온도에서, 웨이퍼가 자동으로 다루어지고 웨이퍼의 소성변형이 최소화되거나 제거되는 방법과 장치를 제공하는 것이다.
본 발명에 따르면, 공정 동안에 웨이퍼 보트내에서 웨이퍼는 수직방향으로 떨어져서 수용되며, 웨이퍼는 서셉터 플레이트에 의해 충분히 전체 아래 표면이 지지된다. 서셉터 플레이트가 웨이퍼 보트에 수용되고 300mm 웨이퍼를 장착하면, 서셉터 플레이트는 공정동안 웨이퍼의 슬립(slip)을 최소화하는 한계내의 전체 평탄도(overall flatness)를 가진다.
설명되는 실시예에서, 서셉터 플레이트위로 웨이퍼의 자동장착을 쉽게 하기 위하여, 플레이트는 20mm를 넘지 않는 직경의 3개 또는 그 이상의 홀을 갖는 것이 바람직하다. 서셉터 플레이트의 지지표면의 돌출부는 국부적인 슬립의 발생을 방지하기 위해 0.7㎛보다 작은 높이를 갖는 것이 바람직하다.
관계되는 미국출원 제 60/365,122호에 본 발명의 서셉터 플레이트위에 웨이퍼를 자동적으로 장착하는 방법과 장치가 개시되어 있다.
수직 퍼니스내에서 실리콘 웨이퍼의 고온 공정중에 결정학적 슬립(crystallographic slip)을 방지하기 위해서는, 웨이퍼의 전체면적이 충분히 지지되어야 하는 것이 발견되었다. 이 지지는 서셉터 플레이트 홀더에 분리가능하게 수용되는 서셉터 플레이트에 의해 제공된다. 서셉터 플레이트 홀더는 표준 개방 웨이퍼 보트와 유사하게 설계되며 최소한 3개의 수직 칼럼을 포함하는데, 칼럼의 위쪽 끝은 위쪽 플레이트에 부착되고 칼럼의 아래쪽 끝은 아래쪽 플레이트에 부착되는데, 각 칼럼은 서셉터 플레이트가 수직방향으로 이격되어 있고 홀더내에서 거 의 수평으로 위치할수 있게 하기 위하여 서셉터 플레이트의 모서리를 수용하기 위한 상호 이격된 오목부를 가진다. 여기서 서셉터라는 용어의 사용은 플레이트가 방사열 또는 유도열을 흡수하도록 만들어져야 하는 것을 의미하는 것은 아니다. 당업자는 이해하겠지만, 여기서 설명된 서셉터 플레이트가 지지된 기판에 열적 영향을 주지 않는다 하더라도, 여기서 설명된 바와 같이 형성될 수 있으며 여기서 설명된 이점을 얻을 수 있다.
서셉터 플레이트위로의 웨이퍼 장착과 서셉터 플레이트로부터의 웨이퍼 탈착을 용이하게 하기 위하여, 각 서셉터 플레이트는 리프팅 핀(lifting pin)이 서셉터 플레이트의 아래쪽 면으로부터 통과하도록 복수의 관통공을 가지는 것이 바람직하다. 관통공은 서로 이격되며 관통공을 향하는(pointing through) 3개의 리프팅 핀으로 지지되는 웨이퍼가 안정되게 지지되도록 위치하는 것이 바람직하다. 각 관통공의 직경은 슬립의 발생을 방지하기 위하여 20mm이하인 것이 바람직하며, 관통공의 직경은 10mm이하인 것이 더욱 바람직하다.
중력응력 또는 열적응력은 웨이퍼의 변형을 가져온다. 변형이 작으면 그 변형은 탄성적이다. 이것은 응력이 제거되면, 웨이퍼는 본래의 평탄한 형상을 되찾는 것을 의미한다. 그러나, 웨이퍼내의 응력이 항복응력을 초과하면, 웨이퍼는 소성적으로 변형한다. 이것은 변형의 일부분이 영구적이라는 것을 의미한다. 미세한 스케일에서는 결정학적 슬립이 발생한다. 웨이퍼의 항복응력은 온도에 의존하며 온도의 증가에 따라 감소한다. 이 이유 때문에 고온 처리는 매우 민감하다. 처리 온도가 높아질수록, 웨이퍼가 견딜수 있는 변형은 작아진다. 아래에 허용되는 변형이 나와 있다.
평탄한 플레이트가 원통형 형상을(도 1에 플레이트의 측면이 나와 있다) 가지도록 약간 변형되었다고 생각하자. 플레이트의 중앙 면(반경=R)은 도 1과 같이 플레이트가 휘어졌을 때 늘어나거나 줄어들지 않지만, 위쪽 표면(반경=R-d)은 다소 늘어났으며 아래쪽 표면(반경=R+d)은 다소 줄었다. 변형 εs는 다음으로 주어진다.
εss×(1-ν)/E (1)
여기서,
σs 는 재료의 항복 응력;
E는 영의 모듈러스, 탄성변형의 경우에 응력과 변형의 관계를 정의한다;
ν는 푸와송의 비.
1300℃에서 1-0-0 또는 <100> 단일-결정 실리콘은, 다음 값이 적용될 수 있다.
σs = 0.45 MPa
E = 130 GPa
ν = 0.28
식 (1)에 이들 값을 대입하면:
εs = 0.45×106×(1-0.28)/(130×109) = 2.5×10-6 (2)
이 스트레인을 만곡(curvature)의 반경에 연관시키겠다. 아래쪽 표면의 수축 은 중앙 평면에서 아래쪽 평면으로 갈 때 반경의 감소에 비례한다. 비슷하게, 위쪽 평면의 신장은 중앙 평면에서 위쪽 평면으로 갈 때 반경의 증가에 비례한다. 플레이트의 두께를 2d라고 하자. 위쪽 표면과 아래쪽 표면에의 응력은 항복응력보다 작아야 한다. 응력을 항복응력과 같다고 하면 다음의 식이 나온다.
(R-d) = R = (R+d) (3)
(1-εs) 1 (1+εs)
(3)식의 결과로:
R = d/εs (4)
표준 실리콘 웨이퍼 두께의 절반을 나타내는 d = 380×10-6m를 대입하면, 결과는:
R = 152m
이것은 1300℃의 처리온도에서 소성변형이 발생하기 시작하는 만곡의 반경이다. 만곡의 반경을 웨이퍼의 휘어짐(deflection)과 관련시킬 수 있다(도 2 참조). 휘어짐 b는 만곡의 반경 R과 웨이퍼 직경 D에 다음과 같이 연관된다:
b = R-a = R-[R2-(0.5D)2]0.5 (5)
b = 152-[1522-(150×10-3)2]0.5 = 74×10-6 m (6)
같은 방법으로 다른 처리온도에 대한 웨이퍼의 만곡의 허용반경이 계산될 수 있으며 아래의 표 1에 나타나 있다.
<표 1>
온도 (℃) 항복 응력σs(MPa) 만곡의 반경 R(m) 휘어짐 b (㎛)
1300 0.45 152 74
1200 0.75 91 123
1100 1.2 57 197
1000 2.0 34 328
표 1은 다른 온도에서 실리콘의 응력과 300mm 실리콘 웨이퍼의 소성변형이 시작되는 만곡의 반경 또는 휘어짐을 제공한다. 표 1에서 분명히 나타나듯이, 새깅때문에 받아들여질 수 있는 허용되는 웨이퍼 휘어짐은(서셉터 플레이트 휘어짐의 설계에 의하여 조정된다) 처리온도에 의존한다. 온도가 약 1000℃와 같거나 낮으면 허용되는 휘어짐은 328㎛보다 작은 것이 바람직하다; 온도가 약 1100℃와 같거나 낮으면 허용되는 휘어짐은 200㎛보다 작은 것이 바람직하다; 온도가 약 1200℃와 같거나 낮으면 허용되는 휘어짐은 125㎛보다 작은 것이 바람직하다; 온도가 약 1300℃와 같거나 낮으면 허용되는 휘어짐은 75㎛보다 작은 것이 바람직하다;
처리온도에서 웨이퍼의 처리 도중에, 서셉터 플레이트 홀더내에 있는 서셉터 플레이트위에 지지되어 있는 웨이퍼의 휘어짐이 표 1에 정의된 값보다 작거나 또는 만곡의 반경이 표 1에 정의된 값보다 크면 어떠한 소성변형도 발생하지 않는다. 처리온도의 중간 값, 휘어짐의 중간 값, 그리고 만곡의 반경의 중간 값이 적용가능한 것은 분명하다. 첫번째 근사값으로, 당업자는 주어진 값들 사이의 선형 내삽법을 적용할 수 있다.
굽힘에 의한 웨이퍼내의 기계적 응력에 더하여, 웨이퍼의 온도 기울기에 의한 열적으로 유도된 응력도 발생할 수 있다. 표 1에 주어진 허용되는 휘어짐의 한계는 열적으로 유도된 응력을 0으로 가정하였는데, 실제로는 그렇지 않다. 따라서, 공정중에 서셉터 플레이트위에 지지된 웨이퍼가 표 1에 명시된 평탄도보다 좋은(즉, 낮은 만곡의 반경) 평탄도를 가지는 것이 유리한다. 웨이퍼의 평탄도가 좋을수록 슬립을 유도하지 않는 허용가능한 램프-업 또는 램프-다운 속도가 커진다. 예를 들어, 휘어짐이 25㎛보다 작은 서셉터 플레이트와 함께 사용된 램프속도에 대한 값이 표 2에 나와있는데 함께 사용한 결과 웨이퍼에 슬립이 없어졌다. 최대치를 나타내지 않고 예시적인 값만이 있지만, 본 발명의 개시로부터 주어진 온도범위에서 슬립없이 표 2내의 예시적인 속도보다 낮은 램프속도가 사용될 수 있다는 것이 이해될 것이다.
<표 2>
온도 범위(℃) 램프 속도(℃/min)
1300-1250 0.28
1250-1200 0.35
1200-1150 0.46
1150-1100 0.62
1100-1050 0.85
1050-1000 1.2
웨이퍼의 소성변형을 피하기 위하여 요구되는 평탄도를 가진 서셉터 플레이트로 웨이퍼 아래를 전부 지지함으로써, 지지된 웨이퍼는 매우 높은 온도(1000℃이상)에서 처리될 때 같은 정도로 휘어짐이 방지된다.
서셉터 플레이트의 지지 표면이 일반적으로 그 위에서 지지되어 지는 실리콘 웨이퍼의 소성변형을 최소화하는 한계내의 전체 평탄도를 가지고 있지만, 또 다른 영향도 해로울 수 있다. 지지 표면에의 부수적인 돌출부의 발생은 국부적 슬립을 일으킬 수 있다. 서셉터 플레이트 표면의 돌출부와 그 영향이 도 3에 개략적으로 나와 있다. 웨이퍼는 돌출부에 의해 올려지는데, 이 때문에 일정한 원형영역이 지지되지 않는다. 직경 20mm인 서셉터 플레이트내의 홀이 받아들여질 수 있고 슬립을 발생시키지 않는다는 것이 밝혀졌다. 더 큰 직경에서는 슬립이 일어날 수 있다. 따라서 지지되지 않는 영역은 최대 20mm의 직경을 가질 수 있다. 선택적으로, 플레이트의 홀은 단순히 원형보다는 다른 형상을 가질 수 있다. 그런 경우에 실제 개구부내에 수용될 수 있는 원형 개구부의 최대 직경이 20mm이어야 한다. 예를 들어, 10×40mm의 사각형 개구부는 받아들일 수 있는데, 이는 직경 20mm인 원은 그 안에 맞지 않기 때문이다. 유사하게, 홈과 격자 패턴(도 9와 도 10)도 지지 표면(예를 들어, 골과 홈)으로부터의 오목부(depression)가 20mm의 원을 수용할 수 없다면 받아들여질 수 있다.
도 3에 나타난 웨이퍼에 대하여, 중앙의 볼록한 영역에서는 웨이퍼가 최대 허용가능한 만곡의 반경을 가지는 원형 형상이며, 오목한 바깥영역은 반대방향으로 같은 만곡의 반경을 갖는 원형 형상으로 굽혀져 있다 볼 수 있다. 중앙의 볼록한 영역은 전체 지지되지 않은 영역의 직경의 대략 절반인 반경 r을 가지는 영역을 차지하고 있다. 지지되지 않은 영역의 최대 직경을 20mm 또는 20×10-3m이라고 한다면, r = 5.0×10-3 m가 나온다. 최대의 허용가능한 돌출부 높이를 계산하기 위해 식(5)와 유사한 식을 사용할 수 있다.
0.5×h = R-[R2-r2]0.5 (7)
위의 r 값과 표 1로부터 R값을 대입한 결과는 표 3과 같다.
<표 3>
온도(℃) 만곡의 반경 R(m) 돌출부 높이(㎛)
1300 152 0.16
1200 106 0.27
1100 58 0.44
1000 28 0.73

표 3은 20mm의 범위내의 돌출부의 허용가능한 높이를 제공한다. 표 3에서 분명하듯이, 받아들일 수 있는 돌출부의 높이는 처리온도에 의존한다. 처리온도가 약 1000℃와 같거나 낮으면, 웨이퍼-지지 표면의 돌출부는 높이가 0.75㎛ 이하인 것이 바람직하다; 처리온도가 약 1100℃와 같거나 낮으면, 웨이퍼-지지 표면의 돌출부는 높이가 0.5㎛ 이하인 것이 바람직하다; 처리온도가 약 1200℃와 같거나 낮으면, 웨이퍼-지지 표면의 돌출부는 높이가 0.25㎛ 이하인 것이 바람직하다; 처리온도가 약 1300℃와 같거나 낮으면, 웨이퍼-지지 표면의 돌출부는 높이가 0.15㎛ 이하인 것이 바람직하다. 지지표면이 연삭(grounding) 또는 연마(polishing)되어 0.10㎛이상 높이의 모든 돌출부를 다 제거하는 것이 가장 바람직하다.
서셉터 플레이트의 표면위에 있는 고유의 돌출부를 제거하기 위하여는, 연삭 그리고/또는 연마 되어져야 한다. 바람직하게는, 연삭처리와 연마처리는 최소한 표 3에 나타나 값이 얻어질 때까지 계속되어야 한다.
돌출부는 받아들여질 수 없지만, 직경 20mm를 초과하지 않는 크기이거나, 다른 형상인 경우에는 웨이퍼 상에 지지되지 않는 직경이 20mm보다 큰 원형구간을 남기지 않는다면 구멍과 함몰부 심지어 관통공도 허용가능하다.
표 1에서 명시된 평탄도 요구는 웨이퍼가 처리온도에서 홀더내에 있는 서셉터 플레이트위에서 지지될 때도 유효하다. 그러나, 서셉터 플레이트 그 자체는, 서셉터 플레이트 홀더내에서 모서리만이 지지되기 때문에, 그 자체의 무게와 실리콘 웨이퍼의 추가적인 무게 때문에 새깅될 수 있다. 내열금속으로 만들어진 충분히 두꺼운 서셉터 플레이트가 사용되면 서셉터 플레이트의 새깅은 중요하지 않다. 예를 들어 300mm 실리콘 웨이퍼를 위한 CVD SiC 서셉터 플레이트는, 서셉터 플레이트의 두께가 2mm인데, 휘어짐 25㎛로 새깅하는 것으로 계산되는데, 이것은 허용가능한 한계내이다. 더 얇은 서셉터 플레이트에 대해서는, 서셉터 플레이트의 새깅이 고려될 필요가 있다. 서셉터 플레이트에 실리콘 웨이퍼를 장착한 후에 전체의 평탄도 요구를 만족해야 하는 점에 주의해야 한다.
도 4 내지 6에 서셉터 플레이트의 가능한 새깅을 나타내었다. 도 4에서, 서셉터 플레이트 홀더가 모서리를 따라서 3개 지점에서 서셉터 플레이트를 지지하는 것으로 가정하였다. A-A 방향으로의 새깅은 B-B방향으로의 새깅과 비교하여 다르다.
본 발명의 실시예에 따라서, 서셉터 플레이트는 서셉터 플레이트가 서셉터 플레이트 홀더내에 위치하고 웨이퍼가 서셉터 플레이트 위에 장착될 때 예상되는 굽힘의 반대 방향으로 어느 정도 미리 굽혀져 있다. 300mm 직경과 0.7mm의 두께의 CVD SiC 플레이트를 예로 들자. A-A 방향으로, 도 5A에서 보여진 바와 같이, 서셉터 플레이트는 bA-A = 150㎛ 휘어짐에 대응하게 만곡의 볼록하게 올라온(convex-up) 반경으로 미리 굽혀져 있다. 서셉터 플레이트를 서셉터 플레이트 홀더에 위치시킨 후에, 그림 5B와 같이 자체 무게로 인해 휘어짐이 bA-A = 50 ㎛로 감소한다. 서셉터 플레이트에 웨이퍼를 장착시킨 후에 휘어짐은 25㎛보다 작게 된다.
도 6A와 같이 B-B방향으로의 서셉터 플레이트의 휘어짐은 500㎛에 이른다. 서셉터 플레이트를 서셉터 플레이트 홀더에 위치시킨 후에, 도 6B와 같이 휘어짐이 150 ㎛가 된다. 또 다시 서셉터 플레이트에 웨이퍼를 장착시킨 후에 휘어짐은 크지 않다.
실리콘 웨이퍼와의 접촉물질로서, CVD SiC는 1300℃의 온도에서 실리콘 웨이퍼의 접착을 나타내지 않는 적당한 물질로 보인다. CVD SiC는 소결된(sintered) SiC 물질을 충분히 봉할 수(seal) 있을 정도로 충분한 두께로 소결된 SiC 물질위에 증착될 수 있다. 더욱 바람직하게는, 서셉터 플레이트는 이른바 프리스탠딩(free-standing) CVD SiC로 만들어 질 수 있다. 이것은 SiC 코팅이 초기에 지지물질(예를 들어, 그래파이트)을 제거시킬 수 있는 정도의 두께로 지지물질에 증착되는 것이며, 몰드의 운반에서의 러스트 왁스(lost wax)방법과 유사하다. 1990년 12월 18일에 발행된 미국특허 제 4,978,567호의 내용은 참조로서 본 발명에 결합된다. 서셉터 플레이트의 설계된 형상이 머시닝(machining)을 필요로 하면, 머시닝은 역으로 행해질 수 있다. 즉 CVD SiC 코팅의 증착전에 지지물질위에 행해지는 것인데 이렇게 함으로써 단단한 CVD SiC물질을 머시닝하는 것이 생략되거나 최소한으로 줄어들 수 있다. 선택적으로, CVD SiC는 평탄한 지지 물질위에 증착될 수 있으며 CVD SiC는 필요에 따라 머시닝될 수도 있다. 어는 경우든지 서셉터 플레이트의 지지표면은 그 표면에 존재하는 돌출부를 제거하기 위하여 SiC물질의 증착후에 연삭처리되어야 한다.
서셉터 플레이트의 제 1실시예는 도 7에 나와 있다. 서셉터 플레이트(100)는 웨이퍼 직경보다 조금 큰 직경을 갖는다. 웨이퍼를 지지하기 위한 지지 표면(110)은 충분히 평탄하다. 서셉터 플레이트(100)의 주변에는 올라간 숄더(shoulder)나 모서리(120)가 제공되어 있다. 열을 가하는 동안에, 올라간 모서리는 웨이퍼 모서리의 과열을 피하게 하고 가열동안 웨이퍼 모서리가 과도한 열 방사를 못하도록 막아준다. 식히는 동안에, 올라간 모서리는 웨이퍼가 너무 빨리 냉각되는 것을 막아준다. 또한, 올라간 모서리는 웨이퍼를 장착한 서셉터 플레이트(100)의 운반중에 웨이퍼가 수평방향으로 움직이는 것을 방지한다. 서셉터 플레이트(100)에는 자동 장착을 용이하게 하기 위하여 3개의 관통공(130)이 제공되어 있다. 관통공은 첫번째 방향의 최소크기는 약 5mm이고 첫번째 방향과 수직인 두번째 방향의 최대크기는 약 6mm인 타원형이다. 서셉터 플레이트(100)의 개략적 단면도는, 스케일에 맞지는 않지만, 도 8에 나와 있으며 지지표면에 수직의 크기는 명확히 하기 위하여 확대되어 있다. 본 발명의 목적을 위하여는, 서셉터 플레이트의 아래쪽 표면의 형상은 중요하지 않다. 중앙의 함몰부(140)의 기능은 2002년 5월 2일자 미국 가출원 제 60/377,904호 "2단계 엔드 이펙터(TWO-LEVEL END EFFECTOR)"에 자세히 설명되어 있다.
서셉터 플레이트의 제 2실시예가 도 9에 나와 있다. 비슷한 부분은 도 7과 유사한 참조번호로 표시되어 있다. 이 실시예에서, 지지표면(110)은 홈(150)이 제 공되어 있다. 홈은 5mm폭에 0.2mm 깊이이다. 홈(150)은 웨이퍼를 서셉터 플레이트에 장착하기 위해 서셉터 플레이트가 웨이퍼로 움직이거나 그 반대인 때 웨이퍼와 서셉터 플레이트와의 사이에 있는 공기의 배출을 쉽게 해준다. 더욱 중요하게는, 홈(150)은 처리후에 웨이퍼가 서셉터 플레이트에서 분리될 때 웨이퍼와 서셉터 플레이트사이의 공기의 유입을 용이하게 한다. 도 9에서와 같이, 홈(150)내에 리프트 핀홀(130)을 위치시키는 것은 매우 효과적으로 보인다. 지지 표면(110)에 대한 평탄도의 요구는 이 서셉터 플레이트에도 여전히 적용될 수 있다. 관통공과 마찬가지로, 홈이 최소한의 크기라면 홈은 지지 표면 부분이 아니라는 것은 본 발명에 비추어 당업자에게는 명확할 것이다.
도 10에 서셉터 플레이트의 제 3실시예가 나타나 있다. 비슷한 부분은 도 7과 유사한 참조번호로 표시되어 있다. 이 실시예의 지지표면(110)은 올라간 페데스탈(pedestal, 160)을 포함한다. 이웃하는 페데스탈(160)의 거리는 7mm이다. 페데스탈의 크기는 1×1mm이고 높이는 0.2mm이다. 지지표면은 조합된 페데스탈의 상부 표면으로 구성되며 평탄도의 요구는 이 불연속적인 상부 표면에도 적용된다. 0.1×0.1mm크기의 페데스탈은 페데스탈들이 과도하게 떨어져 있지만 않다면, 응력 집중에 의한 웨이퍼 손상을 막기 위한 충분한 지지 영역을 제공한다는 것이 밝혀졌다.
당업자라면 본 발명의 범위를 벗어나지 않고 위에서 설명한 공정에 여러가지 생략, 추가, 변형이 가해질 수 있는 것을 이해할 것이다. 예를 들어, 여기에서 설명된 운반 스테이션(transshipment station)은 개방된 카세트에서 제공될 수 있거 나 카세트와 연관되지 않은 분리된 스테이션에서 제공될 수 있다. 또한, 다른 배치에서, 탈착중에 웨이퍼를 지지 플레이트에서 분리하는 메카니즘이나 장착중에 웨이퍼를 지지 플레이트에 결합시키는 것은 다른 구조를 취할 수 있다. 모든 이러한 변형과 변화는 첨부되는 청구범위에서 정의된 바와 같이 본 발명의 범위내에 있도록 의도되어 있다.
본 발명에 의하면, 300mm 웨이퍼를 1000℃이상의 온도에서, 웨이퍼가 자동으로 다루어지면서 웨이퍼의 소성변형이 최소화되거나 제거된다.

Claims (29)

1000 ℃ 이상의 수직형 퍼니스 내에서의 고온 처리 동안에, 서셉터 플레이트가 서셉터 플레이트 홀더 내에 제거가능하게 수평방향으로 수용되고, 상기 홀더는 수직방향으로 이격되어 있는 복수개의 서셉터 플레이트들을 수용하기 위한 복수개의 슬롯들을 포함하며,
상기 서셉터 플레이트는 상기 고온 처리 동안에 상부 지지 표면 상에 300 mm 이상의 직경을 갖는 반도체 웨이퍼를 수용하도록 구성되어, 상기 반도체 웨이퍼가 실질적으로 전체 표면적에 걸쳐 지지되고 상기 고온 처리 동안 실질적으로 평평하도록 유지되며 상기 상부 지지 표면이 웨이퍼가 로딩될 때 328 ㎛ 미만의 휘어짐을 나타내는 서셉터 플레이트.
제 1 항에 있어서,
상기 지지 표면은 웨이퍼가 로딩될 때 200 ㎛ 미만의 휘어짐을 나타내는 것을 특징으로 하는 서셉터 플레이트.
제 2 항에 있어서,
상기 지지 표면은 웨이퍼가 로딩될 때 125 ㎛ 미만의 휘어짐을 나타내는 것을 특징으로 하는 서셉터 플레이트.
제 3 항에 있어서,
상기 지지 표면은 웨이퍼가 로딩될 때 75 ㎛ 미만의 휘어짐을 나타내는 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
상기 지지 표면은 0.5 ㎛ 보다 높은 돌출부를 제거하기 위하여 연삭 또는 연마되는 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
상기 지지 표면은 0.25㎛ 보다 높은 돌출부를 제거하기 위하여 연삭 또는 연마되는 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
상기 지지 표면은 0.15㎛ 보다 높은 돌출부를 제거하기 위하여 연삭 또는 연마되는 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
상기 지지 표면은 0.10㎛ 보다 높은 돌출부를 제거하기 위하여 연삭 또는 연마되는 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
웨이퍼를 상기 서셉터 플레이트에 자동으로 로딩하고 상기 서셉터 플레이트로부터 자동으로 언로딩하는 것을 용이하게 하는 3 개의 이격된 관통공을 더 포함하며, 상기 관통공은 웨이퍼의 20 mm 보다 더 큰 직경을 갖는 지지되지 않는 원형 영역을 남기지 않는 치수를 갖는 것을 특징으로 하는 서셉터 플레이트.
제 9 항에 있어서,
상기 관통공은 웨이퍼의 10 mm 보다 더 큰 직경을 갖는 지지되지 않는 원형 영역을 남기지 않는 치수를 갖는 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
상기 서셉터 플레이트는 CVD SiC 물질을 포함하고 지지 표면을 제공하는 것을 특징으로 하는 서셉터 플레이트.
제 11 항에 있어서,
상기 CVD SiC 물질은 프리-스탠딩(free standing) CVD SiC 물질인 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
상기 서셉터 플레이트 홀더는 모서리에 상기 웨이퍼들을 지지하기 위한 상기 슬롯들을 정의하는 3 개의 지지 컬럼들을 포함하는 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
상기 수직형 퍼니스는 1200 ℃ 보다 더 큰 온도에서 동작하는 것을 특징으로 하는 서셉터 플레이트.
제 1 항에 있어서,
상기 서셉터 플레이트는 볼록-상향(convex-up) 방향으로 미리 만곡된(pre-bent) 것을 특징으로 하는 서셉터 플레이트.
복수개의 실리콘 웨이퍼들이 홀더 내에서 수직방향으로 이격되어 수용되며, 상승한 처리 온도에서 상기 복수의 웨이퍼를 열처리하는 방법에 있어서,
상기 처리 온도까지 상기 웨이퍼들을 가열하는 단계;
상기 처리 온도에서 상기 웨이퍼들을 처리하는 단계;
상기 처리 단계 이후에 상기 웨이퍼들을 냉각하는 단계;
상기 가열 단계, 처리 단계 및 냉각 단계 동안 실질적으로 상기 웨이퍼들의 전체 표면적에 걸쳐서 상기 웨이퍼들을 각각 지지하고 상기 웨이퍼들을 실질적으로 평탄하게 유지하는 단계를 포함하며,
소정 처리 온도에서, 상기 웨이퍼들은 각각 표 1에 특정된 것과 적어도 동일하게 평탄하거나 더 평탄하도록 유지되는 것을 특징으로 하는 열처리 방법.
제 16 항에 있어서,
상기 처리 온도는 1000 ℃ 보다 더 큰 것을 특징으로 하는 열처리 방법.
제 16 항에 있어서,
상기 처리 온도는 1200 ℃ 보다 더 큰 것을 특징으로 하는 열처리 방법.
제 16 항에 있어서,
서셉터 플레이트 상에 상기 웨이퍼들을 로딩하는 단계; 및 반응 챔버 내로 상기 서셉터 플레이트를 이송시키는 단계를 더 포함하는 것을 특징으로 하는 열처리 방법.
제 19 항에 있어서,
웨이퍼를 복수개의 서셉터 플레이트에 각각 로딩하는 단계; 및 서셉터 플레이트 홀더 내로 상기 로딩된 서셉터 플레이트들을 이송하는 단계를 더 포함하며,
상기 서셉터 플레이트 홀더 내의 상기 복수개의 로딩된 서세터 플레이트들에 대하여 상승된 처리 온도에서 처리 단계가 수행되는 것을 특징으로 하는 열처리 방법.
제 20 항에 있어서,
상기 처리 온도는 1000 ℃ 보다 더 큰 것을 특징으로 하는 열처리 방법.
제 19 항에 있어서,
상기 서셉터 플레이트는 상기 웨이퍼 아래의 지지 표면에 20 mm의 원을 수용하도록 충분히 큰 개구부를 가지지 않는 것을 특징으로 하는 열처리 방법.
제 19 항에 있어서,
상기 지지 표면은 328 ㎛ 이하의 휘어짐을 가지도록 충분히 평탄한 것을 특징으로 하는 열처리 방법.
제 19 항에 있어서,
상기 지지 표면은 200 ㎛ 미만의 휘어짐을 가지도록 충분히 평탄한 것을 특징으로 하는 열처리 방법.
제 19 항에 있어서,
상기 지지 표면을 0.5 ㎛ 보다 높은 돌출부를 제거하도록 연삭하거나 연마하는 단계를 더 포함하는 것을 특징으로 하는 열처리 방법.
제 19 항에 있어서,
상기 지지 표면을 0.25 ㎛ 보다 높은 돌출부를 제거하도록 연삭하거나 연마하는 단계를 더 포함하는 것을 특징으로 하는 열처리 방법.
제 19 항에 있어서,
상기 웨이퍼들 각각은 적어도 300 mm의 직경을 가지는 것을 특징으로 하는 열처리 방법.
삭제
삭제
KR1020030060106A 2002-08-30 2003-08-29 고온 열처리를 위한 서셉터 플레이트 KR101018850B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40784202P 2002-08-30 2002-08-30
US60/407,842 2002-08-30

Publications (2)

Publication Number Publication Date
KR20040020023A KR20040020023A (ko) 2004-03-06
KR101018850B1 true KR101018850B1 (ko) 2011-03-04

Family

ID=32298234

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030060106A KR101018850B1 (ko) 2002-08-30 2003-08-29 고온 열처리를 위한 서셉터 플레이트

Country Status (3)

Country Link
US (1) US7256375B2 (ko)
JP (1) JP2004134761A (ko)
KR (1) KR101018850B1 (ko)

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2004090967A1 (ja) * 2003-04-02 2006-07-06 株式会社Sumco 半導体ウェーハ用熱処理治具
JP4667376B2 (ja) * 2003-07-02 2011-04-13 クック インコーポレイテッド 小ゲージ針カテーテル挿入器具
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
KR100841196B1 (ko) * 2004-06-21 2008-06-24 가부시키가이샤 섬코 반도체 실리콘 기판용 열처리 지그
JP4534619B2 (ja) * 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
DE102005013831B4 (de) * 2005-03-24 2008-10-16 Siltronic Ag Siliciumscheibe und Verfahren zur thermischen Behandlung einer Siliciumscheibe
TWI327761B (en) * 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
KR100684359B1 (ko) * 2005-11-28 2007-02-20 주식회사 유진테크 서셉터 변형 방지기구
KR101332206B1 (ko) * 2005-12-02 2013-11-25 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 반도체 처리 방법
JP5205738B2 (ja) * 2006-10-16 2013-06-05 株式会社Sumco シリコンウェーハの支持方法、熱処理治具および熱処理ウェーハ
CN101563771A (zh) * 2006-11-10 2009-10-21 圣戈本陶瓷及塑料股份有限公司 衬托器和使用该衬托器形成led器件的方法
TWI547999B (zh) * 2007-09-17 2016-09-01 Dsgi公司 微波退火半導體材料的系統及方法
US8042697B2 (en) * 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
TWI541928B (zh) * 2011-10-14 2016-07-11 晶元光電股份有限公司 晶圓載具
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US8865602B2 (en) 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101923050B1 (ko) 2012-10-24 2018-11-29 어플라이드 머티어리얼스, 인코포레이티드 급속 열 처리를 위한 최소 접촉 에지 링
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US10047457B2 (en) * 2013-09-16 2018-08-14 Applied Materials, Inc. EPI pre-heat ring
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105369348B (zh) * 2014-08-29 2017-12-12 中微半导体设备(上海)有限公司 一种用于mocvd反应系统的晶圆载盘
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170175265A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Flat susceptor with grooves for minimizing temperature profile across a substrate
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
DE102019207433A1 (de) * 2019-05-21 2020-11-26 Siltronic Ag Verfahren zur Herstellung von Halbleiterscheiben
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10242067A (ja) 1997-03-03 1998-09-11 Tokyo Electron Ltd 熱処理用基板支持具

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT219865B (de) * 1960-05-17 1962-02-26 Plansee Metallwerk Suszeptor aus hochschmelzenden Metallen für Induktionsöfen und Verfahren zu dessen Herstellung
US3972704A (en) * 1971-04-19 1976-08-03 Sherwood Refractories, Inc. Apparatus for making vitreous silica receptacles
US4322592A (en) * 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US4407654A (en) * 1982-01-21 1983-10-04 The Potters Supply Company Handling and support system for kiln fired ware
US4563558A (en) * 1983-12-27 1986-01-07 United Technologies Corporation Directional recrystallization furnace providing convex isotherm temperature distribution
JPS62222625A (ja) 1986-03-25 1987-09-30 Shimizu Constr Co Ltd 半導体製造装置
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
JPS63102225A (ja) 1986-10-20 1988-05-07 Deisuko Haitetsuku:Kk 縦形半導体熱処理装置のウエ−ハボ−ト
JPH0617295Y2 (ja) * 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 基板受け渡し装置
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4900214A (en) * 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
US5162047A (en) * 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
JPH05102056A (ja) * 1991-10-11 1993-04-23 Rohm Co Ltd ウエハー支持具
JP3234617B2 (ja) * 1991-12-16 2001-12-04 東京エレクトロン株式会社 熱処理装置用基板支持具
NL9200446A (nl) * 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
JP3100252B2 (ja) * 1992-05-26 2000-10-16 東京エレクトロン株式会社 被処理体用ボート及びそれを用いた被処理体の移し換え方法ならびに熱処理装置
US5492229A (en) * 1992-11-27 1996-02-20 Toshiba Ceramics Co., Ltd. Vertical boat and a method for making the same
JP3348936B2 (ja) * 1993-10-21 2002-11-20 東京エレクトロン株式会社 縦型熱処理装置
JP3125199B2 (ja) * 1993-03-18 2001-01-15 東京エレクトロン株式会社 縦型熱処理装置
EP0634787B1 (en) * 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
KR100260120B1 (ko) * 1993-09-30 2000-07-01 마쓰바 구니유키 열처리 장치
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JPH0848595A (ja) * 1994-08-04 1996-02-20 Toshiba Mach Co Ltd 枚葉式気相成長装置
EP0826233A1 (en) * 1995-05-05 1998-03-04 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design
JP3218164B2 (ja) * 1995-05-31 2001-10-15 東京エレクトロン株式会社 被処理体の支持ボート、熱処理装置及び熱処理方法
JPH0992625A (ja) * 1995-09-20 1997-04-04 Tokyo Electron Ltd 熱処理用ボ−ト
SE9503426D0 (sv) * 1995-10-04 1995-10-04 Abb Research Ltd A device for heat treatment of objects and a method for producing a susceptor
JPH09115840A (ja) * 1995-10-17 1997-05-02 Hitachi Electron Eng Co Ltd Cvd処理用ウエハ収容トレー
US5984607A (en) * 1995-11-06 1999-11-16 Tokyo Electron Limited Transfer apparatus, transfer method, treatment apparatus and treatment method
WO1997031389A1 (fr) * 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
SE9600705D0 (sv) * 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
WO1997032339A1 (fr) * 1996-02-29 1997-09-04 Tokyo Electron Limited Nacelle de traitement thermique pour plaquette de semi-conducteur
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
JPH1022226A (ja) * 1996-07-05 1998-01-23 Super Silicon Kenkyusho:Kk エピタキシャルウエハ製造方法及び装置
JP3924661B2 (ja) 1996-12-04 2007-06-06 光洋サーモシステム株式会社 縦型熱処理炉内への薄板状被処理物の搬入出装置およびこれを用いた薄板状被処理物の搬入出方法
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
JPH10321643A (ja) 1997-05-19 1998-12-04 Sanyo Electric Co Ltd 化合物半導体装置の製造方法
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6068441A (en) * 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
JPH11176822A (ja) 1997-12-05 1999-07-02 Hitachi Ltd 半導体処理装置
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US5931666A (en) * 1998-02-27 1999-08-03 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design having rounded horizontal arms
US6203617B1 (en) * 1998-03-26 2001-03-20 Tokyo Electron Limited Conveying unit and substrate processing unit
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
JP4255091B2 (ja) * 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
NL1012004C2 (nl) 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
TWI250604B (en) * 1999-07-29 2006-03-01 Ibm Improved ladder boat for supporting wafers
JP2001176808A (ja) * 1999-12-21 2001-06-29 Toshiba Ceramics Co Ltd 気相薄膜成長装置におけるウエハ搬送方法およびそれに用いるウエハ支持部材
US6287112B1 (en) * 2000-03-30 2001-09-11 Asm International, N.V. Wafer boat
US6341935B1 (en) * 2000-06-14 2002-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer boat having improved wafer holding capability
JP2002033284A (ja) 2000-07-14 2002-01-31 Mitsui Eng & Shipbuild Co Ltd 縦型cvd用ウェハホルダー
US6464445B2 (en) * 2000-12-19 2002-10-15 Infineon Technologies Richmond, Lp System and method for improved throughput of semiconductor wafer processing
US6896738B2 (en) * 2001-10-30 2005-05-24 Cree, Inc. Induction heating devices and methods for controllably heating an article

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10242067A (ja) 1997-03-03 1998-09-11 Tokyo Electron Ltd 熱処理用基板支持具

Also Published As

Publication number Publication date
JP2004134761A (ja) 2004-04-30
US20040040632A1 (en) 2004-03-04
KR20040020023A (ko) 2004-03-06
US7256375B2 (en) 2007-08-14

Similar Documents

Publication Publication Date Title
KR101018850B1 (ko) 고온 열처리를 위한 서셉터 플레이트
US6099302A (en) Semiconductor wafer boat with reduced wafer contact area
US6099645A (en) Vertical semiconductor wafer carrier with slats
US5516283A (en) Apparatus for processing a plurality of circular wafers
US5820367A (en) Boat for heat treatment
US7033168B1 (en) Semiconductor wafer boat for a vertical furnace
CN1294617C (zh) 包括热分布板和边缘支撑的组合装置
US20080041798A1 (en) Wafer Platform
KR20040010727A (ko) 슬립 없는 웨이퍼 보우트 제조 장치 및 방법
KR100965143B1 (ko) 서셉터 유닛 및 이를 구비하는 기판 처리 장치
KR100418158B1 (ko) 열처리장치및방법
JP4637475B2 (ja) 取外し可能なサセプタを用いた半導体基板搬送システム、及び半導体基板の搬送方法
EP1650788A1 (en) Vapor deposition apparatus and vapor deposition method
JPH09199438A (ja) 熱処理用治具
WO2005124848A1 (ja) 熱処理用治具及び半導体ウエーハの熱処理方法
KR20110069097A (ko) 고온 환경에서 반도체 웨이퍼용 지지부
JPH0661331A (ja) 基板搬送装置
KR20010062144A (ko) 열처리용 기판 보유 지지구, 기판 열처리 장치 및 기판의열처리 방법
JPH09306921A (ja) 熱処理方法および装置
JP2971818B2 (ja) ウエハー熱処理装置
KR100462732B1 (ko) 더미 웨이퍼 및 더미 웨이퍼를 사용한 열처리 방법
JP3125968B2 (ja) 縦型ウェ−ハボ−ト
KR102610101B1 (ko) SiC 기판 및 SiC 에피택셜 웨이퍼
JP2000124143A (ja) 熱処理装置
KR20230169019A (ko) SiC 기판 및 SiC 에피택셜 웨이퍼

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140205

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150120

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180201

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190129

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 10