TW417141B - Boat for semiconductor wafers - Google Patents

Boat for semiconductor wafers Download PDF

Info

Publication number
TW417141B
TW417141B TW088104941A TW88104941A TW417141B TW 417141 B TW417141 B TW 417141B TW 088104941 A TW088104941 A TW 088104941A TW 88104941 A TW88104941 A TW 88104941A TW 417141 B TW417141 B TW 417141B
Authority
TW
Taiwan
Prior art keywords
wafer
wafers
component
carrier
mounting plate
Prior art date
Application number
TW088104941A
Other languages
English (en)
Inventor
Ji-Hoon Hong
Ki-Hum Nam
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW417141B publication Critical patent/TW417141B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Packaging Frangible Articles (AREA)

Description

A7 B7 417141 五、發明説明( MJLt. 本發明係關於一種用於容裝半導體晶面之承載血,而 更特疋S之,係有關一種用於減低晶圓接觸表面之半導體 晶圓的承載ϋΠ_。 技藜描祕:_ 一般而言,於半導體元件製造中,不同的程序係被重 覆的進行,而作為用以進行該等程序之製造系統,則有主 要的設備與附加的設備。 一作為用於容裝半導體晶圓之容器的半導體晶圚承載 孤,係文裝於上述半導鈸元件製造設備内,以當於其中容 裝夕片晶圓時,將半導體晶圓負載或卸下至其他製造設 備。 ν 半導體晶圓承載皿係由可經得起強韌製造環境之石英 系列材料所製成,例如,於一包含將一氧化層沈積於晶圓 上,或將硼(Β)或磷(Ρ)植入晶圓上,以提供電氣特性。 第1圖係顯示一習知與典型之半導體晶圓承載血。 如第1圖所示,習知垂直型半導體晶圓承載皿包含— 上部組件2及一下部組件3,其間係負載數片晶圓1;一用以 連接上部組件2與下部組件3之支承組件4;及數個形成於支 承組件4内之溝槽5,其用以當晶圓1之週緣置入溝槽5中 時,支樓該晶圓1。 ΤΓ------0 *. * (請先聞讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第2圖顯示負載於第〗圖之承載亚内的晶圓底部,顯示 與承載皿之其接觸表面。如第2圖所示,負載於溝.
•4- ;4 1?··^·' 經濟部智慧財產局員工消費合作社印製 A7 ______£7_五、發明説明(2 ) 晶811具有與溝槽5之半圓形接觸表面。 同時,第3圓係顯示另一習知半導體晶圃承截皿,而 如第3圈所示’其包括一上部組件7及一下部組件g,其間 係負載數片晶圓1;數個用以連接上部組件7與下部組件8之 支承組件9;及數個各呈而晶圓1係安裝 於其上。 此時’如第4圖所示’安裝於安裝板10上之晶圓1具有 與安裝板ίο之環形 然而’ “滑動’’現象係時常發生於習知半導體晶圓承載 皿内之晶圓上,其係導因於如第2及4圖所示之接觸表面6 及11 ’其中開始於晶圓與承载孤之接觸表面的細小刮痕係 導致晶圓表面上之結晶位移與裂痕缺限。易言之,於承載 皿内時常發生一微小的震動,碲各具有其不同熱係係數之 晶圓與其接觸溝槽或安裝板間之接觸磨擦係導致晶圓表面 上之、細小到痕’因而其表面係自刮痕龜裂或破壞。 導致“滑動”的原因包含下列晶圓加工程序:在高溫加 工條件下(約超過900。〇、加工室内之高加熱速率、承載 孤溝槽間或安裝板間之過窄的間隙、或於完成一程序後, 將半導體晶圓負載/卸下至加工室内之承載皿的過高/低速 度等。 因此’為了預防“滑動”,習知所製造之半導體晶圓係 考量該四個因子或如上所述者,因而減低了半導體元件之 生產良率。 再者’另有其他因子,即,所產生的細小刮痕愈多, 本紙¥歧適財轉(胁(2敝297公们 --- . &1------ΤΓ------漆, (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 * 417141 A7 ' —_______ B7 五、發明説明() 3 則阳圓與承載皿之接觸表面愈大,且“滑動,,產生的頻率愈 $貝'】晶圓與承載皿之接觸表面愈大。因此,其於晶圓上 造成嚴重的缺限’並減少晶圓上可獲得之區域。 發明概诚 ^發明係提供一種用於半導體晶圊之承載皿,其實質 上排除因㈣技藝之限制與缺點所產生之一或更多之問 題。 本發^之一目的在於提供一種半導體晶園之承載皿, 其用於@可能產生於晶®表面上之0,並19_晶 圊之結晶位移或其上之裂痕缺限的,藉而增進半導 想元件之生產率與生產良率。 為了獲得根據本發明之目的之此等與其他優點,如實 施與廣泛地描述,此半導體晶.園之承載皿包括一上部組 件,一下部組件,數片負載於該上部組件與該下部组件間 之aa圓;及數個垂直地於該上部組件與該下部組件間延 伸,以支撐該等晶園之支承組件,其中數個溝槽係連續且 水平地形成於各支承組件中,而晶園的週緣係置入該等溝 槽中,其中一半圓形突出物係形成於該溝槽内,並與晶園 之底部接觸。 就本發明之另一面而言,半導體晶圓之承載孤可包括 一上部組件,一下部組件,數片負載於該上部组件與該下 部組件間之晶圓;數個垂直地於該上部組件與該下部組件 間延伸,以支撐該等晶圓之支承組件;及數個垂直地以彼 此等間隔置放於該等支承組件内,以安裝該等晶圓之環形 本紙張从適用中關家樣準(CNS )从胁(21()><297公董—)-- ----------^-------ir------0 (諸先聞讀背面之注意事項再填寫本頁) A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明( 安裝板,丨中一環形突出物係形成於該安裝板上,並與晶 圓之底部接觸,其截面係為半圓形。 再就本發明之另一面而言,半導體晶圓之承載I可包 括-上部組件;-下部組件,數片負載於該上部組件與該 下部組件間之晶圓;數個垂直.地於該上部組件與該下部組 件間延伸,以支推該等晶圓之支承組件;丨數個垂直地以 彼此等間隔ϊ放於該等支承組件内,以安裝該等晶困之環 形安裝板,其中數個半Β]形突出物係形成於該安裝板上, 並與晶圓之底部接觸。 必須瞭解的是前面之一般描述與下面之詳細描述係用 以例不及說明並意圖對所請求之本發明提供進一步的解 說》 1式簡單説明 ν 本發明之此等與其他目的、特徵與優點將參考附圖加 以描述,其中: 第1圖係一透視圖,其顯示一習知用於半導體晶圓之 承載皿; 第2圖顯不晶圓之底部,其顯示負載於第〗圖承載孤上 之晶圓的接觸表面; 第3圖係一透視圖,其顯示另一習知用於半導體晶圓 之承載皿; 第4圖顯示晶園之底部,其顯示負載於第3圖承載皿上 之晶圓的接觸表面; 第5圖係一透視圖,其顯示根據本發明一實施例之用
i 裂 訂 H 線 (诗先W讀背面之注f項再填寫本頁) Α7 Β7 417141 五、發明説明() 5 / 於半導艘晶圓的承載皿; 第6圖係一部分放大圖,其顯示第5圈中之半圓形突出 物; 第7圈係沿線A-A’之截面圖,其顯示根據第5圓實施例 之半圓形突出物周圍之經加熱氣流的走向; 第8®係顯示晶囿之底部,其顯示負載於第5囷承載皿 上之晶圓的接觸點; 第9圖係_透視圖,其顯示根據本發明另一實施例之 用於半導體晶園的承載皿; 第10圖係一部分放大圖,其顯示第9圖中之環形突出 物, 第11圖係沿線B-B’之截面囷,其顯示根據第9圖實施 例之環形突出物周圍之經加熱氟流的走向; 第12圖係顯示晶圓之底部,其顯示負載於第9圖承載 皿上之晶圓的接觸線; 第13圖係一透視圖,其顯示根據本發明另一實施例之 用於半導想晶圓的承載i; 第14圖係一部分放大圖,其顯示第13圖中之半圓形突 出物; 第15圖係沿線C-C’之截面圖,其顯示根據第13圖實施 例之半圓形突出物周圍之經加熱氣流的走向; 第16圖係顯示晶圓之底部,其顯示負載於第13圖承載 皿上之晶圓的接觸點。 較佳實施例之詳細說明 本紙張尺度適用中國國家標準(CNS) A#規格(210 X 297公釐) ----------1------iT------0 (請先Μ讀背面之注$項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 • Λ ' 'y ":. 分 f -1,· A7 B7五、發明説明(Λ) 經濟部智慧財產局員工消費合作社印製 以下參照附圊詳細描述本發明之較佳實施例。 第5圖係顯示根據本發明一實施例之用於半導體晶圓 的承載皿。 麥照第5及6囷,此承載孤包括一上部組件丨2及一下部 組件13’其間係負載數片晶圓;及三個垂直地於該上部組 件12.與該下部組件13間延伸而將該二者連接之支承組件 (一般係設有三個或四個支承組件),其中數個溝槽15係形 成於各支承組件中,其中支撐於週緣之晶圓1係被置入溝 槽15中,震,並與 與晶圓1之底部接觸的半圓形突出物16係於其外表面 具有半圓形表面’而半圓形突出物16與晶圓1之接觸部分 係如第8圖所示之呈三個接觸路π。 此時,當半圓形突出物16之半圓形截面的曲率半徑過 小時’於晶圓1的表面上可能產生刮痕6另一方面當半 圚形突出物16之半圓形截面的曲率半徑過大時,半圓形突 出物16及接觸點17周圍之 不佳。 S此,半園形突出物16之可藉 由考量晶®ι的重量、強度與硬度來最佳化。 /此,如第7圖所示,產生於加工室之高溫之經加熱 的氣流可均勻地穿過晶圓1的頂部與底部而於半圓形突出 物:6周圍之空間循環。特別是’溝槽15周圍之經加熱氣流 的循環係為良好。 (請先《讀背面之注$項再填寫本頁) 裝· 訂 線 -I- 1 417141 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明( 因此,因突然的熱變化所產生於晶圓丨之頂部與底部 之熱壓力可被釋放,且 該半園形突出物16可當於支承組件14内形成溝槽15 時,以成,或可藉心 或者,以與支承組件14的相同材料製造該半圓形突出物16 後,將該半圓形突出物16焊接於肩支承組件14之溝槽15 上,並接著進行退火處理,以預防因熱膨脹所造成之破裂。 此時’為了增進支承組件14與半圓形突出物之黏著, 較佳係於溝槽15之表面形成一凹槽’其形狀係與半圓形突 出物16之底部契合。 根據本發明實施例之用於半導體晶圓之承載皿的半圓 形突出物16可適用於其他型式钓半導體晶圓承載孤,且其 替換或修改,對熟習此項技術者而言係為明顯的。 同時,第9圖顯示根據本發明另一實施例之用於半導 體晶圓的承載皿。參照第9及1 〇圖,該承載|可包括一上 部組件18及一下部組件19,其間係負載數片晶圓;三個垂 直地於該上部組件1 8與該下部組件〗9間延伸而將該二者連 接之支承組件(一般係安裝有三或四個支承組件及數個 垂直地以彼此等間隔置放於該等支承組件内,以支推晶園 1之環形安裝板21。另’ 一環形突出物22係形成於該安裝 板21上’其具有一半圓形外表面,以與晶圓1之底部接觸, 並具有一半圓形截面。 該安裝板21係用以避免晶圓〗在高溫下鬆脫或變形, 本紙張尺度通用中國國家標準(CNS ) A4^ ( 210Χ297公羡) ----------^------tT------^ {請先Μ讀背面之注$項再填窝本頁) -10- A -y A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明( 且於本發明中’環形突出物22係形成於安裝板21上,以減 少於晶圓1之底面於安裝板21上的接觸表面。 該環形突出物22與晶圓1之底部係呈線性接觸,且如 第12®所示’晶園i之底部與環形突出物22之接觸表面係 呈一環形接觸線23。 該接觸線23係以維持一自晶圊i之底部中心等半徑的 方式形成’其防止晶圓1在高溫下鬆脫(變形)。 易言之’若接觸線23自晶圓1之邊緣的半徑愈小,其 可較容易預防晶圓於其中心鬆脫,但若接觸線23之半徑過 小而超過某一容限時,晶圓丨係於其邊緣鬆脫,故因此, 環形突出物22之半徑須考量上述而加以最佳化。 再者’環形突出物22之截面係一半圓形,且若環形突 出物22之半圓形截面的曲率申徑過小時,於晶圓1之表面 上可能發生刮痕。另一方面,當環形突出物22之半圓形截 面的曲率半徑過大時,環形突出物22及接觸線23周圍之經 加熱氣流與晶圓1的循環係為不佳。 因此’環形突出物22須考量所有上述因子來加以設 計,以使產生於加工室之高溫的經加熱氣流均勻地到達晶 圓I之頂部及底部,且特別是,經加熱的氣流可容易地環 繞安裝板21而循環於晶圓1之表面上。 因此,因突然的熱變化所產生於晶圓丨之頂部與底部 之熱壓力可被釋放,且,可藉由減少與具有不同熱膨脹係 數之安裝板21的接觸表面,來預防“滑動”。 該環形突出物22可當形成安裝板21時,以裁切程序形 裝·. 訂 線 (請先Μ讀背面之注$項再填寫本頁) -11- 417141
經 部 智 慧 財 產 局 員 工 消 t 合 作 社 印 製 成,或可藉由射出成形或加壓程序形成。或者,以與安裝 板21的相同材料製造該環形突出物22後,將該環形突出物 22焊接於該支承組件2〇之安裝板21上,並接著進行退火處 理,以預防因熱膨脹所造成之破裂β 此時,為了增進安裝板21與環形突出物22之黏著,於 焊接前,較佳係於安裝板21上形成一凹槽,其形狀係與環 形突出物22之底部相契合。 根據本發明另一實施例之用於半導體晶園之承載皿的 環形突出物22可適用於其他型式的半導體晶圃承載皿,且 其替換或修改’對熟習此項技術者而言係為明顯的。 同時’第13圊顯示根據本發明另一實施例之用於半導 體晶圓的承載皿。參照第13及14圖,該承載皿包括一上部 組件24及一下部組件25,其間喺負載數片晶圓1;三個垂直 地於該上部組件24與該下部組件25間延伸而將該二者連接 之支承组件26( —般係安裝三或四個支承組件);及數個垂 直地以彼此等間隔置放於該等支承組件内,以安裝晶圓1 之環形安裝板27。另,六個環形突出物28係形成於該安裝 板27上’各具有一半圓形外表面,以與晶圓1之底部接觸。 該安装板21係用以避免晶圓1在高溫下鬆脫或變形, 且於本發明中,環形突出物22係形成於安裝板21上,以減 少於晶圓1之底面於安裝板21上的接觸表面。 該環形安裝板27係被設計成用以防止晶圓1在高溫之 加工條件下鬆脫(變形),且藉由於該環形安裝板27上設置 半圓形突出物,可使負載於安裝板27上之晶圓1的接觸表 ^— (請先閲讀背面之注意事項再填寫本頁) 訂 線 -HI If 關家標準(CNS ) A4^ ( 21Q χ 2“y •12- A7 _______B7 五、發明説明(1()) ~— 面減小。 易言之,晶圖1之底面與半圓形突出物28之接觸表面 如第16圖所示係呈六個接觸點29。 接觸點29係以彼此等間隔沿晶圓1之底部邊緣形成, 而該半園形突出物係支撐晶圓卜以防止晶圓】在高溫下鬆 脫。 易言之,若自晶囿1之邊緣而連接接觸點29之圓的半 徑愈小,其可較容易預防晶圓】於其中心鬆脫,但若其半 徑過小而超過某一容限時,晶園丨係於其邊緣鬆脫。 再者,接觸點29間之間隔愈長(較少個半圓形突出物 28),愈多的晶圓變形,而接觸點29間之間隔愈短(較多個 半圃形突出物28) ’則經加熱氣流之循環的破壞愈多,且 因而增加製造花費。 ν 此外,若半園形突出物28之曲率半徑過小時,於晶圓 1之表面上可能發生刮痕。另一方面,當半圓形形突出物28 之曲率半徑過大時,環繞半圓形突出物28之各接觸點29之 經加熱氣流與晶圓1的循環係為不佳。 因此’半圚形突出物28的個數、其置放的半徑(即連 接該突出物28之圓的半徑)’及半圓形突出物28之曲率半 徑等等,須考量晶圓1之重量、強度與硬度、其變形程度 與經加熱氣流之循環等來最佳化。 因此’產生於加工室之高溫的經加熱氣流係均勻地到 達BS圓1之頂部及底部,且特別是,經加熱的氣流可容易 地環繞環形安裝板27而循環於晶圓}之表面上„ . I----裝-- (請先E讀背面之注意事項再填寫本頁) -訂 線 經濟部智慧財產局員工消費合作社印製 本紙張尺度逋用中國國家標準(CNS ) 格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 41714 1 A7 _______B7___ 五、發明説明(u) 因此,因突然的熱變化所產生於晶園丨之頂部與底部 之熱壓力可被釋放,且,可藉由減少與具有不同熱膨脹係 數之環形安裝板27的接觸表面,來預防“滑動”。 該半圓形突出物28可當形成該環形安裝板27時,以裁 切程序形成’或可藉由射出成形或加壓程序形成。或者, 以與環形安裝板27的相同材料製造該半圓形突出物28後, 將該半圓形突出物28焊接於該環形安裝板27上,並接著進 行退火處理’以預防因熱膨脹所造成之破裂。 此時’為了增進環形安裝板27與半圓形突出物28之黏 著’較佳係於環形安裝板27上形成一凹槽,其形狀係與半 圓形突出物28之底部相契合。 此外’該半圓形突出物28較佳係以彼此等間隔形成於 一單一環形安裝板27上,較佳啲個數為3至12。
根據本發明另一實施例之用於半導體晶圓之承載m的 半圓形突出物28可適用於其他型式的半導體晶圓承載m, 且其替換或修改,對熟習此項技術者而言係為明顯的D 因此’根據本發明實施例之用於半導體晶圓之承載 皿’可減少產生於晶圓表面上之細小刮痕,且,藉由使表 面以線或點被支撐來改變習知之晶圓的支承,而使“滑動” 減至最小。 因此’由於“滑動”係被更有效地避免,可使於高溫下 的加工更簡單,且可增進加熱加工室之速度。再者,由於 承載皿之溝槽間的間隙可形成得更窄,因此可負載更多片 晶圓.,且承載JBI之負載與卸下可更為快速。 本紙浪尺度適用中國國家揉準(CNS ) Α4規格(2】0Χ297公釐) ----------Μ------、玎------^ {#先聞«背面之注$項再填寫本頁) -14- 經濟部智慧財產局員工消費合作社印製 ί A7 B7 五、發明説明(12) 熟此技藝之人士將瞭解到本發明之各種修飾及變化可 在不偏離本發明之精神或範圍下實現。因此,本發明及其 之修飾及變化係意圖包含於所附申請專利範圍及其相當之 範圍内^ 元件標號對照: 1 晶圓- 2 、 7 、 12 、 18、24 上部組件 3 、 8 ' 13 、 19、25 下部組件 4 、 9 、 14 、 20 ' 26 支承組件 5 、 10 、 15 溝槽 6 ' 11 接觸表面 10 、 21 、 27 安裝板 16 ' 28 半圓形突出物 17、29 接觸點 22 環形突出物 23 接觸線 本紙張尺度適用中國國家梯準(CNS ) A4说格(210X297公釐} ----------^------1T------0 (請先閲讀背面之注意Ϋ項再填寫本頁) 15-

Claims (1)

  1. ABCD 417 14 1 中請專利範圍 L —種用於半導體晶圓之承載皿,其包括: 一上部組件; 一下部组件,數片負載於該上部組件與該下部組 件間之晶圓;及 數個支承组件,其係於該上部組件與該下部組件 間垂直地延伸,以支撐該等晶圓,其中數個溝槽係連 續且水平地形成於各該支承組件中,而晶圓的週緣係 置入該等溝槽中,其中一€1^1^係形成於該溝 槽内,並與晶圓之底部接觸。 2.如申請專利範圍第1項之用於半導體晶圓的承載血其 中該半圓形突出物係以同於該支承組件之材料製成。 3_如申請專利範圍第1項之用於半導體晶圓的承載I’其 中該半圓形突出物係藉由备接而設置於該支承組件之 該溝槽内。 4· 一種用於半導體晶圓之承載皿,其包括: 一上部組件; 一下部組件,數片負載於該上部組件與該下部组 件間之晶圓; 數個支承組件,其係於該上部組件與該下部組件 間垂直地延伸,以支撐該等晶圓;及 數個環形安裝板,其係以彼此等間隔垂直地置放 於該等支承組件内,以安裝該等晶圓,其中一 形成於該安裝板上,並與晶圓之底部接觸,其 裁面係為半圓形D 本紙張尺度遥用中國國家揉準(CNS ) A4規格(2〗0X297公釐) Hi t^i ^^1 ^^1 1^1 1- - - - - -—-I i - - ΐ - ..... ! - ί— . I ______ 1^1 _ ^ i ---镩 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裂 -16- 經濟部中夬榡準局員工消費合作社印策 4 ί q 1 * A8 Ιϊ 少 ^___D8 、、申詩'~~~~'~·—- 5.如申請專利範圍第4項之用於半導號晶圓的承栽皿,其 中該環形突出物係以同於該安裝板之材料製成。、 6·如申請專利範圍第4項之用於半導體晶圓的承栽皿,其 中該環形突出物係藉由焊接而形成於該安装板内。、 7·—種用於半導體晶圓之承載皿,其包括: 一上部組件; 一下部組件,數片負載於該上部組件與該下部組 件間之晶園; 數個支承组件,其係於該上部組件與該下部組件 間垂直地延伸,以支撐該等晶圓;及 數個環形安裴板,其係以彼此等間隔垂直地置放 於該等支承組件内,以安裝該等晶圓,其中^ 形成於該安裝&上,並與晶圓之底部接觸。 8·如申請專利範圍第7項之用於半導體晶圓的承載皿,其 中該環形突出物係以同於該安裝板之材料製成。 9. 如申請專利範圍第7項之用於半導體晶圓的承載皿,其 中該環形突出物係藉由焊接而形成於該安裝板内。 10. 如申請專利範圍第7項之用於半導體晶圓的承載凰,其 中數個該半圓形突出物係以彼此等間隔形成於該安裝 板上,該半圊形突出物之個數為3至12。 本紙張从適用巾關家縣(CNS )从胁(2獻聊公董) ^^------1T------^ (請先閲讀背面之注意Ϋ項再填寫本頁) -17-
TW088104941A 1998-06-23 1999-03-29 Boat for semiconductor wafers TW417141B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980023770A KR20000002833A (ko) 1998-06-23 1998-06-23 반도체 웨이퍼 보트

Publications (1)

Publication Number Publication Date
TW417141B true TW417141B (en) 2001-01-01

Family

ID=19540538

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088104941A TW417141B (en) 1998-06-23 1999-03-29 Boat for semiconductor wafers

Country Status (4)

Country Link
US (1) US6099302A (zh)
JP (2) JP2000021796A (zh)
KR (1) KR20000002833A (zh)
TW (1) TW417141B (zh)

Families Citing this family (421)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI250604B (en) * 1999-07-29 2006-03-01 Ibm Improved ladder boat for supporting wafers
CN100386847C (zh) * 1999-09-03 2008-05-07 三菱住友硅晶株式会社 晶片保持架
US6287112B1 (en) * 2000-03-30 2001-09-11 Asm International, N.V. Wafer boat
US6450346B1 (en) * 2000-06-30 2002-09-17 Integrated Materials, Inc. Silicon fixtures for supporting wafers during thermal processing
US6455395B1 (en) * 2000-06-30 2002-09-24 Integrated Materials, Inc. Method of fabricating silicon structures including fixtures for supporting wafers
CN1128470C (zh) * 2000-09-01 2003-11-19 陈正明 晶片减薄后与载体分离的工艺方法及其装置
US6497403B2 (en) 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
KR100410982B1 (ko) * 2001-01-18 2003-12-18 삼성전자주식회사 반도체 제조장치용 보트
US6571964B2 (en) * 2001-03-28 2003-06-03 International Business Machines Corporation Tray for retaining disks
EP1373099A4 (en) * 2001-04-01 2008-05-28 Entegris Inc FINE PLATE INSERT
US6871657B2 (en) * 2001-04-06 2005-03-29 Akrion, Llc Low profile wafer carrier
JP4467028B2 (ja) * 2001-05-11 2010-05-26 信越石英株式会社 縦型ウェーハ支持治具
JP2003146704A (ja) * 2001-11-09 2003-05-21 Nippon Sheet Glass Co Ltd 情報記録媒体用ガラス基板の化学強化処理装置
US7077913B2 (en) * 2002-01-17 2006-07-18 Hitachi Kokusai Electric, Inc. Apparatus for fabricating a semiconductor device
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
JPWO2004003995A1 (ja) * 2002-06-27 2005-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US6814808B1 (en) 2002-10-08 2004-11-09 Sci-Tech Glassblowing, Inc. Carrier for semiconductor wafers
KR100492977B1 (ko) * 2002-12-12 2005-06-07 삼성전자주식회사 다공성 실리카 박막의 소결을 위한 웨이퍼 보트
KR100877129B1 (ko) * 2003-03-26 2009-01-07 신에쯔 한도타이 가부시키가이샤 열처리용 웨이퍼 지지구 및 열처리 장치
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
US7083694B2 (en) 2003-04-23 2006-08-01 Integrated Materials, Inc. Adhesive of a silicon and silica composite particularly useful for joining silicon parts
DE602004025366D1 (de) * 2003-07-02 2010-03-18 Cook Inc Koaxialen Katheter
US7017758B2 (en) * 2003-07-09 2006-03-28 Chartered Semiconductor Manufacturing Ltd. Wafer protective cassette
TWI310850B (en) * 2003-08-01 2009-06-11 Foxsemicon Integrated Tech Inc Substrate supporting rod and substrate cassette using the same
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
WO2005027195A2 (en) * 2003-09-05 2005-03-24 Akrion, Llc Apparatus for carrying reticles and method of using the same to process reticles
US20050205502A1 (en) * 2004-03-18 2005-09-22 Brown Steven A Rails for semiconductor wafer carriers
US7498062B2 (en) * 2004-05-26 2009-03-03 Wd Media, Inc. Method and apparatus for applying a voltage to a substrate during plating
JP4534619B2 (ja) * 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
JP4820755B2 (ja) * 2004-08-06 2011-11-24 株式会社日立国際電気 熱処理装置及び基板の製造方法
CN101018885B (zh) * 2004-08-24 2010-07-14 圣戈本陶瓷及塑料股份有限公司 半导体加工部件及用该部件进行的半导体加工
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
CN2762903Y (zh) * 2004-12-30 2006-03-08 鸿富锦精密工业(深圳)有限公司 光学元件清洗机构
US7748542B2 (en) 2005-08-31 2010-07-06 Applied Materials, Inc. Batch deposition tool and compressed boat
TWI334628B (en) * 2006-02-23 2010-12-11 Hitachi Int Electric Inc Substrate processing device and manufacturing method of semiconductor device
US7661544B2 (en) * 2007-02-01 2010-02-16 Tokyo Electron Limited Semiconductor wafer boat for batch processing
JP5130808B2 (ja) * 2007-07-11 2013-01-30 信越半導体株式会社 ウエーハ熱処理用治具およびこれを備えた縦型熱処理用ボート
KR100912136B1 (ko) * 2007-09-20 2009-08-13 김종민 링보트 제조장치
JP5071217B2 (ja) * 2008-04-17 2012-11-14 信越半導体株式会社 縦型熱処理用ボートおよびそれを用いたシリコンウエーハの熱処理方法
US8042697B2 (en) 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
TWI371076B (en) * 2008-08-27 2012-08-21 Gudeng Prec Industral Co Ltd A wafer container with at least one supporting module having a long slot
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8267831B1 (en) 2009-05-19 2012-09-18 Western Digital Technologies, Inc. Method and apparatus for washing, etching, rinsing, and plating substrates
US20110062053A1 (en) * 2009-07-13 2011-03-17 Greene Tweed Of Delaware, Inc. Chimerized Wafer Boat for Use in Semiconductor Chip Processing and Related Methods
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8420554B2 (en) 2010-05-03 2013-04-16 Memc Electronic Materials, Inc. Wafer support ring
USD666709S1 (en) * 2010-06-21 2012-09-04 Saint-Gobain Ceramics & Plastics, Inc. Kiln post
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
USD763807S1 (en) * 2014-05-22 2016-08-16 Hzo, Inc. Boat for a deposition apparatus
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9153466B2 (en) * 2012-04-26 2015-10-06 Asm Ip Holding B.V. Wafer boat
US8785303B2 (en) * 2012-06-01 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for depositing amorphous silicon
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
FR2995394B1 (fr) * 2012-09-10 2021-03-12 Soitec Silicon On Insulator Dispositif de support d'une pluralite de substrats pour un four vertical
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
USD734730S1 (en) * 2012-12-27 2015-07-21 Hitachi Kokusai Electric Inc. Boat of substrate processing apparatus
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWD163542S (zh) * 2013-03-22 2014-10-11 日立國際電氣股份有限公司 基板處理裝置用晶舟
TWD166332S (zh) * 2013-03-22 2015-03-01 日立國際電氣股份有限公司 基板處理裝置用晶舟之部分
US10190235B2 (en) * 2013-05-24 2019-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer supporting structure and method for forming the same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
TWD165429S (zh) * 2013-07-29 2015-01-11 日立國際電氣股份有限公司 半導體製造裝置用晶舟
TWD167988S (zh) * 2013-07-29 2015-05-21 日立國際電氣股份有限公司 半導體製造裝置用晶舟
TWD168827S (zh) * 2013-07-29 2015-07-01 日立國際電氣股份有限公司 半導體製造裝置用晶舟
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104178806A (zh) * 2014-08-20 2014-12-03 中国科学院半导体研究所 悬挂式双面外延生长装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP1537313S (zh) * 2014-11-20 2015-11-09
JP1537629S (zh) * 2014-11-20 2015-11-09
JP1537312S (zh) * 2014-11-20 2015-11-09
JP1537630S (zh) * 2014-11-20 2015-11-09
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
DE102015114964A1 (de) * 2015-09-07 2017-03-09 Von Ardenne Gmbh Substratträger, Substrathaltevorrichtung, Substrattransportvorrichtung und Prozessiervorrichtung
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP1563649S (zh) * 2016-02-12 2016-11-21
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
DE102016113924B4 (de) 2016-07-28 2024-06-13 Infineon Technologies Ag Waferbox und Verfahren zum Anordnen von Wafern in einer Waferbox
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6770461B2 (ja) * 2017-02-21 2020-10-14 クアーズテック株式会社 縦型ウエハボート
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10468148B2 (en) * 2017-04-24 2019-11-05 Infineon Technologies Ag Apparatus and method for neutron transmutation doping of semiconductor wafers
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7030604B2 (ja) * 2018-04-19 2022-03-07 三菱電機株式会社 ウエハボートおよびその製造方法
USD846514S1 (en) * 2018-05-03 2019-04-23 Kokusai Electric Corporation Boat of substrate processing apparatus
USD847105S1 (en) * 2018-05-03 2019-04-30 Kokusai Electric Corporation Boat of substrate processing apparatus
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
USD908102S1 (en) * 2019-02-20 2021-01-19 Veeco Instruments Inc. Transportable semiconductor wafer rack
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
USD908103S1 (en) * 2019-02-20 2021-01-19 Veeco Instruments Inc. Transportable semiconductor wafer rack
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP1658652S (zh) * 2019-08-07 2020-04-27
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US12046495B2 (en) * 2020-06-26 2024-07-23 Globalwafers Co., Ltd. Wafer boats for supporting semiconductor wafers in a furnace
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4407654A (en) * 1982-01-21 1983-10-04 The Potters Supply Company Handling and support system for kiln fired ware
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
JPH0992625A (ja) * 1995-09-20 1997-04-04 Tokyo Electron Ltd 熱処理用ボ−ト
US5931666A (en) * 1998-02-27 1999-08-03 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design having rounded horizontal arms

Also Published As

Publication number Publication date
JP2000021796A (ja) 2000-01-21
KR20000002833A (ko) 2000-01-15
JP2005191585A (ja) 2005-07-14
US6099302A (en) 2000-08-08

Similar Documents

Publication Publication Date Title
TW417141B (en) Boat for semiconductor wafers
KR101018850B1 (ko) 고온 열처리를 위한 서셉터 플레이트
US6576064B2 (en) Support apparatus for semiconductor wafer processing
TW395006B (en) Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
JP3586031B2 (ja) サセプタおよび熱処理装置および熱処理方法
CN101582388B (zh) 衬底保持设备
US8323411B2 (en) Semiconductor workpiece apparatus
JPH0758041A (ja) サセプタ
CN101772836A (zh) 用于提高产量和减少晶片损坏的基座
JP2004531891A (ja) スリップを有しないウエハボートを製造する装置及び方法
JP2006049352A (ja) サセプタ装置
US6034863A (en) Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
JP2009543352A (ja) ウェハプラットフォーム
JP6469046B2 (ja) 縦型ウエハボート
WO2015030167A1 (ja) サセプタ
KR101079175B1 (ko) 실리콘 에피택셜 웨이퍼의 제조 방법
US20040109748A1 (en) Removable semiconductor wafer susceptor
JP4003906B2 (ja) シリコン単結晶半導体ウエハ加熱処理用治具及びこれを用いたシリコン単結晶半導体ウエハ加熱処理用装置
JP2019192688A (ja) ウエハボートおよびその製造方法
CN102456599B (zh) 支撑单元及具有支撑单元的衬底处理设备
JP2001168175A (ja) 熱処理用基板保持具、基板熱処理装置および基板の熱処理方法
CN110087354A (zh) 一种加热器支撑装置
KR20080082268A (ko) 배치식 기판 처리 장치 및 이를 이용하는 기판 처리 방법
JP5130808B2 (ja) ウエーハ熱処理用治具およびこれを備えた縦型熱処理用ボート
JP2006093283A (ja) ウェーハ支持具

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees