WO2004086496A1 - 熱処理用ウェーハ支持具及び熱処理装置 - Google Patents

熱処理用ウェーハ支持具及び熱処理装置 Download PDF

Info

Publication number
WO2004086496A1
WO2004086496A1 PCT/JP2004/003858 JP2004003858W WO2004086496A1 WO 2004086496 A1 WO2004086496 A1 WO 2004086496A1 JP 2004003858 W JP2004003858 W JP 2004003858W WO 2004086496 A1 WO2004086496 A1 WO 2004086496A1
Authority
WO
WIPO (PCT)
Prior art keywords
heat treatment
wafer
pin
wafer support
support
Prior art date
Application number
PCT/JP2004/003858
Other languages
English (en)
French (fr)
Inventor
Masayuki Imai
Original Assignee
Shin-Etsu Handotai Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin-Etsu Handotai Co., Ltd. filed Critical Shin-Etsu Handotai Co., Ltd.
Priority to JP2005504041A priority Critical patent/JP4363401B2/ja
Priority to US10/549,805 priority patent/US7393207B2/en
Priority to EP04722467A priority patent/EP1608011A4/en
Publication of WO2004086496A1 publication Critical patent/WO2004086496A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Definitions

  • the present invention relates to a wafer support for heat treatment and a heat treatment apparatus used for heat treatment of semiconductor wafers, for example, wafers such as silicon wafers.
  • a type in which a plurality of wafers are stored and stored in parallel in a groove of a structure having a groove in a column called a port is generally adopted.
  • SiC is generally used as a material for e-aluminum supports because of its heat resistance, etc., and grooves are cut directly into the columns using a diamond cutter or the like. Method is used.
  • RTP Rapid Thermal Processing
  • Examples of the heat treatment using the RTP apparatus include a heat treatment for eliminating defects on the wafer surface, a heat treatment for eliminating oxygen donors, and a heat treatment for forming a shallow diffusion layer (RTA). Rapid Thermal Annealing) or heat treatment (RTO: Rapid Thermal Oxidation) to form a thin oxide film.
  • RTA shallow diffusion layer
  • RTO Rapid Thermal Oxidation
  • single-wafer epitaxy growth using lamp heating and vapor phase growth of insulating films can be broadly included in the heat treatment using an RTP apparatus.
  • the present invention has been made to solve the above-mentioned problems, and has no heat-induced heat treatment, which does not cause scratch-slip dislocations, is easy to process, and can reduce costs.
  • the purpose is to provide equipment.
  • a heat treatment wafer support of the present invention has at least a plurality of wafer support members for supporting a wafer to be heat treated and a support member holder for holding the support member.
  • the shape of the contact portion is preferably a curved surface that is convex with respect to the wafer to be subjected to the heat treatment, and is particularly preferably a spherical shape or an elliptical spherical shape.
  • the wafer support member is composed of a pin
  • the support member holder is composed of a pin holder for holding the pin
  • the pin is fitted and arranged in a pin hole formed in the pin holder. is there.
  • the pin is preferably configured to be detachable from the pin holder, and is preferably formed by processing a cylindrical material. Examples of the material of the pin and the pin holder include SiC, silicon, and quartz.
  • a plurality of the pin holes are provided, and the shape of the pin hole of the bracket is preferably a slit shape. It is desirable that the slit-shaped pin holes are arranged radially from the center of the pin holder.
  • the pin holder is preferably disk-shaped or annular, and when the pin holder is disk-shaped, it is preferable that a circular pin hole is provided at a center position thereof.
  • the wafer supporting member includes a rotating body as a contact portion with the wafer to be heat-treated, and the rotating body is a rotating body formed on the wafer supporting member or the supporting member holder described above. It can be configured to be rotatable by the frictional force with the wafer that is housed in the housing hole and heat-treated.
  • the rotating body has any one of a spherical shape, an elliptical spherical shape, a cylindrical shape, and a cylindrical shape. It is desirable that the rotating body accommodating hole has a slit groove shape, and the slit grooved rotating body accommodating hole is preferably arranged radially from the center of the support member holder. It is preferable that the support member holder has a disk shape or an annular shape. SiC, silicon or quartz can be used as a material of the rotating body.
  • the wafer support for heat treatment of the present invention is configured to further include a support for holding a plurality of the support member holders, and a base for holding the support. be able to. It is preferable that the support member holder is configured to be detachable from the column. SiC-silicon or quartz can be used as the material of the support and the base.
  • the heat treatment apparatus of the present invention includes the above-described wafer support for heat treatment of the present invention. BRIEF DESCRIPTION OF THE FIGURES
  • FIG. 1 is an explanatory side view showing a first embodiment of a wafer support for heat treatment of the present invention.
  • FIG. 2 is an explanatory top view showing a state where an upper base and an upper wafer are removed from the structure of FIG.
  • Fig. 3 is an explanatory view showing a manufacturing mode of a pin.
  • A is a cylindrical pin material
  • (bl) is an example of a completed pin
  • (b2) is another example of a completed pin. Shown respectively.
  • FIG. 4 is a top view showing one structural example of a pin holder used in the wafer support for heat treatment of the present invention.
  • FIG. 5 is an enlarged sectional view taken along line VV of FIG.
  • Fig. 6 is an enlarged cross-sectional view taken along the line VI-VI in Fig. 2, (a) shows a state in which the pin is upright, (b) shows a state in which the pin is inclined in the outer peripheral direction, and (c) shows a state in which the pin is in the center direction. (D) shows the shape in which a round (R) is formed at the lower end of the pin.
  • FIG. 7 is an explanatory side view showing a second embodiment of the wafer support for heat treatment of the present invention.
  • FIG. 8 is a top view showing another example of the structure of the pin holder used in the wafer support for heat treatment of the present invention.
  • FIG. 9 shows a third embodiment of the wafer support for heat treatment of the present invention.
  • (A) is an example of a wafer supporting state
  • (b) is a state in which a rotor is extended from a state of (a) and a rotating body as a wafer supporting member is rotated. .
  • FIGS. 10A and 10B are top views of various shapes of rotating bodies serving as an e-supporting member.
  • FIG. 10A shows a spherical shape
  • FIG. 10B shows an elliptical spherical shape
  • FIG. 10C shows a cylindrical or cylindrical shape.
  • FIG. 11 is a side elevational view of a main part showing a fourth embodiment of a wafer support for heat treatment according to the present invention, wherein (a) is an example of the wafer support state, and (b) is (a). ) Shows the state in which the eave is extended from the state of) and the rotating body serving as the eave support member is rotated.
  • FIG. 12 is a schematic explanatory view showing an example of a vertical heat treatment furnace.
  • FIG. 13 is a schematic explanatory diagram showing an example of the RTP device.
  • FIG. 14 is an explanatory view of Example 2, (a) is a top view of the wafer support used for heat treatment, and (b) is a result of observing the wafer after heat treatment using an X-ray topography method. It is a photograph shown.
  • FIG. 15 is an explanatory view of Comparative Example 1.
  • (a) is a cross-sectional explanatory view showing a state where the wafer is mounted on the used wafer holder, and
  • (b) is an X-ray topograph of the wafer after the heat treatment.
  • 4 is a photograph showing a result of observation using a method.
  • FIG. 16 is an explanatory view of Example 3, (a) is a top view of the heat treatment wafer support used, and (b) is an X-ray topographic observation of the heat treated wafer. It is a photograph showing a result.
  • reference numeral 10 denotes a wafer support for heat treatment according to the present invention.
  • the wafer support 10 is composed of a pair of upper and lower bases 12, 14 provided opposite to each other in the vertical direction, and a plurality of bases standing between the upper and lower bases 12, 14. In the example of FIG. 2, three columns 16) are provided.
  • the upper end and the lower end of the column 16 are fitted into the receiving hole 18 formed in the lower surface of the upper base 12 and the receiving hole 20 formed in the upper surface of the lower base 14 respectively.
  • the strut 16 is detachably held on the bases 12 and 14 by a structure for mounting.
  • the pin 22 is a pin as a wafer support member used to support the wafer W to be heat-treated. As shown in FIGS. 3 (b 1) and (b 2), the pin 22 has a contact portion 26 for supporting the wafer W at the distal end, and a fitting portion 28 at the proximal end. Is provided.
  • the shape of the contact portion 26 of the pin 22 is preferably a curved surface that is convex with respect to the wafer W to be supported. In the examples shown in FIGS. 1, 2 and 3 (b 1), the case where the shape of the contact portion 26 is spherical is shown.
  • the contact portion 26 is a curved surface that is convex with respect to the wafer W supported as described above, it goes without saying that a shape other than a spherical shape can be adopted.
  • the contact portion 26 may be formed in an elliptical spherical shape.
  • the only difference from FIG. 1 is the shape of the pin 22 and the description of the structure is omitted, but the same or similar members as those in FIG. 1 are denoted by the same reference numerals. Have been.
  • Reference numeral 32 denotes a pin holder as a support member holder for detachably holding the pin 22.
  • the shape of the pin holder is not particularly limited, and FIGS. 2 and 4 show a case where the pin 22 is formed in a disk shape. . Insert the pin holder 32 into the insertion groove 30 As a result, the pin holder 32 is removably inserted and held in the support 16. Further, the pin holder 32 may be formed in a disk shape as shown in FIGS. 2 and 4, or may be formed in an annular shape having an opening 33 in the center as shown in FIG.
  • the disc-shaped pin holder 32 has a circular fitting hole 34 a and a slit-like fitting corresponding to the fitting portion 28 of the pin 22. Holes 3 4 b are drilled. These fitting holes (pin holes) may be either through holes or bottomed holes.
  • the pin 22 By inserting the fitting portion 28 into the circular fitting hole 34 a provided at the center of the disc-shaped pin holder 32, the pin 22 can be detached from the disc-shaped pin holder 32. Will be retained. Further, the pins 22 fitted in the slit-shaped fitting holes 34 b radially provided from the center of the disk-shaped pin holder 32 serve to move in the expansion and contraction direction of the wafer W during the heat treatment process.
  • the structure is such that it can move about a few mm relative to the disc-shaped pin holder 32.
  • the periphery of the lower end portion of the fitting portion 28 is formed with a round shape called a ferrule (R) as shown in Fig. 6 (d). Is preferred.
  • the number of columns 16 is three, but the number of columns 16 is based on the bases 12 and 14. There is no particular limitation as long as the number can be supported.
  • the pin 22 can be easily obtained by polishing a cylindrical pin material 22 a with a lathe as shown in FIGS. 3 (a), (b 1) and (b 2). In addition, it is possible to easily control the surface roughness of the pin 22, particularly the contact portion 26 thereof.
  • Pin material 2 2 a has a diameter of about 1 to 7 mm And length 5 or more: SiC, Si (single crystal, polycrystal), quartz, etc., having a length of about L0 mm can be used. Also, it is preferable to use SiC, silicon or quartz as the material of the support 16 and the bases 12 and 14.
  • the circular fitting hole 34 a provided at the center of the disc-shaped pin holder 32 shown in FIGS. 2 and 4 is a circle with a diameter of about 1 to 7 mm, and radiates from the center of the pin holder 32.
  • the slit-shaped fitting hole 34b provided has a width of l to 7 min and a length of about 4 to 21 mm.
  • the slit-shaped fitting holes 34b are arranged radially about 3 to 24 places from the center of the pin holder 32 in the longitudinal direction of the slit (6 places in FIGS. 2 and 4). ).
  • the fitting hole 34 a provided in the center of the pin holder 32 is of course omitted, but the pin holder 32 is formed as shown in FIG.
  • the fitting hole 34a can be omitted even in the case of a simple disk.
  • the wafer support of the present invention is configured such that the shape of the contact portion of the tip of the pin with the wafer is a curved surface that is convex with respect to the wafer. This eliminates the occurrence of flaw-slip dislocations in the contact portion with the wafer during the heat treatment, thereby improving productivity and reducing costs.
  • the surface roughness of the contact portion 26 may be additionally processed so that only the contact portion 26 has a desired surface roughness. Furthermore, by appropriately selecting the shape of the pin 22 and the fitting holes 34a and 34b, the position where the wafer W contacts the pin 22 (the position in the plane of the wafer W). ) And the shape of the contact part 26 can be arbitrarily designed. If a configuration is adopted in which only the pin 22 can be removed from the pin holder 32, cleaning and replacement are easy, and the surface can be reworked and recycled. .
  • the pin 22 fits into the pin holder 32.
  • the pin holder 32 is detachably held through the insertion groove 30 with respect to the column 16, and the column 16 is attached to the base 1 through the mounting holes 34 a and 34 b.
  • the pin 22 is fixed to the pin holder 32 so as to be non-detachably.
  • the pin holder 32 can be fixed to the column 16 so that it cannot be detached, and the column 16 can be fixed to the bases 12 and 14 so that it cannot be detached.
  • FIGS. 1 to 8 the case where the pin 22 is used as the eave support member is shown.However, a member other than the pin 22 can be used as the eave support member.
  • the support member holder 40 holds the wafer support member 42.
  • the wafer support member 42 has a trapezoidal member 44 installed on the upper surface of the support member holder 40. On the upper surface of the trapezoidal member 44, a rotating body housing hole 46 is formed.
  • a rotating body 48 as a contact portion with the wafer W to be heat-treated is rotatably fitted into the rotating body housing hole 46.
  • Arrow 50 indicates a predetermined position of rotating body 48.
  • the shape of the rotating body 48 is not particularly limited. However, as shown in FIG. 10, when viewed from the top, (a) a spherical shape, (b) an elliptical spherical shape. (C) a cylindrical or cylindrical shape Can be adopted.
  • the rotating body 48 extends as shown in FIG. 9 (b), so that the rotating body 48 contacts the rotating body of the wafer A during the heat treatment.
  • the occurrence of scratch-slip dislocations can be suppressed.
  • the rotary body accommodating hole 46 is formed in the upper surface of the trapezoidal member 44, but the trapezoidal member 44 is omitted and the upper surface of the support member holder 40 is omitted. It is also possible to provide a configuration in which the rotating body housing hole 46 is formed, and the rotating body 48 is directly fitted into the rotating body housing hole 46. Further, instead of the trapezoidal member 44, a member having another shape may be used.
  • FIGS. 9 (a) and (b) the case where the rotator 48 is fitted in the rotator housing hole 46 of the trapezoidal member 44 is shown, but as shown in FIGS. 11 (a) and (b).
  • the shape of the rotating body housing hole 46 may be a slit groove, and the rotating body 48 may be housed in the slit groove shaped rotating body housing hole 46 in a freely rotatable manner.
  • the slit groove-shaped rotating body housing holes 46 are arranged radially from the center of the support member holder 40.
  • a slit groove-shaped rotating body receiving hole 46 is formed in the upper surface of the trapezoidal member 44.
  • a slit groove-shaped rotating body receiving hole 46 is formed in the upper surface of the member holder 40, and the rotating body 48 is directly movably rotatable in the slit grooved rotating body receiving hole 46. You can also.
  • the heat treatment apparatus of the present invention is an example in the first to fourth embodiments. 1 is a heat treatment apparatus provided with the wafer support for heat treatment of the present invention shown in FIG.
  • a vertical heat treatment furnace as shown in FIG. 12 is known.
  • 110 is a vertical heat treatment furnace.
  • the heat treatment furnace 110 includes a concentrically arranged heater 111, a process tube 114 disposed inside the concentrically arranged heater, a boat 116 for installing a plurality of wafers, and a boat for that.
  • It comprises lifting means (not shown) for raising and lowering the heat retaining cylinder 118 and the lid 122 toward the inside of the process tube 114.
  • Reference numeral 115 denotes a flange provided at the lower end of the process tube 114.
  • the vertical heat treatment furnace 110 can be used as the heat treatment apparatus of the present invention by applying the heat treatment wafer support of the present invention to the boat 116. it can.
  • FIG. 13 is a schematic explanatory view showing an example of the RTP device.
  • reference numeral 210 denotes a heat treatment apparatus, in other words, an RTP apparatus.
  • the heat treatment apparatus 210 has a chamber 211 made of quartz, and heats the wafer W in the chamber 211.
  • the heating is performed by a heating lamp 212 arranged so as to surround the chamber 211 from above, below, left and right.
  • Each of the heating lamps 212 can control the power supplied independently.
  • a gas inlet 219 is provided on the gas introduction side of the chamber 211, and an auto shutter 213 is provided on the gas exhaust side to block outside air.
  • Automatic shutter 2 1 3 can be opened and closed by gate valve A possible inlet (not shown) is provided.
  • the auto shutter 2 13 is provided with a gas exhaust port 22 ° so that the atmosphere in the furnace can be adjusted.
  • the wafer W is disposed on a support jig, for example, a three-point support portion 2 15 formed on a quartz tray 214.
  • a quartz buffer 216 is provided on the side of the gas inlet of the quartz tray 214 to prevent the gas introduced from the gas inlet 219 from directly hitting the wafer W.
  • a special window for temperature measurement (not shown) is provided in the chamber 1 2 1 1, and the temperature of the wafer W is measured through the special window by the pie-mouth meter 2 1 7 installed outside the chamber 2 1 1. Can be measured.
  • the RTA apparatus 21.0 is replaced with a wafer support, for example, a quartz tray 218, by applying the wafer support for heat treatment of the present invention. It can be used as a device.
  • a wafer support for example, a quartz tray 218, by applying the wafer support for heat treatment of the present invention. It can be used as a device.
  • the wafer support for heat treatment described in Figs. 1 and 2 was manufactured.
  • the pin using a cylindrical material having a diameter of 5 mm, the length 1 0 mm, to form a contact portion of the spherical diameter of about 5 m m at its distal end, a proximal end portion has a diameter of about 3 mm
  • six slit-like pin holes provided radially were formed such that the center portion was located at a position 110 mm from the center of the pin holder.
  • the heat treatment conditions were set at 1200 ° C. for 1 hour in an atmosphere of 100% argon, and the temperature for charging and discharging the wafer was set at 700 ° C.
  • a wafer support for heat treatment as shown in Fig. 1 was fabricated.
  • the pin holder 32 has a diameter of 320 mm and a thickness of 1 mm, and the slit 34 b into which the pin is fitted is a through hole 3.5 mm wide and 9 mm long.
  • Three places at a radius of 140 mm at 120 degree intervals, three places at a radius of 120 mm at 120 degree intervals, and at a position of a radius of 60 mm A total of nine locations were formed radially at three intervals at 120 ° intervals, and movable pins were placed at all nine locations.
  • the pin uses a cylindrical material with a diameter of 8 mm and a length of 10 mm, forms a spherical contact part with a diameter of about 6 mm at the tip, and a diameter of about 3 mm at the base.
  • Contact area between the pin and Ueha can be total 9 points is 1 0 mm 2 or less.
  • SIMOX Separatation by Ion-implanted Oxygen
  • SOI Silicon On Insulator
  • the second embodiment uses a conventional wafer holder 70 (the contact portion with the wafer is in a ring shape and the contact area is about 2500 mm 2 ) as shown in FIG. 15 (a).
  • Figure 15 (b) shows the results of observing the slip dislocations using the X-ray topographic method after heat treatment under the same heat treatment conditions using the wafer W with the same specifications as the above.
  • the pin holder 32 used in Example 2 was replaced with a fixed pin 22 f with only three pins at a radius of 120 mm at intervals of 120 degrees. It was fabricated (Fig. 16 (a)), heat-treated under the same conditions as in Example 2, and observed for slip dislocations using the X-ray topography method. b).
  • 60 is an arrow indicating the notch position of the wafer.
  • Fig. 16 (b) a small number of slip dislocations are observed compared to Fig. 14 (b) when all nine locations are movable pins, and the location of the slip dislocations is fixed. It can be seen that the position almost coincides with the position of the pin. Therefore, it was confirmed that the mobility of the contact portion of the wafer support with the wafer has a slip dislocation suppressing effect.
  • the wafer support for heat treatment of the present invention As described above, if the wafer is heat-treated using the wafer support for heat treatment of the present invention, the flaw-slip dislocation due to the high-temperature heat treatment can be effectively suppressed, and the present invention Since the wafer support for heat treatment can be easily applied, the production cost can be greatly reduced.
  • heat-treating Ueha support of the present invention as the SIMOX Ueha very c also highly effective against high temperature long-time heat treatment, the heat treatment of the present invention provided with the such a heat treatment for Ueha support According to the processing apparatus, it is possible to provide a low scratch-slip dislocation after high-temperature heat treatment, so that the quality and yield of devices manufactured using this wafer can be improved. .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本発明は、高温熱処理によるキズやスリップ転位の発生がなく、加工が容易でコスト低減が可能な熱処理用ウェーハ支持具及び熱処理装置を提供する。本発明は、少なくとも、熱処理するウェーハを支持する複数のウェーハ支持部材と、該支持部材を保持する支持部材ホルダとを有する熱処理用ウェーハ支持具であって、前記複数のウェーハ支持部材のうち少なくとも一部の支持部材は、前記ウェーハとの接触部が、前記支持部材ホルダに対して可動であるようにした。

Description

明 細 書 熱処理用ゥ ーハ支持具及ぴ熱処理装置 技術分野
本発明は、 半導体ゥヱーハ、 例えば、 シリ コンゥエーハ等のゥエーハ を熱処理する際に用いられる熱処理用ゥエーハ支持具及ぴ熱処理装置に 関する。 背景技術
バッチ式熱処理用ゥヱーハ支持具においては、 ポートと呼ばれる支 柱に溝を有する構造物の溝に複数のゥエーハを平行に収納保存する形式 が一般的に採用されている。 特に、 1 0 0 0 °C以上の高温熱処理におい ては耐熱性等からゥエーハ支持具の素材として S i Cが一般的に採用さ れており、 ダイアモンドカッタ一等により支柱に直接溝を切削加工する 手法が使われている。
しかし、 この手法では加工できる形状に制限を受けるため、 曲面など 複雑な形状の加工は困難である。 また、 切削加工中に溝面にパリが発生 しゃすい問題もある。 さらに、 発生したバリを除去するための追加工が 困難であることや、 ゥエーハが接触する溝の表面の粗度を低減するため の研磨が困難であるため、 熱処理中にゥヱーハとの接触部にキズゃスリ ップ転位が発生する問題がある (特開平 7— 1 6 1 6 5 4号公報、 特開 平 8— 1 0 7 0 8 1号公報) 。 また、 加工中に支柱が破損しやすく大き な労力と加工時間が必要であるため、 生産性が悪くコス トの低減が困難 である。
上記バッチ式熱処理は抵抗加熱 (ヒータ加熱) により多数枚のゥェ ーハを一度に熱処理するものであるが、 このようなバッチ式熱処理装置 のほか、 最近では、 主に枚葉処理に用いられ、 ランプ加熱式などにより 急速加熱 · 急速冷却熱処理 を行な う R T P . ( Rapid Thermal Processing) 装置も頻繁に用いられるようになつてきた。
R T P装置を用いた熱処理と しては、 例えば、 ゥエ ーハ表面の欠陥 を消滅させるための熱処理、 酸素ドナーを消滅させるための熱処理、 浅 い拡散層 を形成す る た め の熱処理 ( R T A : Rapid Thermal Annealing) 、 あるいは薄い酸化膜を形成するための熱処理 (R T O : Rapid Thermal Oxidation) などを挙げることができる。 また、 ランプ 加熱を用いた枚葉式のェピタキシャル成長や絶縁膜などの気相成長も、 広義には R T P装置を用いた熱処理に含めることができる。
このような R T P処理においてもバツチ式熱処理装置と同様に、 熱 処理するためのゥエーハ支持具 (サセプタと呼ばれることもある) が用 いられ、 ゥエーハ支持具とゥエーハとの接点においてスリ ップ転位が発 生しゃすいという問題がある (特開 2 0 0 2— 1 6 4 3 0 0号公報) 。 発明の開示
本発明は、 上記課題を解決するためになされたものであり、 高温熱 処理によるキズゃスリ ップ転位の発生がなく、 加工が容易でコス ト低減 が可能な熱処 用ゥエーハ支持具及び熱処理装置を提供することを目的 とする。
上記課題を解決するために、 本発明の熱処理用ゥエーハ支持具は、 少 なく とも、 熱処理するゥエーハを支持する複数のゥエーハ支持部材と、 該支持部材を保持する支持部材ホルダとを有する熱処理用ゥエーハ支持 具であって、 前記複数のゥエーハ支持部材のうち少なく とも一部の支持 部材は、 前記ゥエーハとの接触部が、 前記支持部材ホルダに対して可動 であることを特徴とする。
前記接触部の形状が、 前記熱処理するゥエーハに対して凸の曲面であ り、 特に球形または楕円球形であるのが好ましい。 前記ゥエーハ支持部 材がピンからなり、 前記支持部材ホルダが前記ピンを保持するピンホル ダからなり、 前記ピンは該ピンホルダに形成されたピン孔に嵌め込んで 配置される構成とするのが好適である。 前記ピンは前記ピンホルダから 取り外し可能に構成されるのが好ましく、 さらに円柱状の素材を加工し て形成されるのが好ましい。 前記ピンおよびピンホルダの素材と しては, S i C、 シリ コンまたは石英を挙げることができる。 前記ピン孔は、 好 ましくは複数個設けられかっこのピン孔の形状はスリ ッ ト状と されるの が好ましい。 前記スリ ッ ト状ピン孔は前記ピンホルダの中心から放射状 に配置されるのが望ましい。 前記ピンホルダは、 好ましくは円板状また は円環状であり、 このピンホルダが円板状の場合には、 その中心位置に 円状ピン孔を設けた構成とするのが好適である。
前記ゥ ーハ支持部材としては、 前記熱処理するゥ ーハとの接触部 と しての回転体を含み、 該回転体は、 前記ゥエーハ支持部材または前'記 支持部材ホルダに形成された回転体収容孔に収容され、 前記熱処理する ゥエーハとの摩擦力により回転可能に構成することもできる。
前記回転体は、 球形、 楕円球形、 円筒形又は円柱形のいずれかの形状 とするのが好ましい。 前記回転体収容孔がスリ ッ ト溝状であるのが望ま しく、 このスリ ッ ト溝状の回転体収容孔が、 好ましくは前記支持部材ホ ルダの中心から放射状に配置される。 前記支持部材ホルダが円板状また は円環状であるのが好ましい。 前記回転体の素材としては、 S i C、 シ リコンまたは石英を用いることができる。
本発明の熱処理用ゥ ーハ支持具と しては、 前記支持部材ホルダを複 数保持する支柱と、 該支柱を保持するベースとを更に有する構成とする ことができる。 前記支持部材ホルダは前記支柱から取り外し可能に構成 されるのが好適である。 前記支柱およびベースの素材としては、 S i C- シリコンまたは石英を用いることができる。
本発明の熱処理装置は、 上記した本発明の熱処理用ゥエーハ支持具を 具備するものである。 図面の簡単な説明
図 1は、 本発明の熱処理用ゥ ーハ支持具の第 1の実施の形態を示す 側面説明図である。
図 2は、 図 1の構造から上ベース及び上側のゥエーハを取り外した状 態を示す上面説明図である。
図 3は、 ピンの製造態様を示す説明図で、 ( a ) は円柱状のピン素材 及び (b l ) はピンの完成品の 1例及び (b 2 ) はピンの完成品の他の 例をそれぞれ示す。
図 4は、 本発明の熱処理用ゥエーハ支持具に用いられるピンホルダの 一つの構造例を示す上面図である。
図 5は、 図 2の V— V線拡大断面図である。
図 6は、 図 2の V I _ V I線拡大断面図で、 ( a ) はピンが直立して いる状態、 (b ) はピンが外周方向に傾斜した状態、 ( c ) はピンが中 心方向に傾斜した状態、 ( d) はピンの下端部にアール (R) を形成し た形状をそれぞれ示す。
図 7は、 本発明の熱処理用ゥエーハ支持具の第 2の実施の形態を示す 側面説明図である。
図 8は、 本発明の熱処理用ゥ ーハ支持具に用いられるピンホルダの 他の構造例を示す上面図である
図 9は、 本発明の熱処理用ゥ ーハ支持具の第 3の実施の形態を示す 要部の側面的説明図で、 ( a ) はゥ ーハ支持状態の一例、 ( b ) は ( a ) の状態からゥエーハが伸長してゥエーハ支持部材である回転体が 回転した状態をそれぞれ示す。
図 1 0は、 ゥエーハ支持部材である種々の形状の回転体の上面図で、 ( a ) は球形、 (b ) は楕円球形、 ( c ) は円柱形又は円筒形をそれぞ れ示す。
図 1 1は、 本発明の熱処理用ゥ ーハ支持具の第 4の実施の形態を示 す要部の側面的説明図で、 ( a ) はゥエーハ支持状態の一例、 (b ) は ( a ) の状態からゥエーハが伸長してゥエーハ支持部材である回転体が 回転した状態をそれぞれ示す。
図 1 2は、 縦型熱処理炉の一例を示す概略説明図である。
図 1 3は、 RT P装置の一例を示す概略説明図である。
図 1 4は、 実施例 2の説明図で、 ( a ) は使用した熱処理用ゥ ー ハ支持具の上面図、 (b ) は熱処理後のゥエーハを X線トポグラフ法を 用いて観察した結果を示す写真である。
図 1 5は、 比較例 1 の説明図で、 ( a ) は使用したゥエ ーハホルダ にゥエーハを載せた状態を示す断面的説明図、 (b ) は熱処理後のゥェ ーハを X線トポグラフ法を用いて観察した結果を示す写真である。
. 図 1 6は、 実施例 3の説明図で、 ( a ) は使用した熱処理用ゥエ ー ハ支持具の上面図、 (b ) は熱処理後のゥエーハを X線トポグラフ法を 用いて観察した結果を示す写真である。
発明を実施するための最良の形態
以下に本発明の実施の形態を添付図面に基づいて説明するが、 図示 例は例示的に示されるもので、 本発明の技術思想から逸脱しない限り 種々の変形が可能であることはいうまでもない。 図 1において、 1 0は本発明に係る熱処理用ゥエーハ支持具である。 該ゥエーハ支持具 1 0は上下方向に相対向して設けられた上下一対のベ ース 1 2 , 1 4、 及ぴ該上下のベース 1 2 , 1 4の間に立設された複数 本 (図 2の例では 3本) の支柱 1 6を有している。
該上ベース 1 2の下面に穿設された受け孔 1 8及び該下ベース 1 4の 上面に穿設された受け孔 2 0に該支柱 1 6の上端部及ぴ下端部がそれぞ れ嵌着する構造とすることによって該支柱 1 6は該ベース 1 2 , 1 4に 取り外し可能に保持されている。
2 2は熱処理するゥヱーハ Wを支持'するために用いられるゥヱーハ支 持部材と してのピンである。 該ピン 2 2は図 3 ( b 1 ) ( b 2 ) によく 示されるごとく、 先端部にはゥヱーハ Wを支持する接触部 2 6が設けら れ、 かつ基端部には嵌着部 2 8が設けられている。
上記ピン 2 2の接触部 2 6の形状は、 支持されるゥヱーハ Wに対して 凸の曲面であることが好ましい。 図 1、 図 2及ぴ図 3 ( b 1 ) に示した 例では、 該接触部 2 6の形状は球形である場合が示されている。
この接触部 2 6の形状は、 上述したように支持されるゥ ーハ Wに対 して凸の曲面であれば、 球形以外の形状を採用することができることは いうまでもなく、 図 3 ( b 2 ) 及ぴ図 7の第 2の実施の形態において示 されるごとく、 接触部 2 6を楕円球形とすることも可能であ.る。 なお、 図 7において、 図 1 との相違点はピン 2 2の形状だけであり、 構造につ いての再度の説明は省略するが、 図 1の部材と同一又は類似部材は同一 の符号で示されている。
前記支柱 1 6の側面には同一の高さに挿入溝 3 0が設けられている。 3 2は上記ピン 2 2を着脱可能に保持する支持部材ホルダとしてのピン ホルダで、 その形状は特別な限定はないが、 図 2及び図 4には円板状と した場合が示されている。 前記挿入溝 3 0にピンホルダ 3 2を挿入する ことにより、 ピンホルダ 3 2は支柱 1 6に対して取り外し可能に挿入保 持される。 また、 ピンホルダ 3 2は図 2及び図 4に示したように円板状 とするほか、 図 8に示したように中央部に開口部 3 3を穿設した円環状 に形成することもできる。
図 2及び図 4に示すように、 前記円板状ピンホルダ 3 2には該ピン 2 2の嵌着部 2 8に対応して円状嵌着孔 3 4 aおよぴスリ ッ ト状嵌着孔 3 4 bが穿設されている。 これらの嵌着孔 (ピン孔) は貫通孔又は有底孔 のいずれであってもよい。 該円板状ピンホルダ 3 2の中心部に設けた該 円状嵌着孔 3 4 aに該嵌着部 2 8を嵌め込むことによって該ピン 2 2は 該円板状ピンホルダ 3 2に取り外し可能に保持される。 また、 該円板状 ピンホルダ 3 2の中心部から放射状に設けたスリ ッ ト状嵌着孔 3 4 bに 嵌着されたピン 2 2は、 熱処理プロセス中のゥヱーハ Wの伸縮方向の動 きに対してピン 2 2 とゥヱーハ Wとの接触面に発生する応力を緩和する ようにゥエーハ Wの伸縮方向、 即ち、 円板状ピンホルダ 3 2の中心から 外周方向 [図 6 ( b ) ] 、 または、 その反対方向、 即ち中心方向 [図 6 ( c ) ] に、 円板状ピンホルダ 3 2に対して相対的に数 m m程度動く こ とができる構造となっている。 この場合、 ピン 2 2を動きやすくするた め、 その嵌着部 2 8の下端部の周縁には、 図 6 ( d ) に示すようにァー ノレ ( R ) と呼ばれる丸みを形成しておく ことが好ましい。
また、 図 2、 図 4及ぴ図 8に示した例においては、 支柱 1 6の設置本 数を 3本とした場合を示したが、 支柱 1 6 の設置本数はベース 1 2 , 1 4を支持可能な本数であれば特別な限定はない。
前記ピン 2 2は、 図 3 ( a ) ( b 1 ) ( b 2 ) に示したように、 円柱 状のピン素材 2 2 aを旋盤にて研磨加工することによって容易に得るこ とができ、 かつピン 2 2、 特にその接触部 2 6の表面粗度を容易に制御 することが可能となる。 ピン素材 2 2 a と しては、 直径 1 〜 7 m m程度 で、 長さ 5 〜 : L 0 m m程度の S i C 、 S i (単結晶、 多結晶) 、 石英な どを用いることができる。 また、 支柱 1 6及びベース 1 2 , 1 4の素材 としても、 S i C、 シリコン又は石英を用いるのが好適である。
図 2及ぴ図 4に示した円板状ピンホルダ 3 2の中心部に設けた円状嵌 着孔 3 4 aは直径 1 〜 7 mm 程度の円形で、 ピンホルダ 3 2の中心部か ら放射状に設けたスリ ッ ト状嵌着孔 3 4 bは幅 l 〜 7 m in、 長さ 4 〜 2 1 m m程度となる。 ス 'リ ッ ト状嵌着孔 3 4 bはそのス リ ッ トの長さ方向 をピンホルダ 3 2 の中心部から放射状に 3 〜 2 4箇所程度配置される (図 2及び図 4では 6箇所) 。 ピンホルダ 3 2を図 8のように円環状と した場合には、 ピンホルダ 3 2の中心部に設ける嵌着孔 3 4 aは省略さ れることは勿論であるが、 ピンホルダ 3 2を図 4のような円板とした場 合でも嵌着孔 3 4 aを省略することもできる。
上述したように、 本発明のゥエ ーハ支持具においては、 ピンの先端部 のゥヱ ーハとの接触部の形状が、 ゥヱ ーハに対して凸の曲面となるよう に構成してあるので、 熱処理中にゥエーハとの接触部にキズゃス リ ップ 転位が発生することがなくなり、 したがって生産性が向上してコス ト低 減が可能となるものである。
ピン 2 2のゥヱ ーハ Wとの接触部 2 6は、 その接触部 2 6の表面粗度 を別途追加工して接触部 2 6のみを所望の表面粗度にしてもよい。 さら に、 ピン 2 2の形状と嵌着孔 3 4 a , 3 4 bを適切に選択することによ り、 ゥヱーハ Wがピン 2 2と接触する位置 (ゥエ ーハ Wの面内の位置) や接触部 2 6の形状を任意に設計できる。 そして、 ピン 2 2のみをピン ホルダ 3 2から取り外すことが可能な構成を採用する場合には、 洗浄や 交換が容易であり、 また、 表面を再加工してリサイクルしたりすること も可能である。
上記各実施の形態においては、 ピン 2 2はピンホルダ 3 2に対して嵌 着孔 3 4 a , 3 4 bを介して着脱可能に保持され、 ピンホルダ 3 2は支 柱 1 6に対して揷入溝 3 0を介して着脱可能に保持され、 また支柱 1 6 はベース 1 2 , 1 4に対して受け孔 1 8 , 2 0を介して着脱可能に保持 される構造を例示したが、 必要に応じて、 ピン 2 2をピンホルダ 3 2に 対して着脱不能に固定し、 ピンホルダ 3 2を支柱 1 6に対して着脱不能 に固定し、 また支柱 1 6をベース 1 2 , 1 4に対して着脱不能に固定す ることもできる。
図 1〜図 8に示した例においては、 ゥエーハ支持部材と してピン 2 2を用いた場合を示したが、 ゥエーハ支持部材としてはピン 2 2以外の 部材を用いることも可能であり、 図 9 〜 1 1に基づいて以下に説明する c 図 9 ( a ) ( b ) において、 4 0はゥ ーハ支持部材ホルダで、 本 発明に係る熱処理用ゥ ーハ支持具を構成する。 該支持部材ホルダ 4 0 はゥエーハ支持部材 4 2を保持している。 このゥエーハ支持部材 4 2は. 支持部材ホルダ 4 0の上面に設置された台形部材 4 4を有している。 こ の台形部材 4 4の上面には回転体収容孔 4 6が穿設されている。 この回 転体収容孔 4 6には、 熱処理するゥエーハ Wとの接触部としての回転体 4 8が回転可能に嵌入されている。 矢印 5 0は回転体 4 8の所定位置を 示すものである。 この回転体 4 8の形状については、 特別の限定はない が、 図 1 0に示したように、 上面から見て ( a ) 球形、 (b ) 楕円球形. ( c ) 円柱形又は円筒形等の形状を採用することができる。
上記した構成により、 図 9 ( a ) に示したよ うに、 ゥエーハ Wが回 転体 4 8によって接触支持されている状態でゥユーハ Wの熱処理を行う と、 矢印 5 2で示したゥエーハ Wの伸び方向にゥエーハが伸長し、 上記 回転体 4 8は、 矢印 5 0の位置から明らかなように、 図 9 ( b ) に示し たように回転するので、 熱処理中のゥエーハ Wの回転体との接触部にキ ズゃスリ ップ転位が発生するのを抑制することができる。 なお、 図 9 ( a ) ( b ) の例では、 台形部材 4 4の上面に回転体収容 孔 4 6を穿設したが、 この台形部材 4 4を省略して支持部材ホルダ 4 0 の上面に回転体収容孔 4 6を穿設し、 この回転体収容孔 4 6に回転体 4 8を直接嵌入する構成とすることもできる。 また、 台形部材 4 4の代わ りに他の形状の部材としてもよい。
図 9 ( a ) ( b ) の例では、 回転体 4 8を台形部材 4 4の回転体 収容孔 4 6に嵌入した場合を示したが、 図 1 1 ( a ) ( b ) に示したよ うにこの回転体収容孔 4 6の形状をスリ ッ ト溝状とし、 このスリ ッ ト溝 状回転体収容孔 4 6に回転体 4 8を遊動回転可能に収容する構成とする こともできる。 この場合、 スリ ッ ト溝状回転体収容孔 4 6は、 支持部材 ホルダ 4 0の中心から放射線状に配置されるのが好適である。 この構成 により、 図 1 1 ( a ) に示したように、 ゥエ ーハ Wが回転体 4 8によつ て接触支持されている状態でゥ ーハ Wの熱処理を行う と、 矢印 5 2で 示したゥヱ ーハ Wの伸ぴ方向にゥヱーハ Wが伸長し、 上記回転体 4 8は. 矢印 5 0の位置から明らかなように、 図 1 1 ( b ) に示したように回転 するので、 図 9の場合と同様に熱処理中のゥヱーハ Wの回転体との接触 部にキズゃスリ ップ転位が発生するのを抑制することができる。 特に、 図 1 1の構成においては、 上記回転体 4 8が転が.ることを利用すること になるので、 この場合の転がり摩擦は極めて小さく、 摩擦に起因するキ ズゃスリ ップ転位の発生を防ぐ上で大きな効果がある。
なお、 図 1 1 ( a ) ( b ) の例でも、 この台形部材 4 4の上面にスリ ッ ト溝状回転体収容孔 4 6を穿設したが、 この台形部材 4 4を省略して 支持部材ホルダ 4 0の上面にスリ ッ ト溝状回転体収容孔 4 6を穿設し、 このスリ ッ ト溝状回転体収容孔 4 6に回転体 4 8を直接遊動回転可能に 収容する構成とすることもできる。
本発明の熱処理装置は、 上記第 1から第 4の実施の形態において例 1 示した本発明の熱処理用ゥエーハ支持具を備えた熱処理装置である。
この種の熱処理装置としては、 例えば図 1 2に示したような縦型熱処 理炉が知られている。 同図において、 1 1 0は縦型熱処理炉である。 こ の熱処理炉 1 1 0は、 同心状に配置されたヒータ 1 1 2と、 その内側に 配置されたプロセスチューブ 1 1 4と、 ゥヱーハを複数枚载置するボー ト 1 1 6 と、 そのボート 1 1 6を着脱自在に装着する保温筒 1 1 8 と、 その下部にあって熱処理時にプロセスチューブ 1 1 4の下端の炉ロ部 1 2 0を塞ぐ蓋体 1 2 2と、 該ボート 1 1 6、 保温筒 1 1 8及ぴ蓋体 1 2 2をプロセスチューブ 1 1 4の内部に向かって上下させる不図示の昇降 手段とからなつている。 なお、 1 1 5はプロセスチューブ 1 1 4の下端 部に設けられたフランジ部である。 この縦型熱処理炉 1 1 0において、 上記ボート 1 1 6に本発明の熱処理用ゥエーハ支持具を適用することに よって、 この縦型熱処理炉 1 1 0を本発明の熱処理装置として用いるこ とができる。
また、 この種の熱処理装置と して急速加熱、 急速冷却. (R T P ) 装 置を用いることもできる。 R T P装置の一例を図 1 3によって説明する, 図 1 3は R T P装置の一例を示す概略説明図である。 図 1 3において、 2 1 0は熱処理装置、 換言すれば、 R T P装置である。 この熱処理装置 2 1 0は、 石英からなるチヤンバー 2 1 1 を有し、 このチヤンバー 2 1 1内でゥエーハ Wを熱処理するようになっている。 加熱は、 チャンバ一 2 1 1を上下左右から囲繞するよう配置された加熱ランプ 2 1 2によつ て行う。 この加熱ランプ 2 1 2はそれぞれ独立に供給される電力を制御 できるようになっている。
このチャンバ一 2 1 1 のガスの導入側にはガス導入口 2 1 9が設けら れ、 ガスの排気側には、 オートシャッター 2 1 3が装備され、 外気を封 鎖している。 オートシャッター 2 1 3には、 ゲートバルブによって開閉 可能に構成される不図示のゥヱーハ揷入口が設けられている。 また、 ォ ートシャッター 2 1 3にはガス排気口 2 2 ◦が設けられており、 炉内雰 囲気を調整できるようになつている。
そして、 ゥエーハ Wは支持治具、 例えば石英トレィ 2 1 4に形成され た 3点支持部 2 1 5の.上に配置される。 石英トレイ 2 1 4のガス導入口 側には、 石英製のバッファ 2 1 6が設けられており、 ガス導入口 2 1 9 から導入されたガスがゥエーハ Wに直接当たるのを防ぐことができる。 また、 チャンバ一 2 1 1には不図示の温度測定用特殊窓が設けられて おり、 チャンバ一 2 1 1の外部に設置されたパイ口メータ 2 1 7により . その特殊窓を通してゥエーハ Wの温度を測定することができる。
この R TA装置 2 1 0において、 ゥヱーハ支持具、 例えば石英ト レ ィ 2 1 4の代わりに本発明の熱処理用ゥエーハ支持具を適用することに よって、 この RT A装置 2 1.0を本発明の熱処理装置として用いること ができる。
以下に実施例をあげて本発明をさ らに具体的にするが、 実施例は例 示として示されるもので限定的に解釈されるべきでないことはいうまで もない。
(実施例 1 )
ベース、 支柱、 ピンの素材として S i Cを使用し、 図 1及ぴ図 2に記 載された熱処理用ゥエーハ支持具を作製した。 その際、 ピンは直径 5 m m、 長さ 1 0 mmの円柱状の材料を使用して、 その先端部に直径約 5 m mの球状の接触部を形成し、 基端部は直径約 3 mmとした。 また、 放射 状に設けた 6ケ所のスリ ッ ト状ピン孔は、 その中央部がピンホルダの中 心から 1 1 0 mmの位置に配置されるように形成した。
このような熱処理用ゥ ーハ支持具を用い、 直径 3 0 0 mm、 結晶方 位く 1 0 0 >、 p型、 約 1 O Q c mの C Zシリ コン単結晶ゥエーハの熱 3 処理を行った。 ゥヱーハとの接触部におけるキズゃスリ ップ転位の発生 状況を調査した。
熱処理条件は、 アルゴン 1 0 0 %雰囲気下、 1 2 0 0 °C、 1時間とし、 ゥエーハの投入及び取出温度は 7 0 0 °Cとした。
熱処理後のゥエーハは、 X線トポグラフ法を用いて、 ゥヱーハ支持具 とゥヱーハとの接触部におけるキズゃスリ ップ転位の発生状況を調査し た結果、 これらの発生はほとんど見られなかった。
' (実施例 2)
ベース、 支柱、 ピンの素材として S i Cを使用し、 図 1に記載された ような熱処理用ゥヱーハ支持具を作製した。 ただし、 ピンホルダ 3 2は 直径 3 2 0 mm、 厚さ 1 mmとし、 ピンを嵌め込むス リ ッ ト 3 4 bは幅 3. 5 mm、 長さ 9 mmの貫通孔とし、 図 1 4 ( a ) に示すように、 半 径 1 4 0 m mの位置に 1 2 0度間隔で 3ケ所、 半径 1 2 0 m mの位置に 1 2 0度間隔で 3ケ所、 さらに、 半径 6 0 m mの位置に 1 2 0度間隔で 3ケ所の計 9箇所をそれぞれ放射状に形成し、 その 9力所全てに可動ピ ンを配置した。 その際、 ピンは直径 8 mm、 長さ 1 0 mmの円柱状の材 料を使用して、 その先端部に直径約 6 mmの球状の接触部を形成し、 基 端部は直径約 3 mmとした。 ピンとゥエーハの接触面積は 9箇所を合計 しても 1 0 mm 2以下である。
このような熱処理用ゥエーハ支持具を用い、 直径 3 0 0 mm、 結晶 方位く 1 0 O >、 p型、 約 l O Q c mの C Zシリ コン単結晶ゥエーハの 熱処理を行い、 ゥエーハとの接触部におけるスリ ップ転位の発生状況を 調査した。
熱処理条件は、 S I MO Xゥエーハ作製用の高温長時間熱処理を想定 し、 アルゴンと酸素の混合ガス雰囲気下、 1 3 5 0 °C、 8時間とし、 ゥ エーハの投入及ぴ取出温度は 6 0 0 °Cと した。 なお、 S I M O X (Separation by Ion-implanted Oxygen) とは、 S O I (Silicon On Insulator) ゥエーハの作製方法の一つであり、 シリ コン単結晶ゥエ ー ハ中に酸素イオンを注入して熱処理することによりシリコン単結晶ゥェ ーハ内部に S i O 2層を形成する方法である。 熱処理後のゥエーハを、 X線トポグラフ法を用いて観察した結果を図 1 4 ( b ) に示す。 図 1 4
( b ) によれば、 熱処理条件が 1 3 5 0 °C、 8時間と極めて過酷である にもかかわらず、 スリ ップ転位の発生はほとんど見られなかった。 なお、 図 1 4 ( a ) ( b ) において、 6 0はゥヱーハのノッチ位置を示す矢印 である。
(比較例 1 )
図 1 5 ( a ) に示すような従来のゥエ ーハホルダ 7 0 (ゥエ ーハと の接触部はリング状であり、 接触面積は約 2 5 0 0 0 mm2) を用い、 実施例 2と同一仕様のゥ ーハ Wを用い同一の熱処理条件で熱処理を行 い、 X線トポグラフ法を用いてス リ ップ転位を観察した結果を図 1 5 ( b ) に示す。
図 1 5 ( b ) から明らかなように、 従来のゥエ ーハホルダを用いた 場合にはス リ ップ転位が多発していることがわかる。 また、 図 1 4 (b ) と比較するとその差は顕著であり、 本発明のゥエーハ支持具の耐 スリ ツプ特性が極めて高いことがわかる。
(実施例 3 )
可動ピンの効果を確認するため、 実施例 2で使用したピンホルダ 3 2において、 半径 1 2 0 m mの位置に 1 2 0度間隔で 3ケ所のピンのみ を固定ピン 2 2 f としたものを別途作製し (図 1 6 ( a ) ) 、 これを用 いて実施例 2と同一の条件で熱処理を行った後、 X線トポグラフ法を用 いてス リ ップ転位を観察した結果を図 1 6 ( b ) に示す。 図 1 6 ( a ) ( b ) において、 6 0はゥエーハのノッチ位置を示す矢印である。 図 1 6 ( b ) によれば、 9ケ所全部を可動ピンと した場合の図 1 4 ( b ) に比べて若干のスリ ップ転位の発生が見られ、 そのスリ ップ転位 の発生位置は固定ピンの位置とほぼ一致していることがわかる。 従って. ゥエーハ支持具のゥ ーハとの接触部の可動性がスリ ップ転位抑制効果 を有することが確認された。
このよ う に、 ゥエーハ支持具のゥエーハとの接触部を可動性にすれ ばスリ ップ転位抑制効果が得られるので、 従来のゥエーハ支持具のよう に接触部全てが固定されている場合と比較すると、 実施例 3のように少 なく とも接触部の一部を可動性にすれば、 スリ ップ転位の低減という本 発明の効果を得ることができる。 もちろん、 全ての接触部を可動性にす ることが最も効果的である。 産業上の利用可能性
以上述べたごと く、 本発明の熱処理用ゥユーハ支持具を用いてゥェ ーハの熱処理を行えば、 高温熱処理によるキズゃスリ ップ転位を効果的 に抑制することができ、 かつ本発明の熱処理用ゥヱーハ支持具はその加 ェが容易であるので、 製作コス トの低減を図ることができるという大き な効果を奏する。 特に、 本発明の熱処理用ゥエーハ支持具は、 S I M O Xゥエーハのように、 極めて高温長時間の熱処理に対して有効性が高い c また、 このような熱処理用ゥエーハ支持具を具備した本発明の熱処 理装置によれば、 高温熱処理後にキズゃスリ ップ転位の少ないゥ: —ハ を提供することができるので、 このゥエーハを用いて製造されるデバイ スの品質や歩留を向上させることができる。

Claims

請 求 の 範 囲
1 . 少なく とも、 熱処理するゥ ーハを支持する複数のゥ ーハ支持部 材と、 該支持部材を保持する支持部材ホルダとを有する熱処理用ゥ ー ハ支持具であって、 前記複数のゥ ーハ支持部材のうち少なく とも一部 の支持部材は、 前記ゥエーハとの接触部が、 前記支持部材ホルダに対し て可動であることを特徴とする熱処理用ゥエーハ支持具。
2 . 前記接触部の形状が、 前記熱処理するゥ ーハに対して凸の曲面で あることを特徴とする請求項 1に記載された熱処理用ゥ ーハ支持具。
3 . 前記接触部の形状が、 球形または楕円球形であることを特徴とする 請求項 1または請求項 2に記載された熱処理用ゥエーハ支持具。
4 . 前記ゥエーハ支持部材がピンからなり、 前記支持部材ホルダが前記 ピンを保持するピンホルダからなり、 前記ピンは該ピンホルダに形成さ れたピン孔に嵌め込んで配置されることを特徴とする請求項 1から請求 項 3のいずれか一項に記載された熱処理用ゥ ーハ支持具。
5 . 前記ピンは前記ピンホルダから取り外し可能に構成されることを特 徴とする請求項 4に記載された熱処理用ゥエーハ支持具。
6 . 前記ピンは円柱状の素材を加工したものであることを特徴とする請 求項 4または請求項 5に記载された熱処理用ゥエーハ支持具。
7 . 前記ピンおょぴピンホルダの素材は S i C、 シリ コンまたは石英で あることを特徴とする請求項 4から請求項 6のいずれか一項に記載され た熱処理用ゥエーハ支持具。
8 . 前記ピン孔を複数個設けたことを特徴とする請求項 4から請求項 7 のいずれか一項に記載された熱処理用ゥエーハ支持具。
9 . 前記ピン孔がスリ ッ ト状であることを特徴とする請求項 4から請求 項 8のいずれか一項に記載された熱処理用ゥエーハ支持具。
1 0 . 前記スリ ッ ト状ピン孔が前記ピンホルダの中心から放射状に配置 されていることを特徴とする請求項 9に記載された熱処理用ゥエーハ支 持具。
1 1 . 前記ピンホルダが円板状または円環状であることを特徴とする請 求項 4から請求項 1 0のいずれか一項記載の熱処理用ゥエーハ支持具。
1 2 . 前記ピンホルダが円板状であり、 その中心位置に円状ピン孔を設 けたことを特徴とする請求項 1 1に記載された熱処理用ゥエーハ支持具
1 3 . 前記ゥ ーハ支持部材は、 前記熱処理するゥエーハとの接触部と しての回転体を含み、 該回転体は、 前記ゥエーハ支持部材または前記支 持部材ホルダに形成された回転体収容孔に収容され、 前記熱処理するゥ エーハとの摩擦力により回転可能に構成されていることを特徴とする請 求項 1または請求項 2に記載された熱処理用ゥエーハ支持具。
1 4 . 前記回転体は、 球形、 楕円球形、 円筒形又は円柱形のいずれかの 形状であることを特徴とする請求項 1 3に記載された熱処理用ゥエーハ 支持具。
1 5 . 前記回転体収容孔がスリ ッ ト溝状であることを特徴とする請求項 1 3または請求項 1 4に記載された熱処理用ゥエーハ支持具。
1 6 . 前記スリ ッ ト溝状の回転体収容孔が、 前記支持部材ホルダの中心 から放射状に配置されていることを特徴とする請求項 1 5に記載された 熱処理用ゥ ーハ支持具。
1 7 . 前記支持部材ホルダが円板状または円環状であることを特徴とす る請求項 1 3から請求項 1 6のいずれか一項記載の熱処理用ゥエーハ支 持具。
1 8 . 前記回転体の素材は S i C、 シリ コンまたは石英であることを特 徴とする請求項 1 3から請求項 1 7のいずれか一項記載の熱処理用ゥェ ーハ支持具。
1 9 . 前記支持部材ホルダを複数保持する支柱と、 該支柱を保持するべ ースとを更に有することを特徴とする請求項 1から請求項 1 8のいずれ か一項に記載された熱処理用ゥエーハ支持具。
2 0 . 前記支持部材ホルダは前記支柱から取り外し可能に構成されるこ とを特徴とする請求項 1 9に記載された熱処理用ゥエーハ支持具。
2 1 . 前記支柱おょぴベースの素材は S i C、 シリ コンまたは石英であ ることを特徴とする請求項 1 9または請求項 2 0に記載された熱処理用 ゥエーハ支持具。
2 2 . 請求項 1から請求項 2 1のいずれか一項に記載された熱処理用ゥ エーハ支持具を有する熱処理装置。
PCT/JP2004/003858 2003-03-26 2004-03-22 熱処理用ウェーハ支持具及び熱処理装置 WO2004086496A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2005504041A JP4363401B2 (ja) 2003-03-26 2004-03-22 熱処理用ウェーハ支持具及び熱処理装置
US10/549,805 US7393207B2 (en) 2003-03-26 2004-03-22 Wafer support tool for heat treatment and heat treatment apparatus
EP04722467A EP1608011A4 (en) 2003-03-26 2004-03-22 HEAT TREATMENT PURCHASE TOOL HOLDING TOOL AND HEAT TREATMENT DEVICE

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003085137 2003-03-26
JP2003-085137 2003-03-26

Publications (1)

Publication Number Publication Date
WO2004086496A1 true WO2004086496A1 (ja) 2004-10-07

Family

ID=33095016

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/003858 WO2004086496A1 (ja) 2003-03-26 2004-03-22 熱処理用ウェーハ支持具及び熱処理装置

Country Status (7)

Country Link
US (1) US7393207B2 (ja)
EP (1) EP1608011A4 (ja)
JP (1) JP4363401B2 (ja)
KR (1) KR100877129B1 (ja)
CN (1) CN100352032C (ja)
TW (1) TW200501306A (ja)
WO (1) WO2004086496A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007012885A (ja) * 2005-06-30 2007-01-18 Ushio Inc 加熱ユニット
JP2008166763A (ja) * 2006-12-27 2008-07-17 Siltron Inc ウェハーの熱処理時のスリップ転位を防止することができるウェハー支持ピン及びウェハーの熱処理方法
US7564536B2 (en) 2005-11-08 2009-07-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2015065458A (ja) * 2006-11-15 2015-04-09 マトソン テクノロジー、インコーポレイテッド 熱処理中の被加工物を支持するシステムおよび方法
JP2015103717A (ja) * 2013-11-26 2015-06-04 信越半導体株式会社 熱処理方法
JP2017139313A (ja) * 2016-02-03 2017-08-10 株式会社Screenホールディングス 熱処理用サセプタおよび熱処理装置
JP2018536988A (ja) * 2015-12-30 2018-12-13 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. ミリ秒アニールシステムにおける基板支持
WO2021241561A1 (ja) * 2020-05-29 2021-12-02 株式会社Screenホールディングス 熱処理装置

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7640267B2 (en) 2002-11-20 2009-12-29 Radar Networks, Inc. Methods and systems for managing entities in a computing device using semantic objects
US7977258B2 (en) * 2007-04-06 2011-07-12 Mattson Technology, Inc. Method and system for thermally processing a plurality of wafer-shaped objects
TWI393212B (zh) * 2007-07-27 2013-04-11 Han Yang Chen 熱處理用晶圓支持器
KR101405346B1 (ko) * 2008-01-04 2014-06-12 삼성디스플레이 주식회사 기판 지지대, 이를 포함하는 기판 처리 장치 및 기판 정렬방법
JP2009187990A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd プラズマ処理装置
JP5071217B2 (ja) * 2008-04-17 2012-11-14 信越半導体株式会社 縦型熱処理用ボートおよびそれを用いたシリコンウエーハの熱処理方法
WO2009155580A1 (en) * 2008-06-20 2009-12-23 University Of Georgia Research Foundation, Inc. Development of herbicide-resistant grass species
US20110214196A1 (en) * 2008-06-20 2011-09-01 University Of Georgia Research Foundation Development of herbicide-resistant grass species
US8042697B2 (en) 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
JP2010027959A (ja) * 2008-07-23 2010-02-04 Sumco Corp 高抵抗simoxウェーハの製造方法
US8186661B2 (en) * 2008-09-16 2012-05-29 Memc Electronic Materials, Inc. Wafer holder for supporting a semiconductor wafer during a thermal treatment process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TW201135372A (en) * 2009-10-20 2011-10-16 Nikon Corp Substrate supporting apparatus, substrate supporting member, substrate transfer apparatus, exposure apparatus, and device manufacturing method
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130067761A1 (en) * 2011-09-16 2013-03-21 Shenzhen China Star Optoelectronics Technology Co. Ltd. Drying apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9075266B2 (en) * 2012-04-19 2015-07-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Device for prebaking alignment film by using temperature-controllable pin to support substrate and method thereof
KR101354600B1 (ko) * 2012-07-24 2014-01-23 엘지디스플레이 주식회사 개선된 보트, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
FR2995394B1 (fr) * 2012-09-10 2021-03-12 Soitec Silicon On Insulator Dispositif de support d'une pluralite de substrats pour un four vertical
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN105140169B (zh) * 2015-07-29 2018-10-19 深圳市华星光电技术有限公司 一种支撑结构及真空设备
KR102386998B1 (ko) * 2015-07-30 2022-04-15 엘지디스플레이 주식회사 서포터 핀 및 이를 포함하는 열처리장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10072892B2 (en) * 2015-10-26 2018-09-11 Globalwafers Co., Ltd. Semiconductor wafer support ring for heat treatment
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US20190027392A1 (en) * 2017-07-19 2019-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate support apparatus and method
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102108296B1 (ko) * 2018-09-21 2020-05-12 세메스 주식회사 기판의 열처리 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021012944A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 基板処理装置及び基板の受け渡し方法
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220059394A1 (en) * 2020-08-24 2022-02-24 Taiwan Semiconductor Manufacturing Company Limited Method and device to reduce epitaxial defects due to contact stress upon a semicondcutor wafer
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02139935A (ja) * 1988-11-21 1990-05-29 Nec Corp 半導体製造装置
JPH07161654A (ja) 1993-12-01 1995-06-23 Tokyo Electron Ltd 熱処理用ボート
JPH08107081A (ja) 1994-10-03 1996-04-23 Toshiba Ceramics Co Ltd 縦型ボート
JPH09129567A (ja) * 1995-10-30 1997-05-16 N T T Electron Technol Kk 縦型ウエハボートのウエハ支持構造
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
WO2001056064A1 (de) 2000-01-28 2001-08-02 Steag Rtp Systems Gmbh Vorrichtung zum thermischen behandeln von substraten
JP2002164300A (ja) 2000-11-29 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
US20020084566A1 (en) 2000-12-28 2002-07-04 Ries Michael J. Semiconductor wafer holder
US20030029570A1 (en) 2000-10-16 2003-02-13 Keisuke Kawamura Wafer holder, wafer support member, wafer holding device, and heat treating furnance

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270961B1 (en) * 1987-04-01 2001-08-07 Hyseq, Inc. Methods and apparatus for DNA sequencing and DNA identification
US5128247A (en) * 1989-08-14 1992-07-07 Board Of Regents, The University Of Texas System Methods for isolation of nucleic acids from eukaryotic and prokaryotic sources
US5130423A (en) * 1990-07-13 1992-07-14 Microprobe Corporation Non-corrosive compositions and methods useful for the extraction of nucleic acids
IL108497A0 (en) * 1993-02-01 1994-05-30 Seq Ltd Methods and apparatus for dna sequencing
CA2223896A1 (en) * 1995-06-08 1996-12-27 Robert Hugh Don Method and apparatus for dna extraction
US5945515A (en) * 1995-07-31 1999-08-31 Chomczynski; Piotr Product and process for isolating DNA, RNA and proteins
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
JP2000353737A (ja) * 1999-06-14 2000-12-19 Toray Ind Inc 基板整列装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02139935A (ja) * 1988-11-21 1990-05-29 Nec Corp 半導体製造装置
JPH07161654A (ja) 1993-12-01 1995-06-23 Tokyo Electron Ltd 熱処理用ボート
JPH08107081A (ja) 1994-10-03 1996-04-23 Toshiba Ceramics Co Ltd 縦型ボート
JPH09129567A (ja) * 1995-10-30 1997-05-16 N T T Electron Technol Kk 縦型ウエハボートのウエハ支持構造
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
WO2001056064A1 (de) 2000-01-28 2001-08-02 Steag Rtp Systems Gmbh Vorrichtung zum thermischen behandeln von substraten
US20030029570A1 (en) 2000-10-16 2003-02-13 Keisuke Kawamura Wafer holder, wafer support member, wafer holding device, and heat treating furnance
JP2002164300A (ja) 2000-11-29 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
US20020084566A1 (en) 2000-12-28 2002-07-04 Ries Michael J. Semiconductor wafer holder

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1608011A4 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007012885A (ja) * 2005-06-30 2007-01-18 Ushio Inc 加熱ユニット
US7564536B2 (en) 2005-11-08 2009-07-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2015065458A (ja) * 2006-11-15 2015-04-09 マトソン テクノロジー、インコーポレイテッド 熱処理中の被加工物を支持するシステムおよび方法
JP2008166763A (ja) * 2006-12-27 2008-07-17 Siltron Inc ウェハーの熱処理時のスリップ転位を防止することができるウェハー支持ピン及びウェハーの熱処理方法
JP2015103717A (ja) * 2013-11-26 2015-06-04 信越半導体株式会社 熱処理方法
KR20160089342A (ko) * 2013-11-26 2016-07-27 신에쯔 한도타이 가부시키가이샤 열처리방법
KR102105367B1 (ko) 2013-11-26 2020-04-28 신에쯔 한도타이 가부시키가이샤 열처리방법
JP2018536988A (ja) * 2015-12-30 2018-12-13 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. ミリ秒アニールシステムにおける基板支持
JP2020057801A (ja) * 2015-12-30 2020-04-09 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. ミリ秒アニールシステムにおける基板支持
JP2017139313A (ja) * 2016-02-03 2017-08-10 株式会社Screenホールディングス 熱処理用サセプタおよび熱処理装置
WO2021241561A1 (ja) * 2020-05-29 2021-12-02 株式会社Screenホールディングス 熱処理装置

Also Published As

Publication number Publication date
TW200501306A (en) 2005-01-01
JPWO2004086496A1 (ja) 2006-06-29
TWI327759B (ja) 2010-07-21
US20070006806A1 (en) 2007-01-11
JP4363401B2 (ja) 2009-11-11
KR20060004652A (ko) 2006-01-12
CN100352032C (zh) 2007-11-28
EP1608011A4 (en) 2010-07-21
US7393207B2 (en) 2008-07-01
EP1608011A1 (en) 2005-12-21
KR100877129B1 (ko) 2009-01-07
CN1748301A (zh) 2006-03-15

Similar Documents

Publication Publication Date Title
WO2004086496A1 (ja) 熱処理用ウェーハ支持具及び熱処理装置
KR100296365B1 (ko) 실리콘단결정웨이퍼의열처리방법과그열처리장치및실리콘단결정웨이퍼와그제조방법
TWI242248B (en) Holder and method for thermal treating semiconductor substrate
KR101537960B1 (ko) 종형 열처리용 보트 및 이를 이용한 실리콘 웨이퍼의 열처리 방법
TW200845223A (en) Manufacturing method of silicon single crystal wafer
TW200834800A (en) Method of supporting silicon wafer, jig for heat-treatment and heat-treated wafer
JP2004304075A (ja) シリコンウェーハ熱処理治具およびシリコンウェーハ熱処理方法
TWI338317B (en) Cylinder for thermal processing chamber
TW200933707A (en) Method for manufacturing epitaxial wafer
JP2014034485A (ja) 単結晶の製造方法
JP2000269150A (ja) 半導体ウエハ加熱処理用治具及びこれを用いた半導体ウエハ加熱処理用装置
JPH08148552A (ja) 半導体熱処理用治具及びその表面処理方法
TW200413581A (en) SOI wafer and method for manufacturing SOI wafer
JP3357311B2 (ja) 半導体製造装置におけるウェハ支持装置
JP5396737B2 (ja) エピタキシャルシリコンウェーハ及びその製造方法
JP3687578B2 (ja) 半導体シリコン基板の熱処理治具
JP2002164300A (ja) 半導体ウェーハの製造方法
JP4396105B2 (ja) 縦型熱処理用ボート及び半導体ウエーハの熱処理方法
JPH11186184A (ja) 高品質シリコンウェーハの製造方法
JP2003100648A (ja) 半導体ウエハ熱処理用治具
JP2004281842A (ja) 熱処理装置
JPS6112674Y2 (ja)
TW202336817A (zh) 用於製備具電荷捕捉層之支撐底材之方法
TW202329362A (zh) 製造裝配有電荷捕捉層之載體基板之方法
TW202342836A (zh) 在複數個基板上形成磊晶堆疊之方法及非暫態電腦可讀取媒體

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057013487

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2005504041

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 20048034873

Country of ref document: CN

REEP Request for entry into the european phase

Ref document number: 2004722467

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2004722467

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2007006806

Country of ref document: US

Ref document number: 10549805

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 2004722467

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057013487

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 10549805

Country of ref document: US