KR20060004652A - 열처리용 웨이퍼 지지구 및 열처리 장치 - Google Patents

열처리용 웨이퍼 지지구 및 열처리 장치 Download PDF

Info

Publication number
KR20060004652A
KR20060004652A KR1020057013487A KR20057013487A KR20060004652A KR 20060004652 A KR20060004652 A KR 20060004652A KR 1020057013487 A KR1020057013487 A KR 1020057013487A KR 20057013487 A KR20057013487 A KR 20057013487A KR 20060004652 A KR20060004652 A KR 20060004652A
Authority
KR
South Korea
Prior art keywords
heat treatment
wafer
pin
wafer support
support tool
Prior art date
Application number
KR1020057013487A
Other languages
English (en)
Other versions
KR100877129B1 (ko
Inventor
마사유키 이마이
Original Assignee
신에쯔 한도타이 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 신에쯔 한도타이 가부시키가이샤 filed Critical 신에쯔 한도타이 가부시키가이샤
Publication of KR20060004652A publication Critical patent/KR20060004652A/ko
Application granted granted Critical
Publication of KR100877129B1 publication Critical patent/KR100877129B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 고온 열처리에 의한 흠이나 슬립 전위의 발생이 없고, 가공이 용이하여 비용을 저감할 수 있는 열처리용 웨이퍼 지지구 및 열처리 장치를 제공한다. 본 발명은 적어도, 열처리하는 웨이퍼를 지지하는 복수의 웨이퍼 지지부재와 상기 지지부재를 보지하는 지지부재 홀더를 가지는 열처리용 웨이퍼 지지구로서, 상기 복수의 웨이퍼 지지부재 중 적어도 하나의 지지부재는 상기 웨이퍼의 접촉부가 상기 지지부재 홀더에 대해 움직일 수 있도록 했다.
열처리, 웨이퍼, 지지, 접촉부

Description

열처리용 웨이퍼 지지구 및 열처리 장치{Heat treatment-purpose wafer support tool, and heat treatment device}
본 발명은 반도체 웨이퍼, 예를 들면 실리콘 웨이퍼 등의 웨이퍼를 열처리할 때 이용되는 열처리용 웨이퍼 지지구 및 열처리 장치에 관한 것이다.
배치(Batch)식 열처리용 웨이퍼 지지구에 있어서, 보트라 불리는 지주(支柱)에 홈을 가지는 구조물의 홈에 복수의 웨이퍼를 평행하게 수납ㆍ보존하는 형식이 일반적으로 채용되고 있다. 특히, 1000℃ 이상의 고온 열처리에 있어서는 내열성 등때문에 웨이퍼 지지구의 소재로서 SiC가 일반적으로 채용되어 있고, 다이아몬드 카터 등으로 지주에 직접 홈을 절삭가공하는 방법이 사용되고 있다.
그러나 이 방법에서는 가공 가능한 형상에 제한을 받기 때문에 곡면 등 복잡한 형상의 가공은 곤란하다. 또한, 절삭가공 중에 홈 면에 바리가 발생하기 쉽다는 문제도 있다. 더욱이, 발생한 바리를 제거하기 위한 추가 공정이 곤란하다는 것이나, 웨이퍼가 접촉하는 홈의 표면의 거칠기를 저감하기 위한 연마가 곤란한 것 때문에 열처리 중에 웨이퍼와의 접촉부에 흠이나 슬립 전위가 발생하는 문제가 있다 .(일본 특개 평 7-161654호 공보, 특개 평 8-107081호 공보). 또한, 가공 중에 지주가 파손되기 쉽고 많은 노력과 가공시간이 필요하기 때문에 생산성이 악화되어 비용 저감이 곤란하다.
상기 배치식 열처리는 저항가열(히터가열)에 의해 여러 장의 웨이퍼를 한번에 열처리하는 것인데, 이러한 배치식 열처리 장치 외에, 최근에는 주로 매엽처리에 이용되며 램프 가열 방식 등에 의한 급속가열ㆍ급속냉각 열처리를 행하는 RTP(Rapid Thermal Processing) 장치도 빈번히 이용되어 왔다.
RTP 장치를 이용한 열처리로는 예를 들면, 웨이퍼 표면의 결함을 없애기 위한 열처리, 산소 도너를 제거시키는 열처리, 얕은 확산층을 형성하기 위한 열처리(RTA : Rapid Thermal Annealing), 혹은 얇은 산화막을 형성하기 위한 열처리(RTO : Rapid Thermal Oxidation) 등을 들 수 있다. 또한, 램프 가열을 이용한 매엽식 에픽택셜 성장이나 절연막 등의 기상성장(氣相成長)도 광의로는 RTP 장치를 이용한 열처리에 포함시킬 수 있다.
이러한 RTP 처리에 있어서도 배치식 열처리 장치와 동일하게 열처리를 위한 웨이퍼 지지구(서셉터라 불리는 경우도 있다)가 이용되며 웨이퍼 지지구와 웨이퍼와의 접점에서 슬립 전위가 발생하기 쉽다는 문제가 있다(특개 2002-164300호 공보).
본 발명은 상기 과제를 해결하기 위한 것으로 고온 열처리에 의한 흠이나 슬립 전위의 발생이 없고, 가공이 용이하여 비용을 저감할 수 있는 열처리용 웨이퍼 지지구 및 열처리 장치를 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해 본 발명의 열처리용 웨이퍼 지지구는 적어도 열처리하는 웨이퍼를 지지하는 복수의 웨이퍼 지지부재와 상기 지지부재를 보지(保持)하는 지지부재 홀더를 가지는 열처리용 웨이퍼 지지구로서, 상기 복수의 웨이퍼 지지부재 중 적어도 일부의 지지부재는 상기 웨이퍼와의 접촉부가 상기 지지부재 홀더에 대해 움직일 수 있는 것을 특징으로 한다.
상기 접촉부의 형상은 열처리하는 웨이퍼에 대해 볼록한 곡면이며, 특히 구형 또는 타원구형인 것이 바람직하다. 상기 웨이퍼 지지부재는 핀으로 이루어지며, 상기 지지부재 홀더는 상기 핀을 보지하는 핀 홀더로 이루어지고, 핀은 핀 홀더에 형성된 핀 구멍에 끼워 넣어 배치되는 구성으로 하는 것이 바람직하다. 핀은 핀 홀더에서 빼낼 수 있도록 구성되는 것이 바람직하고, 또한 원기둥상의 소재를 가공하여 형성되는 것이 바람직하다. 핀 및 핀 홀더의 소재로는 SiC, 실리콘 또는 석영을 들 수 있다. 핀 구멍은 바람직하게는 복수 개 설치되고 그 핀 구멍의 형상은 슬릿상으로 되는 것이 바람직하다. 슬릿상 핀 구멍은 핀 홀더의 중심에서 방사상으로 배치되는 것이 바람직하다. 핀 홀더는 바람직하게는 원판상(圓板狀) 또는 원환상(圓環狀)이고 핀 홀더가 원판상인 경우에는 그 중심 위치에 원상 핀 구멍을 설치한 구성으로 하는 것이 바람직하다.
상기 웨이퍼 지지부재로는 열처리하는 웨이퍼와의 접촉부로서의 회전체를 포함하고, 회전체는 웨이퍼 지지부재 또는 지지부재 홀더에 형성된 회전체 수용 구멍에 수용되며 열처리하는 웨이퍼와의 마찰력에 의해 회전 가능하게 구성할 수 있다.
상기 회전체는 구형, 타원구형, 원통형 또는 원기둥형 중의 한 형상으로 하는 것이 바람직하다. 상기 회전체 수용 구멍은 슬릿 홈상인 것이 바람직하며 이 슬릿 홈상의 회전체 수용 구멍은 바람직하게는 상기 지지부재 홀더의 중심에서 방사상으로 배치된다. 지지부재 홀더는 원판상 또는 원환상인 것이 바람직하다. 회전체의 소재로는 SiC, 실리콘 또는 석영을 사용할 수 있다.
본 발명의 열처리용 웨이퍼 지지구로는 지지부재 홀더를 복수(複數) 보지하는 지주와, 지주를 보지하는 베이스를 더 가지는 구성으로 할 수 있다. 지지부재 홀더는 지주에서 빼낼 수 있도록 구성되는 것이 바람직하다. 지주 및 베이스의 소재로는 SiC, 실리콘 또는 석영을 사용할 수 있다.
본 발명의 열처리 장치는 상기한 본 발명의 열처리용 웨이퍼 지지구를 구비하는 것이다.
도 1은 본 발명의 열처리용 웨이퍼 지지구의 제 1 실시의 형태를 나타내는 측면 설명도이다.
도 2는 도 1의 구성에서 상(上)베이스 및 상측의 웨이퍼를 빼낸 상태를 나타내는 상면 설명도이다.
도 3은 핀의 제조 태양을 나타내는 설명도로, (a)는 원기둥상의 핀 소재, (b1)은 핀의 완성품의 1 예, (b2)는 핀의 완성품의 또 다른 예를 각각 나타낸다.
도 4는 본 발명의 열처리용 웨이퍼 지지구에 사용되는 핀 홀더의 1 구조예를 나타내는 상면도이다.
도 5는 도 2의 V-V선 확대 단면도이다.
도 6은 도 2의 VI-VI선 확대 단면도로, (a)는 핀이 똑바로 서 있는 상태, (b)는 핀이 외주 방향으로 기울어진 상태, (c)는 핀이 중심 방향으로 기울어진 상태, (d)는 핀의 하단부에 알(R)을 형성시킨 형태를 각각 나타낸다.
도 7은 본 발명의 열처리용 웨이퍼 지지구의 제 2 실시의 형태를 나타내는 측면 설명도이다.
도 8은 본 발명의 열처리용 웨이퍼 지지구에 사용되는 핀 홀더의 또 다른 구조예를 나타내는 상면도이다.
도 9는 본 발명의 열처리용 웨이퍼 지지구의 제 3 실시의 형태를 나타내는 요부(要部)의 측면적 설명도로, (a)는 웨이퍼 지지 상태의 1 예, (b)는 (a)의 상태에서 웨이퍼가 신장(伸長)하여 웨이퍼 지지부재인 회전체가 회전한 상태를 각각 나타낸다.
도 10은 웨이퍼 지지부재인 여러 가지 형상의 회전체의 상면도로, (a)는 구형, (b)는 타원구형, (c)는 원기둥형 또는 원통형을 각각 나타낸다.
도 11은 본 발명의 열처리용 웨이퍼 지지구의 제 4 실시의 형태를 나타내는 요부의 측면적 설명도로, (a)는 웨이퍼 지지 상태의 1 예, (b)는 (a)의 상태에서 웨이퍼가 신장하여 웨이퍼 지지부재인 회전체가 회전한 상태를 각각 나타낸다.
도 12는 종형(縱型) 열처리 노(爐)의 1 예를 나타내는 개략 설명도이다.
도 13은 RTP 장치의 1 예를 나타내는 개략 설명도이다.
도 14는 실시예 2의 설명도로, (a)는 사용한 열처리용 웨이퍼 지지구의 상면도, (b)는 열처리 후의 웨이퍼를 X선 토포그래프법을 이용하여 관찰한 결과를 나타내는 사진이다.
도 15는 비교예 1의 설명도로, (a)는 사용한 웨이퍼 홀더에 웨이퍼를 얹은 상태를 나타내는 단면적 설명도, (b)는 열처리 후의 웨이퍼를 X선 토포그래프법을 이용하여 관찰한 결과를 나타내는 사진이다.
도 16은 실시예 3의 설명도로, (a)는 사용한 열처리용 웨이퍼 지지구의 상면도, (b)는 열처리 후의 웨이퍼를 X선 토포그래프법을 이용하여 관찰한 결과를 나타내는 사진이다.
[발명을 실시하기 위한 최선의 형태]
이하에 본 발명의 실시의 형태를 첨부도면에 기초하여 설명하지만, 도시 예는 예시적으로 나타내어지는 것으로, 본 발명의 기술 사상에서 일탈하지 않는 한 여러 가지 변형이 가능함은 말할 것도 없다.
도 1에 있어서, 10은 본 발명에 관한 열처리용 웨이퍼 지지구이다. 웨이퍼 지지구(10)는 상하 방향으로 서로 마주보게 설치된 상하 한 쌍의 베이스(12, 14) 및 상하 베이스(12, 14) 사이에 세워진 복수 개(도 2의 예에서는 3개)의 지주(16)를 가진다.
상베이스(12)의 하면에 뚫어진 수용 구멍(18) 및 하(下)베이스(14)의 상면에 뚫어진 수용 구멍(20)에 지주(16)의 상단부 및 하단부가 각각 끼워져 장착되는 구조로 하는 것에 의해 지주(16)는 베이스(12, 14)에서 빼내는 것이 가능하도록 보지되어 있다.
22는 열처리하는 웨이퍼(W)를 지지하기 위해 사용되는 웨이퍼 지지부재인 핀이다. 핀(22)은 도 3 (b1), (b2)에 잘 나타나 있는 것처럼, 선단부에는 웨이퍼(W)를 지지하는 접촉부(26)가 설치되어 있고 기단부에는 감착부(嵌着部)(28)가 설치되어 있다.
핀(22)의 접촉부(26)의 형상은 지지되는 웨이퍼(W)에 대해 볼록한 곡면인 것이 바람직하다. 도 1, 도 2 및 도 3 (b1)에 나타낸 예에서는 접촉부(26)의 형상이 구형인 경우가 도시되어 있다.
이 접촉부(26)의 형상은 상술한 것처럼 지지되는 웨이퍼(W)에 대해 볼록한 곡면이라면 구형 이외의 형상을 채용할 수 있는 것은 말할 것도 없고, 도 3 (b2) 및 도 7의 제 2 실시의 형태에 있어서 나타내는 것처럼 접촉부(26)를 타원구형으로 할 수 있다. 또한, 도 7에 있어서, 도 1과 다른 점은 핀(22)의 형상뿐이고, 구조에 대한 두 번째 설명은 생략하는데, 도 1의 부재와 동일 또는 유사부재는 동일한 부호로 나타내었다.
지주(16)의 측면에는 동일한 높이로 삽입 홈(30)이 설치되어 있다. 32는 핀(22)을 착탈(着脫) 가능하게 보지하는 지지부재 홀더인 핀 홀더로, 그 형상은 특별히 한정되지 않지만, 도 2 및 도 4에는 원판상으로 한 경우가 나타나 있다. 삽입 홈(30)에 핀 홀더(32)를 삽입하는 것에 의해 핀 홀더(32)는 지주(16)에 대해 빼내는 것이 가능하게 삽입ㆍ보지된다. 또한, 핀 홀더(32)는 도 2 및 도 4에 나타낸 것처럼 원판상으로 하거나 도 8에 나타낸 것처럼 중앙부에 개구부(33)를 뚫은 원환상으로 형성할 수도 있다.
도 2 및 도 4에 나타낸 것처럼, 원판상 핀 홀더(32)에는 핀(22)의 감착부(28)에 대응하여 원상 감착 구멍(34a) 및 슬릿상 감착 구멍(34b)이 뚫려 있다. 이들 감착 구멍(핀 구멍)은 관통 구멍이어도 좋고, 바닥이 있는 구멍이어도 좋다. 원판상 핀 홀더(32)의 중심부에 설치된 원상 감착 구멍(34a)에 감착부(28)를 끼워 넣는 것에 의해 핀(22)은 원판상 핀 홀더(32)에 빼내는 것이 가능하게 보지된다. 또한, 원판상 핀 홀더(32)의 중심부에서 방사상으로 설치된 슬릿상 감착 구멍(34b)에 감착된 핀(22)은 열처리 프로세스 중인 웨이퍼(W)의 신축방향의 움직임에 대해 핀(22)과 웨이퍼(W)와의 접촉면에 발생하는 응력을 완화하도록 웨이퍼(W)의 신축방향 즉, 원판상 핀 홀더(32)의 중심에서 외주 방향[도 6(b)], 또는 그 반대 방향 즉, 중심 방향[도 6(c)]으로 원판상 핀 홀더(32)에 대해 상대적으로 수mm 정도 움직일 수 있는 구조로 되어 있다. 이 경우, 핀(22)을 움직이기 쉽게 하기 위해 그 감착부(28)의 하단부의 둘레에는 도 6 (d)에 나타내는 것처럼 알(R)이라 불리는 둥그스름한 모양을 형성해 두는 것이 바람직하다.
또한, 도 2, 도 4 및 도 8에 나타낸 예에 있어서는 지주(16)의 설치 개수를 3개로 한 경우를 나타냈는데, 지주(16)의 설치 개수는 베이스(12, 14)를 지지할 수 있는 개수이면 특별히 한정되지 않는다.
핀(22)은 도 3 (a), (b1), (b2)에 나타낸 것처럼, 원기둥상의 핀 소재(22a)를 선반(旋盤)으로 연마 가공하는 것에 의해 용이하게 얻을 수 있고, 핀(22) 특히 그 접촉부(26)의 표면 거칠기를 용이하게 제어하는 것이 가능해진다. 핀 소재(22a)로는 직경 1~7mm 정도이고 길이 5~10mm 정도인 SiC, Si (단결정, 다결정), 석영 등을 사용할 수 있다. 또한, 지주(16) 및 베이스(12, 14)의 소재로는 SiC, 실리콘 또는 석영을 사용하는 것이 바람직하다.
도 2 및 도 4에 나타낸 원판상 핀 홀더(32)의 중심부에 설치된 원상 감착 구멍(34a)은 직경 1~7mm 정도의 원형이고, 핀 홀더(32)의 중심부에서 방사상으로 설치된 슬릿상 감착 구멍(34b)은 폭 1~7mm, 길이 4~21mm 정도로 구성된다. 슬릿상 감착 구멍(34b)은 그 슬릿의 길이 방향을 핀 홀더(32)의 중심부에서 방사상으로 3~24 군데 정도 배치된다(도 2 및 도 4에서는 6 군데). 핀 홀더(32)를 도 8과 같이 원환형으로 한 경우에는 핀 홀더(32)의 중심부에 설치되는 감착 구멍(34a)이 생략되는 것은 물론이지만, 핀 홀더(32)를 도 4와 같은 원판으로 한 경우에도 감착 구멍(34a)을 생략할 수도 있다.
상술한 것처럼, 본 발명의 웨이퍼 지지구에 있어서, 핀 선단부의 웨이퍼와의 접촉부의 형상이 웨이퍼에 대해 볼록한 곡면이 되도록 구성되어 있기 때문에 열처리 중에 웨이퍼와의 접촉부에 흠이나 슬립 전위가 발생하는 것이 없어지고, 따라서 생산성이 향상되어 비용 저감이 가능한 것이다.
핀(22)의 웨이퍼(W)와의 접촉부(26)는 그 접촉부(26)의 표면 거칠기를 별도로 추가 가공하여 접촉부(26)만을 원하는 표면 거칠기로 해도 좋다. 더욱이 핀(22) 의 형상과 감착 구멍(34a 34b)을 적절히 선택하는 것에 의해 웨이퍼(W)가 핀(22)과 접촉하는 위치(웨이퍼(W)의 면 내의 위치)나 접촉부(26)의 형상을 임의로 설계할 수 있다. 그래서 핀(22)만을 핀 홀더(32)에서 빼어내는 것이 가능한 구성을 채용하는 경우에는 세정이나 교환이 용이하고 또한, 표면을 재가공하여 재활용하거나 하는 것 등도 가능하다.
상기 각 실시의 형태에 있어서, 핀(22)은 핀 홀더(32)에 대응해 감착 구멍(34a, 34b)에 끼워져 착탈 가능하게 보지되며, 핀 홀더(32)는 지주(16)에 대해 삽입 홈(30)에 끼워져 착탈 가능하게 보지되며, 또한 지주(16)는 베이스(12, 14)에 대해 수용 구멍(18, 20)에 끼워져 착탈 가능하게 보지되는 구조를 예시했지만 필요에 따라 핀(22)을 핀 홀더(32)에 대해 착탈이 불가능하게 고정하고 핀 홀더(32)를 지주(16)에 대해 착탈이 불가능하게 고정하고 또한, 지주(16)를 베이스(12, 14)에 대해 착탈이 불가능하게 고정하는 것도 가능하다.
도 1~도 8에 나타낸 예에 있어서는, 웨이퍼 지지부재로 핀(22)을 사용한 경우를 나타냈지만, 웨이퍼 지지부재로는 핀(22) 이외의 부재를 사용하는 것도 가능하며, 도 9~도 11에 기초하여 이하에 설명한다.
도 9 (a), (b)에 있어서, 40은 웨이퍼 지지부재 홀더로, 본 발명에 관한 열처리용 웨이퍼 지지구를 구성한다. 지지부재 홀더(40)는 웨이퍼 지지부재(42)를 보지하고 있다. 이 웨이퍼 지지부재(42)는 지지부재 홀더(40)의 상면에 설치된 사다리꼴 부재(44)를 가지고 있다. 이 사다리꼴 부재(44)의 상면에는 회전체 수용 구멍(46)이 뚫어져 있다. 이 회전체 수용 구멍(46)에는 열처리하는 웨이퍼(W)와의 접촉 부로서의 회전체(48)가 회전 가능하게 끼워져 들어가 있다. 화살표(50)는 회전체(48)의 소정 위치를 나타내는 것이다. 이 회전체(48)의 형상에 관해서는 특별한 한정은 없지만, 도 10에 나타낸 것처럼 상면에서 보아 (a) 구형, (b) 타원구형, (c) 원기둥형 또는 원통형 등의 형상을 채용할 수 있다.
상기 구성에 의해 도 9 (a)에 나타낸 것처럼, 웨이퍼(W)가 회전체(48)에 의해 접촉ㆍ지지되고 있는 상태로 웨이퍼(W)의 열처리를 행하면 화살표(52)로 표시한 웨이퍼(W)가 늘어나는 방향으로 웨이퍼가 신장하고, 회전체(48)는 화살표(50)의 위치에서 분명한 것처럼, 도 9 (b)에 나타낸 것과 같이 회전하기 때문에 열처리 중의 웨이퍼(W)의 회전체와의 접촉부에 흠이나 슬립 전위가 발생하는 것을 억제할 수 있다.
또한, 도 9 (a), (b)의 예에서는 사다리꼴 부재(44)의 상면에 회전체 수용 구멍(46)을 뚫었지만, 이 사다리꼴 부재(44)를 생략하고 지지부재 홀더(40)의 상면에 회전체 수용 구멍(46)을 뚫어서, 이 회전체 수용 구멍(46)에 회전체(48)를 직접 끼워 넣는 구성으로 하는 것도 가능하다. 또한, 사다리꼴 부재(44) 대신에 다른 형상의 부재로 해도 좋다.
도 9 (a), (b)의 예에서는 회전체(48)를 사다리꼴 부재(44)의 회전체 수용 구멍(46)에 끼워 넣은 경우를 나타냈지만, 도 11 (a), (b)에 나타낸 것처럼 이 회전체 수용 구멍(46)의 형상을 슬릿 홈상으로 하고 이 슬릿 홈상 회전체 수용 구멍(46)에 회전체(48)를 자유롭게 회전 가능하도록 수용하는 구성으로 하는 것도 가능하다. 이 경우, 슬릿 홈상 회전체 수용 구멍(46)은 지지부재 홀더(40)의 중심에서 방사선상으로 배치되는 것이 바람직하다. 이 구성에 의해 도 11 (a)에 나타낸 것처럼 웨이퍼(W)가 회전체(48)에 의해 접촉ㆍ지지되고 있는 상태로 웨이퍼(W)의 열처리를 행하면 화살표(52)로 나타낸 웨이퍼(W)가 늘어나는 방향으로 웨이퍼(W)가 신장하고 회전체(48)는 화살표(50)의 위치에서 분명한 것처럼, 도 11 (b)에 나타낸 것과 같이 회전하기 때문에 도 9의 경우와 동일하게 열처리 중인 웨이퍼(W)의 회전체와의 접촉부에 흠이나 슬립 전위가 발생하는 것을 억제할 수 있다. 특히, 도 11의 구성에 있어서는 회전체(48)가 구르는 것을 이용하는 것이 되므로 이 경우의 구름마찰은 극히 작고, 마찰에 기인하는 흠이나 슬립 전위의 발생을 막는다는 점에서 큰 효과가 있다.
또한, 도 11 (a), (b)의 예에도 이 사다리꼴 부재(44)의 상면에 슬릿 홈상 회전체 수용 구멍(46)을 뚫었는데, 이 사다리꼴 부재(44)를 생략하고 지지부재 홀더(40)의 상면에 슬릿 홈상 회전체 수용 구멍(46)을 뚫고, 이 슬릿 홈상 회전체 수용 구멍(46)에 회전체(48)를 직접 자유롭게 회전 가능하도록 수용하는 구성으로 할 수도 있다.
본 발명의 열처리 장치는 상기 제 1부터 제 4 실시의 형태에 있어서 예시한 본 발명의 열처리용 웨이퍼 지지구를 구비한 열처리 장치이다.
이런 종류의 열처리 장치로는 예를 들면 도 12에 나타낸 것과 같은 종형 열처리 노가 알려져 있다. 같은 도면에 있어서 110은 종형 열처리 노이다. 이 열처리 노(110)는 동심상으로 배치된 히터(112)와, 그 내측에 배치된 프로세스 튜브(114)와, 웨이퍼를 복수 장 실어 놓는 보트(116)와, 그 보트(116)를 착탈ㆍ자재로 장착 하는 보온통(118)과, 그 하부에 있어서 열처리시에 프로세스 튜브(114)의 하단의 노구부(爐口部)(120)를 막는 덮개(122)와, 상기 보트(116), 보온통(118) 및 덮개(122)를 프로세스 튜브(114)의 내부를 향하여 올리고 내리는 도면에는 나타나지 않은 승강 수단으로 되어 있다. 또한, 115는 프로세스 튜브(114)의 하단부에 설치된 플랜지부(部)이다. 이 종형 열처리 노(110)에 있어서 보트(116)에 본 발명의 열처리용 웨이퍼 지지구를 적용하는 것에 의해 이 종형 열처리 노(110)를 본 발명의 열처리 장치로서 이용할 수 있다.
또한, 이런 종류의 열처리 장치로서 급속가열, 급속냉각(RTP) 장치를 이용할 수도 있다. RTP 장치의 1 예를 도 13으로 설명한다. 도 13은 RTP 장치의 1 예를 나타내는 개략 설명도이다. 도 13에 있어서 210은 열처리 장치, 다시 말하면 RTP 장치이다. 이 열처리 장치(210)는 석영으로 된 챔버(211)를 가지고 이 챔버(211) 내에서 웨이퍼(W)를 열처리하도록 되어 있다. 가열은 챔버(211)를 상하좌우에서 주위를 둘러싸도록 배치된 가열 펌프(212)로 행한다. 이 가열 펌프(212)는 각각 독립적으로 공급되는 전력을 제어할 수 있도록 되어 있다.
이 챔버(211)의 가스의 도입측에는 가스 도입구(219)가 설치되고 가스의 배기측에는 오토 셔터(213)가 마련되어 외부 공기를 봉쇄하고 있다. 오토 셔터(213)에는 게이트 밸브에 의해 개폐 가능하게 구성되는 도면에는 나타내지 않은 웨이퍼 삽입구가 설치되어 있다. 또한, 오토 셔터(213)에는 가스 배기구(220)가 설치되어 있고, 노내 분위기를 조정할 수 있도록 되어 있다.
그러므로 웨이프(W)는 지지 지그, 예를 들면 석영 트레이(214)에 형성된 3점 지지부(215) 위에 배치된다. 석영 트레이(214)의 가스 도입구측에는 석영제의 버퍼(216)가 설치되어 있고 가스 도입구(219)에서 도입된 가스가 웨이퍼(W)에 직접 닿는 것을 막을 수 있다.
또한 챔버(211)에는 도면에는 나타내지 않은 온도 측정용 특수창이 설치되어 있고, 챔버(211)의 외부에 설치된 파이로미터(217)에 의해 그 특수창을 통해 웨이퍼(W)의 온도를 측정할 수 있다.
이 RTA 장치(210)에 있어서, 웨이퍼 지지구 예를 들면, 석영 트레이(214) 대신에 본 발명의 열처리용 웨이퍼 지지구를 적용하는 것에 의해 이 RTA 장치(210)를 본 발명의 열처리 장치로 이용할 수 있다.
이하에 실시예를 들어 본 발명을 더욱 구체적으로 하겠지만, 실시예는 예시로서 보여지는 것으로, 한정적으로 해석되지 않음은 말할 것도 없다.
실시예 1
베이스, 지주, 핀의 소재로 SiC를 사용하여, 도 1 및 도 2에 기재된 열처리용 웨이퍼 지지구를 제작했다. 그 때, 핀은 직경 5mm, 길이 10mm인 원기둥상의 재료를 사용하여 그 선단부에 직경 약 5mm인 구상의 접촉부를 형성하고 기단부는 직경 약 3mm로 했다. 또한, 방사상으로 설치된 6군데의 슬릿상 핀 구멍은 그 중앙부가 핀 홀더의 중심에서 110mm 위치에 배치되도록 형성했다.
이러한 열처리용 웨이퍼 지지구를 이용하여 직경 300mm, 결정방위 <100>, p형, 약 10Ωcm인 CZ 실리콘 단결정 웨이퍼의 열처리를 행했다. 웨이퍼와의 접촉부 에서 흠이나 슬립 전위의 발생 상황을 조사했다.
열처리 조건은 아르곤 100% 분위기 하, 1200℃, 1시간으로 하고 웨이퍼의 투입 및 꺼내는 온도는 700℃로 했다.
열처리 후의 웨이퍼는 X선 토포그래프법을 이용하여 웨이퍼 지지구와 웨이퍼와의 접촉부에 있는 흠이나 슬립 전위의 발생 상황을 조사한 결과, 이러한 발생은 거의 발견되지 않았다.
실시예 2
베이스, 지주, 핀의 소재로 SiC를 사용하여 도 1에 기재된 것처럼 열처리용 웨이퍼 지지구를 제작했다. 다만, 핀 홀더(32)는 직경 320mm, 두께 1mm로 하고, 핀을 끼워 넣는 슬릿(34b)은 폭 3.5mm, 길이 9mm인 관통 구멍으로 하고, 도 14 (a)에 나타낸 것처럼 반경 140mm 위치에 120˚ 간격으로 3군데, 반경 120mm 위치에 120˚ 간격으로 3군데, 또한 반경 60mm 위치에 120˚ 간격으로 3군데로 총 9군데를 각각 방사상으로 형성하고 그 9군데 전부에 가동(可動) 핀을 배치했다. 그 때, 핀은 직경 8mm, 길이 10mm인 원기둥상의 소재를 사용하여, 그 선단부에 직경 약 6mm인 구상의 접촉부를 형성하고 기단부는 직경 약 3mm로 했다. 핀과 웨이퍼의 접촉 면적은 9군데를 합하여도 10mm2 이하이다.
이러한 열처리용 웨이퍼 지지구를 이용하여 직경 300mm, 결정방위 <100>, p형, 약 10Ωcm인 CZ 실리콘 단결정 웨이퍼의 열처리를 행하고, 웨이퍼와의 접촉부 에 있어서 슬립 전위의 발생 상황을 조사했다.
열처리 조건은 SIMOX 웨이퍼 제작용인 고온 장시간 열처리를 상정하여 아르곤과 산소의 혼합가스 분위기 하에서 1350℃, 8시간으로 하고, 웨이퍼의 투입 및 꺼내는 온도는 600℃로 했다. 또한, SIMOX(Separation by Ion-implanted Oxigen)은 SOI(Silicon On Insulator) 웨이퍼의 제작 방법의 하나로, 실리콘 단결정 웨이퍼 내로 산소 이온을 주입하여 열처리하는 것에 의해 실리콘 단결정 웨이퍼 내부에 SiO2 층을 형성하는 방법이다. 열처리 후의 웨이퍼를 X선 토포그래프법을 이용하여 관찰한 결과를 도 14 (b)에 나타낸다. 도 14 (b)에 따르면, 열처리 조건은 1350℃, 8시간으로 극대화하여 과혹(過酷)함에도 불구하고 슬립 전위의 발생은 거의 발견되지 않았다. 또한, 도 14 (a), (b)에 있어서 60은 웨이퍼의 노치 위치를 나타내는 화살표이다.
비교예 1
도 15 (a)에 나타내는 것과 같은 종래의 웨이퍼 홀더(70)(웨이퍼와의 접촉부는 고리 형상이고, 접촉 면적은 약 25000mm2)를 사용하고 실시예 2와 동일한 사양의 웨이퍼(W)를 사용하여 동일한 열처리 조건에서 열처리를 행하고, X선 토포그래프법을 이용하여 슬립 전위를 관찰한 결과를 도 15 (b)에 나타낸다.
도 15 (b)에서 분명한 것처럼, 종래의 웨이퍼 홀더를 사용한 경우에는 슬립 전위가 많이 발생하고 있는 것을 알 수 있다. 또한, 도 14 (b)와 비교하면 그 차이 는 현저하며 본 발명의 웨이퍼 지지구의 내(耐)슬립 특성이 극도로 높은 것을 알 수 있다.
실시예 3
가동 핀의 효과를 확인하기 위해, 실시예 2에서 사용한 핀 홀더(32)에 있어서, 반경 120mm 위치에 120˚ 간격으로 3군데의 핀만을 고정 핀(22f)으로 한 것을 별도로 제작하고(도 16(a)), 이것을 사용하여 실시예 2와 동일한 조건에서 열처리를 행한 후, X선 토포그래프법을 이용하여 슬립 전위를 관찰한 결과를 도 16 (b)에 나타낸다. 도 16(a), (b)에 있어서, 60은 웨이퍼의 노치 위치를 나타내는 화살표이다.
도 16 (b)에 따르면, 9군데 전부를 가동 핀으로 한 경우의 도 14 (b)와 비교하여 약간의 슬립 전위의 발생이 보이며, 그 슬립 전위의 발생 위치는 고정 핀의 위치와 거의 일치하고 있는 것을 알 수 있다. 따라서, 웨이퍼 지지구의 웨이퍼와의 접촉부의 가동성이 슬립 전위 억제 효과를 가지는 것이 확인되었다.
이와 같이, 웨이퍼 지지구의 웨이퍼와의 접촉부를 가동성으로 하면 슬립 전위 억제 효과가 얻어지기 때문에, 종래의 웨이퍼 지지구처럼 접촉부 전부가 고정되어 있는 경우와 비교하면, 실시예 3과 같이 적어도 접촉부의 일부를 가동성으로 하면 슬립 전위의 저감이라는 본 발명의 효과를 얻을 수 있다. 물론, 모든 접촉부를 가동성으로 하는 것이 가장 효과적이다.
이상 기술한 바와 같이, 본 발명의 열처리용 웨이퍼 지지구를 사용하여 웨이퍼의 열처리를 행하면, 고온 열처리에 따른 흠이나 슬립 전위를 효과적으로 억제할 수 있고, 본 발명의 열처리용 웨이퍼 지지구는 그 가공이 용이하기 때문에 제작 비용의 저감을 꾀할 수 있다는 큰 효과를 가져온다. 특히, 본 발명의 열처리용 웨이퍼 지지구는 SIMOX 웨이퍼와 같이, 극도의 고온ㆍ장시간 열처리에 대해 유효성이 높다.
또한, 이러한 열처리용 웨이퍼 지지구를 구비한 본 발명의 열처리 장치에 의하면, 고온 열처리 후에 흠이나 슬립 전위가 적은 웨이퍼를 제공할 수 있기 때문에 이 웨이퍼를 사용하여 제조되는 디바이스의 품질이나 수율을 향상시킬 수 있다.

Claims (22)

  1. 적어도 열처리하는 웨이퍼를 지지하는 복수의 웨이퍼 지지부재와 상기 지지부재를 보지하는 지지부재 홀더를 가지는 열처리용 웨이퍼 지지구로서, 상기 복수의 웨이퍼 지지부재 중 적어도 일부의 지지부재는 상기 웨이퍼와의 접촉부가 상기 지지부재 홀더에 대해 움직일 수 있는 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  2. 제 1항에 있어서, 상기 접촉부의 형상이 상기 열처리하는 웨이퍼에 대해 볼록한 곡면인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  3. 제 1항 또는 제 2항에 있어서, 상기 접촉부의 형상이 구형 또는 타원구형인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  4. 제 1항 내지 제 3항 중 어느 하나의 항에 있어서, 상기 웨이퍼 지지부재가 핀으로 이루어지고, 상기 지지부재 홀더가 상기 핀을 보지하는 핀 홀더로 이루어지고 상기 핀은 상기 핀 홀더에 형성된 핀 구멍에 끼워 넣어져 배치되는 것을 특징으 로 하는 열처리용 웨이퍼 지지구.
  5. 제 4항에 있어서, 상기 핀은 상기 핀 홀더에서 빼내는 것이 가능하게 구성되는 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  6. 제 4항 또는 제 5항에 있어서, 상기 핀은 원기둥상의 소재를 가공한 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  7. 제 4항 내지 제 6항 중 어느 하나의 항에 있어서, 상기 핀 및 상기 핀 홀더의 소재는 SiC, 실리콘 또는 석영인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  8. 제 4항 내지 제 7항 중 어느 하나의 항에 있어서, 상기 핀 구멍을 복수 개 설치한 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  9. 제 4항 내지 제 8항 중 어느 하나의 항에 있어서, 상기 핀 구멍이 슬릿상인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  10. 제 9항에 있어서, 상기 슬릿상 핀 구멍이 상기 핀 홀더의 중심에서 방사상으로 배치된 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  11. 제 4항 내지 제 10항 중 어느 하나의 항에 있어서, 상기 핀 홀더가 원판상 또는 원환상인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  12. 제 11항에 있어서, 상기 핀 홀더가 원판상이고, 그 중심위치에 원상 핀 구멍을 설치한 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  13. 제 1항 또는 제 2항에 있어서, 상기 웨이퍼 지지부재는 상기 열처리하는 웨이퍼와의 접촉부인 회전체를 포함하고, 상기 회전체는 상기 웨이퍼 지지부재 또는 상기 지지부재 홀더에 형성된 회전체 수용 구멍에 수용되며, 상기 열처리하는 웨이퍼와의 마찰력에 의해 회전 가능하게 구성되어 있는 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  14. 제 13항에 있어서, 상기 회전체는 구형, 타원구형, 원통형 또는 원기둥형 중의 한 형상인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  15. 제 13항 또는 제 14항에 있어서, 상기 회전체 수용 구멍이 슬릿 홈상인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  16. 제 15항에 있어서, 상기 슬릿 홈상의 회전체 수용 구멍이 상기 지지부재 홀더의 중심에서 방사상으로 배치되어 있는 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  17. 제 13항 내지 제 16항 중 어느 하나의 항에 있어서, 상기 지지부재 홀더가 원판상 또는 원환상인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  18. 제 13항 내지 제 17항 중 어느 하나의 항에 있어서, 상기 회전체의 소재는 SiC, 실리콘 또는 석영인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  19. 제 1항 내지 제 18항 중 어느 하나의 항에 있어서, 상기 지지부재 홀더를 복수 보지하는 지주와, 상기 지주를 보지하는 베이스를 더 가지는 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  20. 제 19항에 있어서, 상기 지지부재 홀더는 상기 지주에서 빼내는 것이 가능하게 구성되는 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  21. 제 19항 또는 제 20항에 있어서, 상기 지주 및 베이스의 소재는 SiC, 실리콘 또는 석영인 것을 특징으로 하는 열처리용 웨이퍼 지지구.
  22. 제 1항 내지 제 21항 중 어느 하나의 항에 따른 열처리용 웨이퍼 지지구를 가지는 열처리 장치.
KR1020057013487A 2003-03-26 2004-03-22 열처리용 웨이퍼 지지구 및 열처리 장치 KR100877129B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003085137 2003-03-26
JPJP-P-2003-00085137 2003-03-26

Publications (2)

Publication Number Publication Date
KR20060004652A true KR20060004652A (ko) 2006-01-12
KR100877129B1 KR100877129B1 (ko) 2009-01-07

Family

ID=33095016

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057013487A KR100877129B1 (ko) 2003-03-26 2004-03-22 열처리용 웨이퍼 지지구 및 열처리 장치

Country Status (7)

Country Link
US (1) US7393207B2 (ko)
EP (1) EP1608011A4 (ko)
JP (1) JP4363401B2 (ko)
KR (1) KR100877129B1 (ko)
CN (1) CN100352032C (ko)
TW (1) TW200501306A (ko)
WO (1) WO2004086496A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7640267B2 (en) 2002-11-20 2009-12-29 Radar Networks, Inc. Methods and systems for managing entities in a computing device using semantic objects
KR101274897B1 (ko) * 2010-01-14 2013-06-14 도쿄엘렉트론가부시키가이샤 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구
KR101405346B1 (ko) * 2008-01-04 2014-06-12 삼성디스플레이 주식회사 기판 지지대, 이를 포함하는 기판 처리 장치 및 기판 정렬방법
KR20180049119A (ko) * 2015-12-30 2018-05-10 맷슨 테크놀로지, 인크. 밀리세컨드 어닐 시스템 내의 기판 지지체
KR20200034855A (ko) * 2018-09-21 2020-04-01 세메스 주식회사 기판의 열처리 장치

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4935002B2 (ja) * 2005-06-30 2012-05-23 ウシオ電機株式会社 加熱ユニット
US7564536B2 (en) * 2005-11-08 2009-07-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2008058397A1 (en) * 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
KR100818842B1 (ko) * 2006-12-27 2008-04-01 주식회사 실트론 웨이퍼의 열처리시 슬립을 방지할 수 있는 웨이퍼 지지 핀및 웨이퍼의 열처리 방법
US7977258B2 (en) * 2007-04-06 2011-07-12 Mattson Technology, Inc. Method and system for thermally processing a plurality of wafer-shaped objects
TWI393212B (zh) * 2007-07-27 2013-04-11 Han Yang Chen 熱處理用晶圓支持器
JP2009187990A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd プラズマ処理装置
JP5071217B2 (ja) * 2008-04-17 2012-11-14 信越半導体株式会社 縦型熱処理用ボートおよびそれを用いたシリコンウエーハの熱処理方法
WO2009155580A1 (en) * 2008-06-20 2009-12-23 University Of Georgia Research Foundation, Inc. Development of herbicide-resistant grass species
US20110214196A1 (en) * 2008-06-20 2011-09-01 University Of Georgia Research Foundation Development of herbicide-resistant grass species
US8042697B2 (en) 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
JP2010027959A (ja) * 2008-07-23 2010-02-04 Sumco Corp 高抵抗simoxウェーハの製造方法
US8186661B2 (en) * 2008-09-16 2012-05-29 Memc Electronic Materials, Inc. Wafer holder for supporting a semiconductor wafer during a thermal treatment process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TW201135372A (en) * 2009-10-20 2011-10-16 Nikon Corp Substrate supporting apparatus, substrate supporting member, substrate transfer apparatus, exposure apparatus, and device manufacturing method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130067761A1 (en) * 2011-09-16 2013-03-21 Shenzhen China Star Optoelectronics Technology Co. Ltd. Drying apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9075266B2 (en) * 2012-04-19 2015-07-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Device for prebaking alignment film by using temperature-controllable pin to support substrate and method thereof
KR101354600B1 (ko) * 2012-07-24 2014-01-23 엘지디스플레이 주식회사 개선된 보트, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
FR2995394B1 (fr) * 2012-09-10 2021-03-12 Soitec Silicon On Insulator Dispositif de support d'une pluralite de substrats pour un four vertical
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6086056B2 (ja) * 2013-11-26 2017-03-01 信越半導体株式会社 熱処理方法
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN105140169B (zh) * 2015-07-29 2018-10-19 深圳市华星光电技术有限公司 一种支撑结构及真空设备
KR102386998B1 (ko) * 2015-07-30 2022-04-15 엘지디스플레이 주식회사 서포터 핀 및 이를 포함하는 열처리장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10072892B2 (en) * 2015-10-26 2018-09-11 Globalwafers Co., Ltd. Semiconductor wafer support ring for heat treatment
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6637321B2 (ja) * 2016-02-03 2020-01-29 株式会社Screenホールディングス 熱処理用サセプタおよび熱処理装置
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021012944A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 基板処理装置及び基板の受け渡し方法
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP2021190552A (ja) * 2020-05-29 2021-12-13 株式会社Screenホールディングス 熱処理装置
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220059394A1 (en) * 2020-08-24 2022-02-24 Taiwan Semiconductor Manufacturing Company Limited Method and device to reduce epitaxial defects due to contact stress upon a semicondcutor wafer
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270961B1 (en) * 1987-04-01 2001-08-07 Hyseq, Inc. Methods and apparatus for DNA sequencing and DNA identification
JPH02139935A (ja) * 1988-11-21 1990-05-29 Nec Corp 半導体製造装置
US5128247A (en) * 1989-08-14 1992-07-07 Board Of Regents, The University Of Texas System Methods for isolation of nucleic acids from eukaryotic and prokaryotic sources
US5130423A (en) * 1990-07-13 1992-07-14 Microprobe Corporation Non-corrosive compositions and methods useful for the extraction of nucleic acids
JPH08506664A (ja) * 1993-02-01 1996-07-16 セック,リミテッド Dna配列決定の方法および装置
JP3316068B2 (ja) 1993-12-01 2002-08-19 東京エレクトロン株式会社 熱処理用ボート
JP3755836B2 (ja) 1994-10-03 2006-03-15 東芝セラミックス株式会社 縦型ボート
JPH11507544A (ja) * 1995-06-08 1999-07-06 プロジェン インダストリーズ リミテッド Dnaを抽出するための方法及び装置
US5945515A (en) * 1995-07-31 1999-08-31 Chomczynski; Piotr Product and process for isolating DNA, RNA and proteins
JP3328763B2 (ja) 1995-10-30 2002-09-30 エヌティティエレクトロニクス株式会社 縦型ウエハボートのウエハ支持構造
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
JP2000091406A (ja) 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
JP2000353737A (ja) * 1999-06-14 2000-12-19 Toray Ind Inc 基板整列装置
DE10003639C2 (de) * 2000-01-28 2003-06-18 Steag Rtp Systems Gmbh Vorrichtung zum thermischen Behandeln von Substraten
US7204887B2 (en) * 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP2002164300A (ja) 2000-11-29 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
US6497403B2 (en) 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7640267B2 (en) 2002-11-20 2009-12-29 Radar Networks, Inc. Methods and systems for managing entities in a computing device using semantic objects
KR101405346B1 (ko) * 2008-01-04 2014-06-12 삼성디스플레이 주식회사 기판 지지대, 이를 포함하는 기판 처리 장치 및 기판 정렬방법
KR101274897B1 (ko) * 2010-01-14 2013-06-14 도쿄엘렉트론가부시키가이샤 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구
KR20180049119A (ko) * 2015-12-30 2018-05-10 맷슨 테크놀로지, 인크. 밀리세컨드 어닐 시스템 내의 기판 지지체
KR20200034855A (ko) * 2018-09-21 2020-04-01 세메스 주식회사 기판의 열처리 장치

Also Published As

Publication number Publication date
JPWO2004086496A1 (ja) 2006-06-29
CN100352032C (zh) 2007-11-28
TW200501306A (en) 2005-01-01
US20070006806A1 (en) 2007-01-11
EP1608011A1 (en) 2005-12-21
TWI327759B (ko) 2010-07-21
KR100877129B1 (ko) 2009-01-07
WO2004086496A1 (ja) 2004-10-07
CN1748301A (zh) 2006-03-15
US7393207B2 (en) 2008-07-01
JP4363401B2 (ja) 2009-11-11
EP1608011A4 (en) 2010-07-21

Similar Documents

Publication Publication Date Title
KR100877129B1 (ko) 열처리용 웨이퍼 지지구 및 열처리 장치
KR100296365B1 (ko) 실리콘단결정웨이퍼의열처리방법과그열처리장치및실리콘단결정웨이퍼와그제조방법
TWI242248B (en) Holder and method for thermal treating semiconductor substrate
JPH06224146A (ja) 熱処理用ボート
US20080041798A1 (en) Wafer Platform
KR20100014188A (ko) 실리콘 웨이퍼의 제조방법
TWI416657B (zh) Vertical heat treatment with a crystal boat and the use of this crystal boat silicon wafer heat treatment method
TW200908199A (en) Wafer supporting jig, vertical heat treatment boat including the same, and manufacturing method of wafer supporting jig
KR20070083813A (ko) 열처리용 종형 보트 및 열처리 방법
JP2009152283A (ja) 半導体基板支持治具及びその製造方法。
JPH08148552A (ja) 半導体熱処理用治具及びその表面処理方法
KR100940544B1 (ko) 기판 지지 유닛
KR101485830B1 (ko) 내구성이 향상된 플라즈마 처리 장비용 단결정 실리콘 부품 및 이의 제조 방법
JP5396737B2 (ja) エピタキシャルシリコンウェーハ及びその製造方法
JP3687578B2 (ja) 半導体シリコン基板の熱処理治具
CN102460658A (zh) 硅晶片及硅晶片的热处理方法
JPH06260438A (ja) 熱処理用ボート
JP4396105B2 (ja) 縦型熱処理用ボート及び半導体ウエーハの熱処理方法
JP4609029B2 (ja) アニールウェーハの製造方法
JP2000150523A (ja) シリコン単結晶ウェーハの熱処理装置
JP3761646B2 (ja) 縦型ウエハ処理治具
KR100837737B1 (ko) 웨이퍼 지지대
JP2005019748A (ja) ウエーハの熱処理用治具及び熱処理方法
JP2000124143A (ja) 熱処理装置
JP2003309076A (ja) 半導体ウェーハの熱処理用ボート及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131210

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141205

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151201

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161129

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20171219

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 11