KR101274897B1 - 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구 - Google Patents

유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구 Download PDF

Info

Publication number
KR101274897B1
KR101274897B1 KR1020110003785A KR20110003785A KR101274897B1 KR 101274897 B1 KR101274897 B1 KR 101274897B1 KR 1020110003785 A KR1020110003785 A KR 1020110003785A KR 20110003785 A KR20110003785 A KR 20110003785A KR 101274897 B1 KR101274897 B1 KR 101274897B1
Authority
KR
South Korea
Prior art keywords
support
semiconductor wafer
load lock
holder
processed
Prior art date
Application number
KR1020110003785A
Other languages
English (en)
Other versions
KR20110083557A (ko
Inventor
히로미츠 사카우에
다카시 호리우치
가오루 후지하라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110083557A publication Critical patent/KR20110083557A/ko
Application granted granted Critical
Publication of KR101274897B1 publication Critical patent/KR101274897B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 반도체 웨이퍼 등의 피처리체를 지지할 때에, 이 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지하는 것이 가능한 유지체 구조를 제공한다. 피처리체(W)를 유지하기 위한 유지체 구조에 있어서, 피처리체의 하중을 받기 위한 유지체 본체(104)와, 유지체 본체의 상면에 형성된 복수의 오목부형상의 지지체 수용부(106)와, 각 지지체 수용부 내에 수용되고 상단이 유지체 본체의 상면보다도 위쪽으로 돌출되어 상단에서 피처리체의 하면과 맞닿아 지지하면서 지지체 수용부 내에서 회전 가능하게 이루어진 지지체(108)를 구비한다. 이것에 의해, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 이 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지한다.

Description

유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구{SUPPORT STRUCTURE, LOAD LOCK APPARATUS, PROCESSING APPARATUS AND TRANSFER MECHANISM}
본 발명은 반도체 웨이퍼 등의 피처리체를 유지하는 유지체 구조, 및 이것을 이용한 로드 록 장치, 처리 장치 및 반송 기구에 관한 것이다.
일반적으로, 반도체 디바이스 등을 제조하기 위해서는 판형상의 반도체 웨이퍼나 유리 기판 등의 피처리체에 대해, 성막 처리, 에칭 처리, 산화 확산 처리, 개질 처리 등의 각종 처리를 반복 실시할 필요가 있다. 예를 들면, 낱장식의 진공 처리 장치에서 반도체 웨이퍼에 대해 상기 처리를 실시하는 경우에는 진공 처리 장치의 전단측에, 소용량이고 진공 및 대기압 복귀를 신속하게 실행할 수 있도록 이루어진 로드 록 장치를 마련하고 있다. 그리고, 반도체 웨이퍼를 상기 진공 처리 장치에 대해 상기 로드 록 장치를 거쳐서 반입, 혹은 반출을 실행함으로써, 진공 처리 장치내의 진공을 유지하면서 상기 반입, 반출 조작을 실행할 수 있도록 되어 있다(특허문헌 1 참조).
그런데, 상기 반도체 웨이퍼는 진공 처리 장치내에서의 처리에 의해, 예를 들면, 300∼700℃ 정도의 고온 상태로 되어 있는 경우가 많고, 이와 같은 고온 상태의 반도체 웨이퍼를, 상기 로드 록 장치를 거쳐서 반출하는 경우에는 처리율(throughput) 향상을 위해 로드 록 장치내에서 반도체 웨이퍼에 열신축에 의한 스크래치 등을 발생시키는 일 없이 신속하게 안전온도, 예를 들면, 100℃ 전후까지 냉각하여, 후단측에 반출하도록 되어 있다. 여기서 종래의 로드 록 장치의 구성에 대해 설명한다. 도 31은 종래의 로드 록 장치의 내부의 일예를 나타내는 개략 구성도이다.
도시하는 바와 같이, 로드 록 장치 내에는 유지체 구조(1)가 마련되어 있다. 이 유지체 구조(1)는 반도체 웨이퍼(W)의 하중을 받는 유지체 본체(2)를 갖고 있고, 이 유지체 본체(2)가 지주(4)로 지지되어 있다. 상기 반도체 웨이퍼(W)는 이 유지체 본체(2)의 위쪽으로 출몰 가능하게 마련된 복수개, 예를 들면, 3개의 승강 핀(5)에 의해 이동되어 유지체 본체(2)상에 탑재된다.
이 유지체 본체(2)에는 반도체 웨이퍼(W)의 온도를 냉각하는 냉각 자켓(cooling jacket)(6)이 마련되어 있고, 이것에 냉매를 흘리는 것에 의해 고온 상태의 반도체 웨이퍼(W)를 안전온도까지 냉각하도록 되어 있다. 또한, 상기 유지체 본체(2)상에는 매우 짧은 복수개, 예를 들면, 9개 정도의 지지 핀(8)이 고정적으로 마련되어 있고, 이 지지 핀(8)의 상단에 반도체 웨이퍼(W)의 이면이 맞닿아 지지 되어 있다.
이와 같이, 반도체 웨이퍼(W)의 이면(하면)을 지지 핀(8)으로 지지하는 것에 의해, 반도체 웨이퍼(W)의 이면과 유지체 본체(2)의 평탄한 상면 사이에 1㎜ 이하의 약간의 간극을 형성하고 있다. 상기 간극을 형성함으로서, 반도체 웨이퍼(W)에 깨짐 등이 생길 우려가 있는 급격한 냉각을 발생시키지 않고, 반도체 웨이퍼(W)를 신속하게 냉각할 수 있다.
일본 특허공개공보 제2007-260624호
상술한 바와 같이, 유지체 본체(2)의 상면에 마련한 짧은 지지 핀(8)으로 반도체 웨이퍼(W)를 지지하는 것에 의해, 반도체 웨이퍼(W)에 깨짐 등을 발생시키는 일 없이 온도를 신속하게 냉각할 수 있도록 되어 있다.
그런데, 상기 유지체 본체(2)상에 지지되는 반도체 웨이퍼(W)는 전술한 바와 같이 실시된 처리의 형태에 따라서는 300∼700℃ 정도의 고온 상태로 되어 있는 경우가 있다. 이 경우, 반도체 웨이퍼(W)의 열신축이 온도나 사이즈에 따라 다르지만, 냉각에 수반해서 반도체 웨이퍼 자체에 0.1∼0.4㎜ 정도의 열신축이 생기는 것은 피할 수 없다. 그 결과, 반도체 웨이퍼(W)의 이면과 상기 이면에 맞닿는 지지 핀(8)의 상단 사이에 생기는 마찰에 의해 반도체 웨이퍼 이면에 스크래치나 흠집 등이 발생하고, 이 흠집에 의해 파티클이 발생하거나, 후공정에 있어서 이 흠집의 부분을 중심으로 집중적으로 두껍게 형성되는 불필요한 막에 기인해서 노광 공정시에 포커스(focus) 어긋남 등이 생긴다고 하는 문제가 있었다.
또, 반도체 디바이스의 제조 장치의 관련 기술로서, 일본 특허공개공보 소화62-193139호에 개시되어 있는 바와 같은 볼 접촉형 반도체 웨이퍼 척도 알려져는 있지만, 이것은 반도체 웨이퍼를 척 본체의 강구(鋼球)상에 진공 흡착으로 고정시키는 동시에 필요에 따라 소정의 형상으로 변형시키는 것이며, 상기 문제점을 해결하는 것은 아니다.
본 발명은 반도체 웨이퍼 등의 피처리체를 지지할 때에, 이 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지하는 것이 가능한 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구를 제공한다.
청구항 1에 따른 발명은 피처리체를 유지하기 위한 유지체 구조에 있어서, 상기 피처리체의 하중을 받기 위한 유지체 본체와, 상기 유지체 본체의 상면에 형성된 복수의 오목부형상의 지지체 수용부와, 상기 각지지체 수용부 내에 수용되고상단이 상기 유지체 본체의 상면보다도 위쪽으로 돌출되어 상기 상단에 상기 피처리체의 하면과 맞닿아 지지하면서 상기 지지체 수용부 내에서 구름(rolling) 가능하게 이루어진 지지체를 구비한 것을 특징으로 하는 유지체 구조이다.
이와 같이, 피처리체를 유지하기 위한 유지체 구조에 있어서, 피처리체의 하중을 받기 위한 유지체 본체의 상면에 복수의 오목부형상의 지지체 수용부를 형성하고, 각 지지체 수용부 내에 수용되고 상단이 유지체 본체의 상면보다도 위쪽으로 돌출되어 상단에서 피처리체의 하면과 맞닿아 지지하면서 지지체 수용부 내에서 구름 가능하게 이루어진 지지체를 마련하도록 했으므로, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 예를 들면, 냉각이나 가열에 의해 피처리체에 열신축이 생겨도 이 피처리체의 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
청구항 10에 따른 발명은 피처리체를 유지하기 위한 유지체 구조에 있어서, 상기 피처리체의 하중을 받기 위한 유지체 본체와, 상기 유지체 본체의 상면에 형성된 복수의 오목부형상의 지지체 수용부와, 상기 각 지지체 수용부 내에 수용되고 상단이 상기 유지체 본체의 상면보다도 위쪽으로 돌출되어 상기 상단에서 상기 피처리체의 하면과 맞닿아 지지하면서 상기 지지체 수용부 내에서 요동(搖動: rocking) 가능하게 이루어진 지지체를 구비한 것을 특징으로 하는 유지체 구조이다.
이와 같이, 피처리체를 유지하기 위한 유지체 구조에 있어서, 피처리체의 하중을 받기 위한 유지체 본체의 상면에 복수의 오목부형상의 지지체 수용부를 형성하고, 각 지지체 수용부 내에 수용되고 상단이 유지체 본체의 상면보다도 위쪽으로 돌출되어 상단에서 피처리체의 하면과 맞닿아 지지하면서 지지체 수용부 내에서 요동 가능하게 이루어진 지지체를 마련하도록 하고 있으므로, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 예를 들면 냉각이나 가열에 의해 피처리체에 열신축이 생겨도 이 피처리체의 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
청구항 14에 따른 발명은 피처리체를 유지하기 위한 유지체 구조에 있어서, 상기 피처리체의 하중을 받기 위한 유지체 본체와, 상기 유지체 본체의 상면에 형성된 복수의 오목부형상의 지지체 수용부와, 상기 각 지지체 수용부 내에 수용되고 상단이 상기 유지체 본체의 상면보다도 위쪽으로 돌출되어 상기 상단에서 상기 피처리체의 하면과 맞닿아 지지하면서 상기 지지체 수용부 내에서 회전 가능하게 지지된 지지체를 구비한 것을 특징으로 하는 유지체 구조이다.
이와 같이, 피처리체를 유지하기 위한 유지체 구조에 있어서, 피처리체의 하중을 받기 위한 유지체 본체의 상면에 복수의 오목부형상의 지지체 수용부를 형성하고, 각 지지체 수용부 내에 수용되고 상단이 유지체 본체의 상면보다도 위쪽으로 돌출되어 상단에서 피처리체의 하면과 맞닿아 지지하면서 지지체 수용부 내에서 회전 가능하게 지지된 지지체를 마련하도록 하고 있으므로, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 예를 들면 냉각이나 가열에 의해 피처리체에 열신축이 생겨도 이 피처리체의 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
청구항 18에 따른 발명은 진공실과 대기실 사이에 게이트밸브를 거쳐서 연결되는 동시에 진공 분위기와 대기압 분위기를 선택적으로 실현할 수 있는 로드 록 장치에 있어서, 진공 배기 및 대기압 복귀가 가능하게 이루어진 로드 록용 용기와, 상기 로드 록용 용기내에 마련된 청구항 1, 청구항 10 또는 청구항 14에 기재된 유지체 구조와, 상기 피처리체를 가열 및/또는 냉각하는 열원부와, 상기 피처리체를 유지체 본체 상에 강하 및 유지체 본체 상에서 이간시키는 리프터 기구와, 상기 로드 록용 용기내의 분위기를 진공 배기하는 배기 수단을 구비한 것을 특징으로 하는 로드 록 장치이다.
청구항 19에 따른 발명은 진공실과 대기실 사이에 게이트밸브를 거쳐서 연결되는 동시에 진공 분위기와 대기압 분위기를 선택적으로 실현할 수 있는 로드 록 장치에 있어서, 로드 록용 용기와, 상기 로드 록용 용기내에 마련되어 복수개의 피처리체를 복수단에 걸쳐 지지하기 위해 청구항 1, 청구항 10 또는 청구항 14에 기재된 유지체 구조를 복수개 갖는 지지 수단과, 대기압 복귀용의 가스를 냉각 가스로서 분사하기 위해 상기 유지체 구조에 대응시켜 마련된 가스 분사 구멍을 갖는 가스 도입 수단과, 상기 로드 록용 용기내의 분위기를 진공배기하는 배기 수단을 구비한 것을 특징으로 하는 로드 록 장치이다.
청구항 23에 따른 발명은 피처리체에 소정의 처리를 실시하기 위한 처리 장치에 있어서, 상기 피처리체를 수용하는 처리용기와, 상기 처리용기내에 마련된 청구항 1, 청구항 10 또는 청구항 14에 기재된 유지체 구조와, 상기 피처리체를 가열하는 가열 수단과, 상기 피처리체를 유지체 본체 상에 강하 및 유지체 본체 상으로부터 이간시키는 리프터 기구와, 상기 처리용기내에 필요한 가스를 공급하는 가스 공급 수단과, 상기 처리용기내의 분위기를 배기하는 배기 수단을 구비한 것을 특징으로 하는 처리 장치이다.
청구항 26에 따른 발명은 피처리체를 반송하기 위한 반송 기구에 있어서, 굴신 및 선회 가능하게 이루어진 아암부와, 상기 아암부의 선단에 마련된 청구항 1, 청구항 10 또는 청구항 14에 기재된 유지체 구조를 구비한 것을 특징으로 하는 반송 기구이다.
본 발명에 따른 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구에 의하면, 다음과 같은 우수한 작용 효과를 발명할 수 있다.
청구항 1 및 이것을 인용하는 청구항의 발명에 의하면, 피처리체를 유지하기 위한 유지체 구조에 있어서, 피처리체의 하중을 받기 위한 유지체 본체의 상면에 복수의 오목부형상의 지지체 수용부를 형성하고, 각 지지체 수용부 내에 수용되고 상단이 유지체 본체의 상면보다도 위쪽으로 돌출되어 상단에서 피처리체의 하면과 맞닿아 지지하면서 지지체 수용부 내에서 구름 가능하게 이루어진 지지체를 마련하도록 했으므로, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 예를 들면 냉각이나 가열에 의해 피처리체에 열신축이 생겨도 이 피처리체의 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
청구항 10 및 이것을 인용하는 청구항의 발명에 의하면, 피처리체를 유지하기 위한 유지체 구조에 있어서, 피처리체의 하중을 받기 위한 유지체 본체의 상면에 복수의 오목부형상의 지지체 수용부를 형성하고, 각 지지체 수용부 내에 수용되고 상단이 유지체 본체의 상면보다도 위쪽으로 돌출되어 상단에서 피처리체의 하면과 맞닿아 지지하면서 지지체 수용부 내에서 요동 가능하게 이루어진 지지체를 마련하도록 하고 있으므로, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 예를 들면 냉각이나 가열에 의해 피처리체에 열신축이 생겨도 이 피처리체의 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
청구항 14 및 이것을 인용하는 청구항의 발명에 의하면, 피처리체를 유지하기 위한 유지체 구조에 있어서, 피처리체의 하중을 받기 위한 유지체 본체의 상면에 복수의 오목부형상의 지지체 수용부를 형성하고, 각 지지체 수용부 내에 수용되고 상단이 유지체 본체의 상면보다도 위쪽으로 돌출되어 상단에서 피처리체의 하면과 맞닿아 지지하면서 지지체 수용부 내에서 회전 가능하게 지지된 지지체를 마련하도록 하고 있으므로, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 예를 들면, 냉각이나 가열에 의해 피처리체에 열신축이 생겨도 이 피처리체의 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
청구항 18 내지 27의 발명에 의하면, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 예를 들면, 냉각이나 가열에 의해 피처리체에 열신축이 생겨도 이 피처리체의 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
도 1은 본 발명에 따른 유지체 구조를 갖는 로드 록 장치를 구비한 일반적인 처리 시스템의 일예를 나타내는 개략 평면도,
도 2는 도 1에 나타내는 처리 시스템을 나타내는 개략 단면도,
도 3은 로드 록 장치내에 마련한 본 발명에 따른 유지체 구조를 나타내는 단면도,
도 4는 유지체 구조의 유지체 본체를 나타내는 평면도,
도 5a 및 도 5b는 유지체 본체의 표면에 형성되는 1개의 지지체 유닛을 나타내는 확대도,
도 6은 유지체 구조의 제 1 변형 실시예를 나타내는 도면,
도 7a 및 도 7b는 본 발명의 유지체 구조의 제 2 변형 실시예를 나타내는 도면,
도 8은 본 발명의 유지체 구조의 제 3 변형 실시예의 지지체 유닛의 부분을 나타내는 확대 단면도,
도 9는 본 발명의 유지체 구조의 제 4 변형 실시예의 지지체 유닛의 부분을 나타내는 확대 단면도,
도 10a 및 도 10b는 본 발명의 유지체 구조의 제 5 변형 실시예의 지지체 유닛의 부분을 나타내는 확대 단면도,
도 11a 및 도 11b는 본 발명의 유지체 구조의 제 6 변형 실시예의 지지체 유닛을 나타내는 도면,
도 12a 및 도 12b는 본 발명의 유지체 구조의 제 7 변형 실시예의 지지체 유닛을 나타내는 도면,
도 13a 및 도 13b는 본 발명의 유지체 구조의 제 8 변형 실시예의 지지체 유닛을 나타내는 도면,
도 14a 및 도 14b는 본 발명의 유지체 구조의 제 9 변형 실시예의 지지체 유닛을 나타내는 도면,
도 15a 및 도 15b는 본 발명의 유지체 구조의 제 10 변형 실시예의 지지체 유닛을 나타내는 도면,
도 16a 및 도 16b는 본 발명의 유지체 구조의 제 11 변형 실시예의 지지체 유닛을 나타내는 도면,
도 17은 측정된 파티클 수의 값을 나타내는 도면,
도 18은 지지체와 접촉한 반도체 웨이퍼 이면의 상태의 일예를 나타내는 전자 현미경 사진,
도 19는 유지체 구조의 유지체 본체의 변형예를 나타내는 사시도,
도 20은 본 발명의 유지체 구조를 트랜스퍼 챔버 내에 마련된 제 1 반송 기구에 적용했을 때의 상태를 나타내는 개략 평면도,
도 21a 및 도 21b는 픽 형상의 제 1 변형예를 나타내는 도면,
도 22a 및 도 22b는 픽 형상의 제 2 변형예를 나타내는 도면,
도 23은 본 발명의 유지체 구조를 적용한 복수개용의 로드 록 장치를 나타내는 종단면도,
도 24는 피처리체를 지지하는 지지 수단의 일부를 나타내는 확대 부분 단면도,
도 25는 지지 수단의 지지부의 일예를 나타내는 평면도,
도 26은 로드 록 장치의 변형 실시예의 지지 수단의 단면을 나타내는 확대도,
도 27a 및 도 27b는 본 발명의 유지체 구조가 적용된 리프터 기구의 일예를 나타내는 도면,
도 28은 도 27a 및 도 27b에 나타내는 리프터 기구의 동작을 설명하기 위한 동작 설명도,
도 29는 본 발명의 유지체 구조가 적용된 세미 배치식(semi-batch type)의 처리 장치의 탑재대를 나타내는 사시도,
도 30a 및 도 30b는 도 29에 나타내는 처리 장치의 탑재대의 일부를 나타내는 부분 확대 단면도,
도 31은 종래의 로드 록 장치의 내부의 일예를 나타내는 개략 구성도이다.
이하에, 본 발명에 따른 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구의 일실시예를 첨부 도면에 의거하여 상세하게 기술한다.
도 1은 본 발명에 따른 유지체 구조를 갖는 로드 록 장치를 구비한 일반적인 처리 시스템의 일예를 나타내는 개략 평면도, 도 2는 도 1에 나타내는 처리 시스템을 나타내는 개략 단면도, 도 3은 로드 록 장치내에 마련한 본 발명에 따른 유지체 구조를 나타내는 단면도, 도 4는 유지체 구조의 유지체 본체를 나타내는 평면도, 도 5a 및 도 5b는 유지체 본체의 표면에 형성되는 1개의 지지체 유닛을 나타내는 확대도로서, 도 5a는 단면도를 나타내고, 도 5b는 평면도를 나타낸다.
우선, 본 발명에 따른 유지체 구조를 갖는 로드 록 장치와 처리 장치를 구비한 처리 시스템의 일예에 대해 설명한다. 도 1 및 도 2에 나타내는 바와 같이, 처리 시스템(12)은 진공배기 가능하게 이루어진 4개의 처리 장치(14A, 14B, 14C, 14D)를 갖고 있다. 이들 처리 장치(14A∼14D)로서는 성막 처리나 에칭 처리 등의 진공 분위기하에서 실행되는 모든 처리 장치가 적용된다. 이들 처리 장치(14A∼14D)는 진공배기 가능하게 이루어진 육각형상의 트랜스퍼 챔버(16)의 주위에 각각 게이트밸브(G)를 거쳐서 접속되어 있다. 또한, 상기 처리 시스템(12)은 상기 트랜스퍼 챔버(16)내에 대해, 이 진공분위기를 유지하면서 피처리체로서의 반도체 웨이퍼(W)를 반송하기 위한 로드 록 장치(20A, 20B)를 갖고 있고, 양 로드 록 장치(20A, 20B)는 상기 트랜스퍼 챔버(16)에 각각 게이트밸브(G)를 거쳐서 접속되어 있다.
그리고, 상기 각 처리 장치(14A∼14D)내에는 반도체 웨이퍼(W)를 탑재하기 위한 탑재대(22A∼22D)가 각각 마련되어 있다. 또한, 상기 트랜스퍼 챔버(16)내에는 반도체 웨이퍼(W)를 반송하기 위해 굴신(屈伸) 및 선회 가능하게 이루어진 제 1 반송 기구(24)가 마련되고, 각 처리 장치(14A∼14D)간 및 이들과 각 로드 록 장치(20A, 20B) 사이에서 반도체 웨이퍼(W)를 탑재 이송할 수 있도록 되어 있다. 구체적으로는, 제 1 반송 기구(24)는 굴신 및 선회 가능하게 이루어진 아암부(25)와, 상기 아암부(25)의 선단에 마련된 2개의 픽(pick)(25A, 25B)에 의해 주로 구성되어 있고, 이들 픽(25A, 25B)상에 반도체 웨이퍼(W)를 직접적으로 탑재 유지하여, 상술한 바와 같이 반송할 수 있도록 되어 있다.
또, 각 로드 록 장치(20A, 20B)내에는 반도체 웨이퍼(W)를 일시적으로 유지하기 위해 본 발명에 따른 유지체 구조(26A, 26B)가 각각 마련되어 있다. 유지체 구조(26A, 26B)에 대해서는 후술한다. 또, 상기 로드 록 장치(20A, 20B)의 상기 트랜스퍼 챔버(16)가 접속된 측의 반대측에는 각각 게이트밸브(G)를 거쳐서 가로로 긴 로드 모듈(30)이 부착되고, 이 로드 모듈(30)의 1측에는 복수개의 반도체 웨이퍼를 수용할 수 있는 카세트(도시하지 않음)를 탑재하는 I/O 포트(32)가 마련되어 있다. 그리고, 로드 모듈(30)내에는 굴신 및 선회 가능하게 이루어진 제 2 반송 기구(34)가 마련되어 있다.
구체적으로는, 제 2 반송 기구(34)는 굴신 및 선회 가능하게 이루어진 아암부(35)와, 상기 아암부(35)의 선단에 마련된 2개의 픽(35A, 35B)에 의해 주로 구성되어 있고, 이들 픽(35A, 35B)상에 반도체 웨이퍼(W)를 직접적으로 탑재 유지해서 반송할 수 있도록 되어 있다. 또한, 제 2 반송 기구(34)는 안내 레일(36)을 따라 로드 모듈(30)의 길이방향으로 이동 가능하게 이루어져 있다. 그리고, 이 로드 모듈(30)의 일단에는 반도체 웨이퍼(W)의 위치맞춤 및 방향부여를 실행하는 오리엔터(orienter)(37)가 마련되어 있고, 처리 장치(14A∼14D)에 반도체 웨이퍼(W)를 반입하기 전에, 여기서 반도체 웨이퍼(W)의 위치맞춤 및 방향부여를 실행하도록 되어 있다.
<처리 장치>
여기서, 도 2를 참조해서 각 처리 장치에 대해 설명한다. 또한, 도 2는 4개의 처리 장치(14A∼14D)를 대표해서 처리 장치(14A)를 나타내고 있고, 탑재대(22A)가 마련되어 있다. 또, 2개의 로드 록 장치(20A, 20B)를 대표해서 로드 록 장치(20A)를 나타내고 있다.
이 처리 장치(14A)는, 예를 들면, 알루미늄 합금 등에 의해 상자형상으로 성형된 처리용기(40)를 갖고 있다. 이 처리용기(40)내에 마련되는 상기 탑재대(22A)는 용기바닥부로부터 기립된 지주(42)의 상단에 부착되어 있다. 이 탑재대(22A)내에는, 예를 들면, 저항 가열 히터로 이루어지는 가열 수단(44)이 매립되어 마련되어 있고, 탑재대(22A)상에 탑재한 반도체 웨이퍼(W)를 소정의 온도로 가열할 수 있도록 되어 있다. 또한, 탑재대(22A)상에는 반도체 웨이퍼(W)의 반입 및 반출시에 이 반도체 웨이퍼(W)를 승강시키는 리프터 기구(46)가 마련된다.
구체적으로는, 이 리프터 기구(46)는 3개(도시예에서는 2개만 나타냄)의 승강 핀(48)을 갖고 있고, 각 승강 핀(48)의 하단부는 원호형상으로 이루어진 승강판(50)에 의해 공통으로 지지되어 있다. 그리고, 이 승강판(50)은 용기 바닥부를 관통시켜 마련한 승강 로드(rod)(51)의 상단에서 지지되고, 이 승강 로드(51)는 액추에이터(52)에 의해 승강 가능하게 이루어져 있다. 또, 상기 승강 로드(51)의 관통부를 둘러싸도록 용기 바닥부에 상기 처리용기(40)내의 기밀성을 유지하면서 이 승강 로드(51)의 승강을 허용하기 위해 신축 가능하게 이루어진 금속성의 벨로우즈(54)가 마련된다.
그리고, 상기 탑재대(22A)에는 상기 승강 핀(pin)(48)을 삽입 통과시키기 위한 핀 삽입통과구멍(56)이 마련되어 있고, 반도체 웨이퍼(W)의 반입 및 반출시에 상기 승강 핀(48)을 승강시켜, 이 핀 삽입통과구멍(56)으로부터 위쪽으로 출몰시킬 수 있도록 되어 있다. 또, 처리용기(40)의 천장부에는, 예를 들면, 샤워헤드(shower head)로 이루어지는 가스 공급 수단(58)이 마련되어 있고, 처리용기(40)내에 필요한 가스를 공급하도록 되어 있다. 이 가스 공급 수단(58)은 샤워헤드에 한정되지 않는 것은 물론이다.
또, 용기 바닥부에는 배기구(60)가 마련되어 있고, 이 배기구(60)에는 처리용기(40)내의 분위기를 배기하기 위한 배기 수단(62)이 마련된다. 구체적으로는, 상기 배기 수단(62)은 상기 배기구(60)에 연결된 가스 통로(64)를 갖고 있다. 그리고, 이 가스 통로(64)에는 용기내의 압력을 조정하는 압력조정밸브(66) 및 진공 펌프(68)가 순차 개재되어 있고, 처리용기(40)내의 분위기를 진공배기하면서 압력 조정할 수 있도록 되어 있다. 이와 같이 형성된 처리 장치(14A)내에서, 예를 들면, 성막 처리를 실행하도록 되어 있다.
또한, 다른 처리 장치(14B∼14D)는 필요에 따라 반도체 웨이퍼(W)에 대해 실시해야 할 각종 처리에 대응한 처리 장치로서 이용되고, 또 플라즈마 처리 장치도 이용할 수 있다. 또한, 상기 각 처리 장치(14A∼14D)에 연결되는 트랜스퍼 챔버(16)는, 예를 들면, N2 가스 등의 불활성 가스가 공급 가능하게 이루어지는 동시에, 이 내부 분위기도 진공배기 가능하게 이루어져 있으며, 동작시에는 진공 분위기로 상시 유지되어 있다.
<로드 록 장치>
다음에, 로드 록 장치에 대해 설명한다. 상기 2개의 로드 록 장치(20A, 20B)는 동일하게 구성되어 있으므로, 여기서는 로드 록 장치(20A)의 구성에 대해 설명한다.
우선, 이 로드 록 장치(20A)는, 예를 들면, 알루미늄합금 등에 의해 상자형상으로 성형된 로드 록용 용기(70)를 갖고 있다. 이 로드 록용 용기(70)내에 마련되는 상기 본 발명의 실시예에 따른 유지체 구조(26A)는 도 3에도 나타내는 바와 같이 용기 바닥부로부터 기립된 지주(72)의 상단에 부착되어 있다. 여기서는 상기 유지체 구조(26A)는 반도체 웨이퍼(W)의 크기보다도 조금 큰 두툼한 원판형상으로 형성되어 있다. 또한, 이 유지체 구조(26A)상에는 반도체 웨이퍼(W)의 반입 및 반출시에 이 반도체 웨이퍼(W)를 승강시키는 리프터 기구(74)가 마련된다.
구체적으로는, 리프터 기구(74)는 3개(도시예에서는 2개만 적음)의 승강 핀(pin)(76)을 갖고 있고, 각 승강 핀(76)의 하단부는 원호형상으로 이루어진 승강판(78)에 의해 공통으로 지지되어 있다. 그리고, 이 승강판(78)은 용기 바닥부를 관통시켜 마련한 승강 로드(rod)(80)의 상단에서 지지되고, 승강 로드(80)는 액추에이터(82)에 의해 승강 가능하게 이루어져 있다. 또, 상기 승강 로드(80)의 관통부를 둘러싸도록 용기 바닥부에는 상기 로드 록용 용기(70)내의 기밀성을 유지하면서 이 승강 로드(80)의 승강을 허용하기 위해 신축 가능하게 이루어진 금속성의 벨로우즈(84)가 마련된다.
그리고, 상기 유지체 구조(26A)에는 상기 승강 핀(76)을 삽입 통과시키기 위한 핀 삽입통과구멍(86)이 마련되어 있고, 반도체 웨이퍼(W)의 반입 및 반출시에 상기 승강 핀(76)을 승강시켜, 이 핀 삽입통과구멍(86)으로부터 위쪽으로 출몰시킬 수 있도록 되어 있다. 또, 로드 록용 용기(70)의 바닥부에는 가스 도입구(88)가 마련되어 있다. 이 가스 도입구(88)에는 도중에 개폐 밸브(90)가 개재된 가스 도입 통로(92)가 접속되어 있고, 필요에 따라 불활성 가스로서, 예를 들면, N2 가스를 공급할 수 있도록 되어 있다.
또, 용기 바닥부에는 배기구(94)가 마련되어 있고, 이 배기구(94)에는 로드 록용 용기(70)내의 분위기를 배기하기 위한 배기 수단(96)이 마련된다. 구체적으로는, 상기 배기 수단(96)은 상기 배기구(94)에 연결된 가스 통로(98)를 갖고 있다. 그리고, 이 가스 통로(98)에는 개폐 밸브(100) 및 진공 펌프(102)가 순차 개재되어 있고, 로드 록용 용기(70)내의 분위기를 진공배기할 수 있도록 되어 있다.
상기 유지체 구조(26A)는 도 3 내지 도 5b에도 나타내는 바와 같이, 상기 반도체 웨이퍼(W)의 하중을 받기 위한 유지체 본체(104)와, 이 상면에 형성된 복수의 지지체 수용부(106)와, 이 지지체 수용부(106)내에 수용되어 상단에서 상기 반도체 웨이퍼(W)를 맞닿게 지지하면서 구름 가능하게 이루어진 지지체(108)를 주로 갖고 있다.
구체적으로는, 상기 유지체 본체(104)는 상기 반도체 웨이퍼(W)의 직경보다도 약간 큰 두툼한 원판형상으로 성형되어 있고, 그 상면은 평탄면으로 되어 있다. 이 유지체 본체(104)는, 예를 들면, 알루미늄 합금이나 니켈 합금, 혹은 질화 알루미늄이나 알루미나 등의 세라믹재에 의해 구성되어 있다. 이 유지체 본체(104)내에는 반도체 웨이퍼(W)를 가열 및/또는 냉각하는 열원부(110)가 마련되어 있다. 여기서는 상기 열원부(110)로서는 냉매를 흘리는 냉각 자켓(112)이 상기 유지체 본체(104)의 대략 전체에 매립하도록 해서 마련되어 있고, 이 상면측에 지지되는 반도체 웨이퍼(W)에 냉열을 부여해서 이것을 냉각하도록 되어 있다.
여기서, 처리되어야 할 반도체 웨이퍼(W)를 예열하는 경우에는 상기 열원부(110)로서 상기 냉각 자켓(112) 대신에 저항 가열 히터 등을 마련해서 반도체 웨이퍼(W)에 온열을 부여하도록 하면 좋다. 또한, 반도체 웨이퍼(W)의 냉각과 가열을 선택적으로 할 수 있도록 하는 경우에는, 상기 열원부(110)로서 펠티에(peltier) 소자와 같은 열전 변환 소자를 마련하여, 이것에 흐르는 전류의 방향을 필요에 따라 전환하는 것에 의해서 가열과 냉각을 선택적으로 실행할 수 있도록 하면 좋다.
그리고, 상기 유지체 본체(104)의 평탄한 상면에, 상기 지지체 수용부(106)가 오목부형상으로 복수개 형성되어 있다. 여기서, 지지체 수용부(106)는 상기 유지체 본체(104) 중간 둘레부분에 120도 간격으로 3개 마련되고, 외주 부분에 60도 간격으로 6개 마련되어, 전체 9개 마련되어 있다. 또한, 이들 개수는 특별히 한정되는 것은 아니다. 그리고, 상기 각 지지체 수용부(106)내에 각각 1개의 상기 지지체(108)가 수용되어 있다. 즉, 상기 1개의 지지체 수용부(106)와 이것에 수용되는 1개의 지지체(108)로 1개의 지지체 유닛(114)이 형성되고, 여기서는 전체 9개의 지지체 유닛(114)이 마련되게 된다.
구체적으로는, 상기 지지체(108)는 도 5a 및 도 5b에도 나타내는 바와 같이, 여기서는 직경이 수 ㎜, 예를 들면, 3∼7㎜의 범위내의 구형형상으로 형성되어 있고, 구름 가능하도록 되어 있다. 또한, 지지체(108)의 직경은 상기 값에 한정되지 않는다. 이 구형의 지지체(108)의 재료로서는 내열성의 재료, 예를 들면, 석영, 질화 알루미늄 등의 세라믹 재료를 이용할 수 있고, 또 금속오염의 우려가 적은 경우에는 니켈이나 티탄 등의 금속도 이용할 수 있다. 그리고, 전술한 바와 같이, 상기 지지체(108)의 상단에 반도체 웨이퍼(W)의 하면을 맞닿게 해서 이것을 지지하도록 되어 있다. 따라서, 반도체 웨이퍼(W)가 열신축해도, 상기 구형형상의 지지체(108)가 구름으로써 반도체 웨이퍼(W)의 열신축량을 흡수할 수 있도록 되어 있다.
또, 상기 지지체 수용부(106)의 저면(바닥부)(116)은 곡면형상으로 성형되어 있고, 상기 반도체 웨이퍼(W)를 지지체(108)로부터 이간시켰을 때에 이 지지체(108)를 원래의 위치, 즉, 원점위치로 자중(自重)에 의해 되돌아가도록 되어 있다. 구체적으로는, 지지체 수용부(106)의 저면(116)은 그 중앙부가 가장 낮은 곡면형상으로 성형되어 있고, 이 중앙부가 지지체(108)의 원래의 위치(원점위치)로 되어 있다. 상기한 바와 같은 지지체 수용부(106)의 저면(116)의 곡면은, 예를 들면, 상기 지지체(108)보다도 반경이 큰 구의 외각(外殼)의 일부와 같이 형성되고, 단면이 원호형상으로 이루어져 있다.
이 경우, 상기 지지체(108)가 지지체 수용부(106)의 중앙부인 원점 위치에 위치할 때에, 상기 유지체 본체(104)의 상면의 수평 레벨과 상기 지지체(108)의 상단 사이의 거리 L1은 수 ㎜ 정도, 예를 들면, 0.3∼2.0㎜ 정도의 범위내로 설정되어 있다. 이 경우, 상기 단면 원호형상의 상기 지지체 수용부(106)의 반경은, 예를 들면, 3∼10㎜정도로 설정되어 있다.
여기서, 상기 반도체 웨이퍼(W)가 열신축하는 양은 앞서 설명한 바와 같이 0.1∼0.4㎜정도의 길이이므로, 이 길이에 대응하는 상기 지지체(108)의 회전 각도는 매우 작기때문에, 지지체(108)가 지지체 수용부(106)의 밖으로 굴러 나가는 일은 없다.
다음에, 이상과 같이 구성된 처리 시스템(12)에 있어서의 개략적인 동작의 일부에 대해 설명한다. 우선, I/O 포트(32)에 설치된 카세트 용기(도시하지 않음)로부터는 미처리의 반도체 웨이퍼(W)가 제 2 반송 기구(34)에 의해 로드 모듈(30)내로 반입되고, 이 반입된 반도체 웨이퍼(W)는 로드 모듈(30)의 일단에 마련한 오리엔터(37)에 반송되어, 여기서 위치 결정 및 방향 부여가 이루어진다. 상기 반도체 웨이퍼(W)는, 예를 들면, 실리콘 기판으로 이루어진다.
위치 결정 등이 이루어진 반도체 웨이퍼(W)는 상기 제 2 반송 기구(34)에 의해 재차 반송되고, 2개의 로드 록 장치(20A, 20B) 중의 어느 한쪽의 로드 록 장치내에 반입된다. 이 로드 록 장치내가 진공배기된 후에, 미리 진공배기된 트랜스퍼 챔버(16)내의 제 1 반송 기구(24)를 이용하여, 상기 로드 록 장치내의 반도체 웨이퍼(W)가 트랜스퍼 챔버(16)내에 이송된다.
그리고, 이 트랜스퍼 챔버(16)내에 이전된 미처리의 반도체 웨이퍼는 제 1 반송 기구(24)에 의해서 각 처리 장치(14A∼14D)에 필요에 따라 순차 반송되고, 각 처리 장치(14A∼14D)내에 있어서 각각 소정의 처리가 실시되게 된다. 예를 들면, 반도체 웨이퍼(W)에 대해, 성막 처리나 에칭 처리나 산화 확산 처리 등이 실시되게 된다. 여기서 실시된 처리의 형태에 따라서는 반도체 웨이퍼(W)는, 예를 들면, 300∼700℃ 정도의 고온 상태로 되어 있다.
이와 같이 해서, 실시해야 할 각종 처리가 모두 실시되어 처리완료된 고온 상태의 반도체 웨이퍼(W)는 제 1 반송 기구(24)에 의해 2개의 로드 록 장치(20A, 20B) 중의 어느 한쪽의 로드 록 장치내에 반입되고, 여기서 안전온도인 100℃ 전후까지 냉각되게 된다. 이 때에 처리후의 반도체 웨이퍼(W)를 수용하고 있는 진공 상태의 로드 록 장치내에는 반도체 웨이퍼의 냉각과 동시에 대기압으로 복귀되어 있다. 그리고, 대기압 복귀 후에, 이 로드 록 장치내의 반도체 웨이퍼(W)는 제 2 반송 기구(34)를 이용해서 로드 모듈(30)내로 이전되고, 또한 I/O 포트(32)의 처리후 반도체 웨이퍼용의 카세트 용기(도시하지 않음)내에 수용되게 된다.
여기서, 상기 로드 록 장치내에서 실행되는 반도체 웨이퍼(W)의 냉각시의 동작에 대해, 로드 록 장치(20A)를 예로 들어 설명한다. 또한, 로드 록 장치(20B)에서도 마찬가지로 냉각되는 것은 물론이다. 우선, 도 2 및 도 3에도 나타내는 바와 같이, 고온 상태의 처리후의 반도체 웨이퍼(W)를 냉각할 때에는 로드 록 장치(20A)의 유지체 구조(26A)에 마련한 냉각 자켓(112)에는 냉매가 흘려지고 있다. 그리고, 리프터 기구(74)의 승강 핀(76)을 승강하는 것에 의해서 고온 상태의 반도체 웨이퍼(W)를 유지체 본체(104)의 상면에 탑재한다. 이 때, 반도체 웨이퍼(W)의 하면은 유지체 본체(104)에 9개 마련한 각 지지체 수용부(106)내에 설치되어 있는 구형형상의 각 지지체(108)의 상단에 맞닿고, 이것에 의해서 지지된다.
그리고, 양측의 게이트밸브(G)가 닫힌 상태에서 이 로드 록용 용기(70)내에 N2 가스를 도입하는 동시에, 상기 고온 상태의 반도체 웨이퍼(W)는 유지체 본체(104)측으로부터 공급되는 냉열에 의해서 서서히 냉각되게 된다. 즉, 반도체 웨이퍼(W)의 온열이 복사나 열전도에 의해서 냉각 상태의 유지체 본체(104)측에 공급되어 반도체 웨이퍼(W)가 냉각되게 된다.
이 냉각에 의해서 반도체 웨이퍼(W)는 열수축하고, 이 열수축의 방향은 주로 반도체 웨이퍼(W)의 중심 방향을 향하게 되고, 도 5a중에서는, 예를 들면, 화살표 ‘120’의 방향으로 열신축하는 것으로 가정한다. 이 열신축의 길이는 전술한 바와 같이, 반도체 웨이퍼(W)의 온도에 따라 다르지만, 예를 들면, 0.1∼0.4㎜ 정도이다. 이 경우, 도 31에 나타내는 바와 같은 종래의 유지체 구조의 경우에는 열수축시에 반도체 웨이퍼(W)의 이면과 지지 핀(80)의 상단이 스쳐 반도체 웨이퍼(W)의 이면에 스크래치나 흠집이 발생했지만, 본 발명의 경우에는 구형형상의 지지체(108)가 도 5a 중의 화살표 ‘122’의 방향으로 약간 구름으로써 상기 반도체 웨이퍼(W)의 열수축양을 흡수할 수 있다. 그 결과, 반도체 웨이퍼(W)의 이면과 지지체(108)의 표면이 스치는 일이 없어지고, 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 억제할 수 있다.
그리고, 냉각이 완료되어, 반도체 웨이퍼(W)를 반출하기 위해, 이 반도체 웨이퍼(W)를 승강 핀(76)으로 들어올리는 것에 의해서 반도체 웨이퍼(W)를 지지체(108)로부터 이간시키면, 구형형상의 지지체(108)는 단면 원호형상으로 성형된 지지체 수용부(106)의 저면(116)을 따라 자중에 의해 굴러서, 원래의 위치, 즉, 중앙부의 원점위치로 되돌아가게 된다. 따라서, 반도체 웨이퍼(W)를 연속적으로 냉각해서 반출해도, 항상 구형형상의 지지체(108)는 원래의 위치에 되돌아오게 되고, 상기한 조작을 연속적으로 실행할 수 있다.
또한, 실제로는 반도체 웨이퍼(W)는 그 중심 방향으로 열수축할 뿐만아니라, 반도체 웨이퍼(W)의 온도분포에 따라서는 모든 방향으로 열수축하지만, 이 경우에도 그 열수축하는 방향으로 구상의 지지체(108)가 구름으로써 열수축을 흡수할 수 있다. 따라서, 이 경우에도 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
또한, 상기 설명에서는 처리후의 고온 상태의 반도체 웨이퍼(W)를 냉각하는 경우에 대해 설명했지만, 전술한 바와 같이, 처리율(throughput)을 상승시키기 위해 로드 록 장치의 유지체 구조에 가열 수단을 마련해 두고, 처리전의 실온의 반도체 웨이퍼(W)를 이 가열 수단으로 어느 정도의 온도까지 예비가열하는 경우가 있다. 그리고, 상기한 예비가열을 실행하는 경우에도, 상기 실시예에서 설명한 유지체 구조를 채용함으로써(이 경우에는 열원부(110)로서 히터 등의 가열 수단을 이용함), 반도체 웨이퍼(W)가 열신장해도, 상술한 바와 같은 원리로 이 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
이와 같이, 본 발명에 의하면, 피처리체인, 예를 들면, 반도체 웨이퍼(W)를 유지하기 위한 유지체 구조에 있어서, 피처리체의 하중을 받기 위한 유지체 본체(104)의 상면에 복수의 오목부형상의 지지체 수용부(106)를 형성하고, 각 지지체 수용부 내에 수용되고 상단이 유지체 본체의 상면보다도 위쪽으로 돌출되어 상단에서 피처리체의 하면과 맞닿아 지지하면서 지지체 수용부 내에서 구름 가능하게 이루어진 지지체(108)를 마련하도록 했으므로, 반도체 웨이퍼 등의 피처리체를 지지할 때에, 예를 들면, 냉각이나 가열에 의해 피처리체에 열신축이 생겨도 이 피처리체의 이면(하면)에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
<제 1 변형 실시예>
또한, 상기 지지체 수용부(106)의 저면(116)의 곡면형상은 상술한 바와 같이 단면 원호형상에 한정될 필요는 없고, 예를 들면 도 6에 나타내는 유지체 구조의 제 1 변형 실시예에 의해 나타내는 바와 같이, 지지체 수용부(106)의 저면(116)을 단면 타원호형상으로 형성해도 좋고, 또한, 지지체 수용부(106)의 중앙부가 가장 낮게(깊게) 형성되어 있는 곡면형상으로서, 반도체 웨이퍼(W)를 지지체(108)로부터 이간시켰을 때에 이 지지체(108)가 자중에 의해 원래의 위치로 되돌아가도록 하는 형상이면 어떤 곡면형상이라도 좋고, 상술한 바와 같은 곡면형상에 한정되지 않는다.
<제 2 변형 실시예>
다음에, 본 발명의 유지체 구조의 제 2 변형 실시예에 대해 설명한다. 앞의 실시예에 있어서는 구형형상의 지지체(108)가, 반도체 웨이퍼(W)에 대전하고 있는 정전기나 약간의 충격 등에 의해서 지지체 수용부(106)의 외측으로 튀어나갈 우려가 있는데, 이것을 방지하기 위해 점프아웃(jump-out) 방지 커버 부재를 마련하도록 해도 좋다. 도 7a 및 도 7b는 이러한 본 발명의 유지체 구조의 제 2 변형 실시예를 나타내는 도면이고, 도 7a는 지지체 유닛의 부분을 나타내는 확대 단면도, 도 7b는 평면도이다. 또한, 도 7a 및 도 7b중에 있어서, 앞의 도 1 내지 도 6에 나타내는 구성 부분과 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 그 설명을 생략한다.
도시하는 바와 같이, 여기서는 지지체 수용부(106)의 개구부에는 개구부로부터 수평방향 중심을 향해 연장시킨 링형상의 점프아웃 방지 커버 부재(124)가 나사(126) 등에 의해 부착 고정되어 있다. 점프아웃 방지 커버 부재(124)의 개구의 직경은 상기 구형형상의 지지체(108)의 직경보다도 약간 작게 설정되어 있고, 상기 반도체 웨이퍼(W)의 열신축시에 지지체(108)의 구름을 규제하지 않는 위치까지 지지체(108)에 접근시켜 마련하고 있다. 구체적으로는, 지지체(108)의 직경을 5㎜로 가정하면, 상기 점프아웃 방지 커버 부재(124)의 개구의 직경은 4.5㎜ 정도이다. 여기서는 지지체 유닛(114)은 지지체 수용부(106) 및 지지체(108)에 점프아웃 방지 커버 부재(124)를 부가해서 구성되어 있다.
또한, 후술하는 각 실시예에 있어서의 점프아웃 방지 커버 부재(124)의 개구와 구형형상의 지지체(108)의 직경의 관계는 모두 상술한 바와 같이 되어 지지체(108)의 점프아웃을 방지하고 있다. 이것에 의하면, 구형형상의 지지체(108)가 지지체 수용부(106)로부터 외측으로 점프아웃하려고 해도, 상기 점프아웃 방지 커버 부재(124)에 의해 저지되어 지지체(108)가 외측으로 튀어나가는 것을 방지할 수 있다.
<제 3 변형 실시예>
다음에, 본 발명의 유지체 구조의 제 3 변형 실시예에 대해 설명한다. 앞의 실시예에 있어서는 지지체 수용부(106)내에 먼지 등의 파티클이 들어간 경우, 이 파티클이 저면(116)의 가장 낮은(깊은) 부분에 집중해서 쌓여 지지체(108)의 구름을 저해할 우려가 있는데, 이것을 방지하기 위해 파티클 퇴적면을 마련하도록 해도 좋다. 도 8은 이러한 본 발명의 유지체 구조의 제 3 변형 실시예의 지지체 유닛의 부분을 나타내는 확대 단면도이다. 또한, 도 8 중에, 앞의 도 1 내지 도 7b에 나타내는 구성 부분과 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 그 설명을 생략한다.
도시하는 바와 같이, 여기서는 지지체 수용부(106)의 저면(116)의 주변부에 수평상태로 이루어진 파티클 퇴적면(116A)을 형성하고 있고, 이 지지체 수용부(106)에 침입한 파티클을 상기 파티클 퇴적면(116A)에 유치하도록 하고 있다. 그리고, 상기 파티클 퇴적면(116A)의 또한 외주측에 상기 점프아웃 방지 커버 부재(124)가 나사(126)로 고정되어 있다. 이것에 의하면, 지지체 수용부(106)내에 파티클이 침입한 경우에, 파티클을 파티클 퇴적면(116A)상에 유치하여 중앙부로 파티클이 집중하는 것을 방지할 수 있다. 또한, 파티클 퇴적면(116A)은 상기 점프아웃 방지 커버 부재(124)를 마련하고 있지 않은 앞의 실시예에도 적용할 수 있는 것은 물론이다.
<제 4 변형 실시예>
상기 제 2 및 제 3 변형 실시예에서는 점프아웃 방지 커버 부재(124)를 나사(126)에 의해 유지체 본체(104)측에 고정시키도록 했지만, 이것에 한정되지 않는다. 즉, 도 9에 본 발명의 유지체 구조의 제 4 변형 실시예의 지지체 유닛의 부분을 나타내는 확대 단면도와 같이, 유지체 본체(104)의 상면과 측면을 일체적으로 덮는 얇은 표면 커버체(128)를 마련하고, 이 표면 커버체(128)에, 상기 지지체 수용부(106)에 대응시켜 지지체(108)의 상단부가 표면으로부터 위쪽으로 돌출되어 노출하도록 개구(130)를 마련하도록 하고, 표면 커버체(128)에 상기 점프아웃 방지 커버 부재(124)의 기능을 갖게 하도록 해도 좋다. 표면 커버체(128)의 재료로서는, 예를 들면, 알루미늄, 스테인리스 스틸(stainless steel), 니켈(nickel), 티탄(titanium) 등의 금속이나 석영 유리 등의 유리 재료나 질화 알루미늄 등의 세라믹을 이용할 수 있다.
<제 5 변형 실시예>
다음에, 본 발명의 유지체 구조의 제 5 변형 실시예에 대해 설명한다. 앞의 제 2 및 제 3 변형 실시예에서는 점프아웃 방지 커버 부재(124)를 나사(126)에 의해 유지체 본체(104)측에 고정시키고, 지지체 수용부(106)를 유지체 본체(104)에 직접적으로 형성하고 있지만, 이것에 한정되지 않고, 이들을 지지체(108)와 함께, 유지체 본체(104)에 착탈 자유롭게 마련하도록 해도 좋다. 도 10a 및 도 10b는 이러한 본 발명의 유지체 구조의 제 5 변형 실시예의 지지체 유닛(114)의 부분을 나타내는 확대 단면도이다.
도 10a에 나타내는 경우에는 상기 점프아웃 방지 커버 부재(124)를, 하단이 개구된 원통체형상으로 성형하고, 이 원통체형상의 점프아웃 방지 커버 부재(124)내에, 상단에 상기 지지체 수용부(106)가 형성된 삽입편(132)을 해서 삽입하고, 이 지지체 수용부(106)내에 상기 구형형상의 지지체(108)를 수용하도록 해서 지지체 유닛(114)을 형성하고 있다. 그리고, 유지체 본체(104)에는 상기 통체형상의 점프아웃 방지 커버 부재(124)를 삽입할 수 있는 크기의 수용 구멍(134)을 형성하고, 이 수용 구멍(134)내에 상기 지지체 유닛(114)을 삽입하도록 되어 있다. 또한, 도 10b에 나타내는 경우에는 상기 점프아웃 방지 커버 부재(124)를 수용 구멍(134)의 상단의 개구부에 대응시켜 상기 유지체 본체(104)측에 마련한다. 그리고, 삽입편(132)의 외측 표면에 숫나사를 형성하고, 상기 수용 구멍(134)의 내측 표면에 암나사를 형성한다. 이 수용 구멍(134)은 아래쪽으로 관통되어 있고, 삽입편(132)의 상단에 상기 지지체(108)를 지지시킨 상태에서 수용 구멍(134)의 하방으로부터 상기 수용 구멍(134)내에 삽입해도 좋다. 도 10a 및 도 10b에 나타내는 경우에도, 상기한 점프아웃 방지 커버 부재(124)의 기능을 발휘할 수 있다.
<제 6 및 제 7 변형 실시예>
다음에, 본 발명의 유지체 구조의 제 6 및 제 7 변형 실시예에 대해 설명한다. 앞의 각 실시예에서는 지지체 수용부(106)의 저면(116)의 형상을, 예를 들면, 단면 원호형상이나 단면 타원호형상의 곡면형상으로 했지만, 이것에 한정되지 않고, 열신축 방향에 대해 경사진 경사면으로 하거나, 원추형상으로 해도 좋다. 도 11a 및 도 11b는 이러한 본 발명의 유지체 구조의 제 6 변형 실시예의 지지체 유닛을 나타내는 도면이고, 도 11a는 확대 단면도, 도 11b는 평면도이다. 도 12a 및 도 12b는 이러한 본 발명의 유지체 구조의 제 7 변형 실시예의 지지체 유닛을 나타내는 도면이고, 도 12a는 확대 단면도, 도 12b는 평면도이다. 또한, 앞에 설명한 실시예와 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 그 설명을 생략한다.
도 11a 및 도 11b에 나타내는 제 6 변형 실시예에서는 지지체 수용부(106)의 저면(116)은 열신축 방향에 대해 경사져 있다. 여기서는, 예를 들면, 수평방향에 대해 1∼10도 정도 경사진 경사면(136)으로 되어 있고, 이 경사면(136)의 하단측이 구형형상의 지지체(108)가 굴러서 되돌아오는 원래의 위치(원점 위치)로 되어 있다. 따라서, 경사면(136)의 상단부가 유지체 본체(104)의 중심측이 되고, 경사면(136)의 하단부가 유지체 본체(104)의 주변부측이 되도록 경사져 있다. 이 실시예에서는 반도체 웨이퍼(W)가 화살표 ‘138’로 나타내는 방향으로 열수축하면, 구형형상의 지지체(108)는 상기 경사면(136)을 오르도록 굴러서 열수축량을 흡수하고, 그리고, 반도체 웨이퍼(W)가 지지체(108)로부터 멀어지면, 이 경사면(136)을 내리는 방향으로 굴러서 자중에 의해 원래의 위치로 되돌아가게 된다.
따라서, 이 경우에도 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다. 또한, 반도체 웨이퍼(W)를 예비가열하는 경우에는 반도체 웨이퍼(W)의 가열에 의해 반도체 웨이퍼(W)는 신장하므로 상기 유지체 본체(104)의 저면(116)인 경사면(136)의 경사방향은 상기의 경우와는 역방향이 되고, 경사면(136)의 하단부가 유지체 본체(104)의 중심측이 되고, 유지체 본체(104)의 주변부측이 상단부가 되도록 경사져 있다. 이 경우에도 상술한 바와 마찬가지로 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
또, 도 12a 및 도 12b에 나타내는 제 7 변형 실시예의 경우에는 지지체 수용부(106)의 저면(116)은 경사져 있다. 여기서는, 예를 들면, 수평방향에 대해 1∼10도 정도 경사진 원추면(140)으로 되어 있고, 이 원추면(140)의 중심부는 구형형상의 지지체(108)가 굴러서 되돌아오는 원래의 위치(원점위치)로 되어 있다. 따라서, 지지체(108)는 원추면(140)의 중심부로부터 어느 방향을 향해도 구를 수 있도록 되어 있다. 이 실시예에서는 반도체 웨이퍼(W)가 가령 화살표 ‘138’로 나타내는 방향으로 열수축하면, 구형형상의 지지체(108)는 중심부의 원점위치로부터 상기 원추면(140)을 오르도록 굴러서 열수축량을 흡수하고, 그리고, 반도체 웨이퍼(W)가 지지체(108)로부터 멀어지면, 이 원추면(140)을 중심부의 원점위치를 향해 내리는 방향으로 굴러서 자중에 의해 원래의 위치로 되돌아가게 된다. 이 경우, 원추면(140)은 단면 삼각형상이므로, 구형형상의 지지체(108)는 상술한 바와 같이 지지체 수용(106)의 중심부에 위치하고 있고, 따라서, 구형형상의 지지체(108)는 수평면 내의 모든 방향에 대해 굴러서 열신축을 흡수할 수 있다.
<제 8 변형 실시예>
다음에, 본 발명의 유지체 구조의 제 8 변형 실시예에 대해 설명한다. 앞의 각 실시예에서는 지지체(108)의 형상을 구형형상으로 했지만, 이것에 한정되지 않고, 이것을 원기둥(cylinder)형상으로 성형해도 좋다. 도 13a 및 도 13b는 이러한 본 발명의 유지체 구조의 제 8 변형 실시예의 지지체 유닛을 나타내는 도면이고, 도 13a는 확대 단면도, 도 13b는 평면도이다. 또한, 먼저 설명한 실시예와 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 그 설명을 생략한다.
도 13a 및 도 13b에 나타내는 제 8 변형 실시예에서는 지지체(108)는 앞의 구형형상의 지지체와 동일 직경의 원기둥형상으로 되어 있다. 그리고, 지지체 수용부(106)의 저면(116)은 열신축 방향에 대해 경사져 있다. 여기서는 도 11a 및 도 11b에 나타내는 경우와 마찬가지로, 예를 들면, 수평방향에 대해 1∼10도 정도 경사진 경사면(136)으로 되어 있고, 이 경사면(136)의 하단측이 원기둥형상의 지지체(108)가 굴러서 되돌아오는 원래의 위치(원점위치)로 되어 있다. 따라서, 경사면(136)의 상단부가 유지체 본체(104)의 중심측이 되고, 경사면(136)의 하단부가 유지체 본체(104)의 주변부측이 되도록 경사져 있다. 이 실시예에서는 반도체 웨이퍼(W)가 화살표 ‘138’로 나타내는 방향으로 열수축하면, 원기둥형상의 지지체(108)는 상기 경사면(136)을 오르도록 굴러서 열수축량을 흡수하고, 그리고, 반도체 웨이퍼(W)가 지지체(108)로부터 멀어지면, 이 경사면(136)을 내리는 방향으로 굴러서 자중에 의해 원래의 위치로 되돌아가게 된다.
따라서, 이 경우에도 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다. 또한, 반도체 웨이퍼(W)를 예비가열하는 경우에는 반도체 웨이퍼(W)의 가열에 의해 반도체 웨이퍼(W)는 신장하므로 상기 유지체 본체(104)의 저면(116)인 경사면(136)의 경사방향은 상기의 경우와는 역방향으로 되고, 경사면(136)의 하단부가 유지체 본체(104)의 중심측이 되고, 유지체 본체(104)의 주변부측이 상단부가 되도록 경사져 있다. 이 경우에도 상술한 바와 마찬가지로 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
<제 9 변형 실시예>
다음에, 본 발명의 유지체 구조의 제 9 변형 실시예에 대해 설명한다. 앞의 각 실시예에서는 지지체(108)의 형상을 구형형상 혹은 원기둥형상으로 했지만, 이것에 한정되지 않고, 지지체 수용부의 저면을 평면으로 해서, 반도체 웨이퍼를 지지체로부터 이간시켰을 때에 자중에 의해 원래의 위치로 복귀 가능하게 되는 바와 같은 형상으로 해도 좋다. 도 14a 및 도 14b는 이러한 본 발명의 유지체 구조의 제 9 변형 실시예의 지지체 유닛을 나타내는 도면이고, 도 14a는 확대 단면도, 도 14b는 평면도이다. 또한, 앞에 설명한 실시예와 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 그 설명을 생략한다.
도 14a 및 도 14b에 나타내는 제 9 변형 실시예에서는 지지체 수용부(106)의 저면(116)이 수평인 평탄한 면, 즉, 평면(142)으로서 형성되어 있다. 그리고, 지지체(108)의 평면형상이 원형으로 되는 동시에 단면이 대략 타원형상으로 되어 있고, 외력에 의해 어느 한쪽으로 경사져도, 외력이 해제되면 자중에 의해 원래의 수평 상태로 복원하도록 요동 가능한 상태로 되어 있다. 이러한 형상은, 예를 들면, 볼록 렌즈와 동일한 형상이다.
이 실시예에서는 반도체 웨이퍼(W)가 화살표 ‘138’로 나타내는 방향으로 열수축하면, 단면이 대략 타원형상의 지지체(108)는 상기 평면(142)상으로 요동(경사)해서 열수축량을 흡수하고, 그리고, 반도체 웨이퍼(W)가 지지체(108)로부터 멀어지면, 자중에 의해 원래의 위치, 즉, 원래의 수평 상태로 요동(搖動: rocking)해서 되돌아오게 된다.
따라서, 이 경우에도 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다. 또한, 이 실시예의 경우에는 반도체 웨이퍼(W)를 예비가열하는 경우에도 동일한 구조로 대응할 수 있고, 또한, 수평면 내의 모든 방향에 대한 열신축을 흡수할 수 있다. 이 경우에도 상술한 바와 마찬가지로 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다. 또한, 앞의 도 7a 및 도 7b에 나타내는 제 2 변형 실시예로부터 도 10a 및 도 10b에 나타내는 제 5 변형 실시예로 설명한 각 실시 형태를, 상기 도 11a 및 도 11b에 나타내는 제 6 변형 실시예로부터 도 14a 및 도 14b에 나타내는 제 9 변형 실시예에도 적용할 수 있는 것은 물론이다.
<제 10 및 제 11 변형 실시예>
다음에 본 발명의 유지체 구조의 제 10 및 제 11 변형 실시예에 대해 설명한다. 앞의 각 실시예에서는 지지체 수용부(106)내에 지지체(108)는 구름(rolling) 혹은 요동(rocking) 가능하게 되도록 마련되어 있었지만, 이것에 한정되지 않고, 지지체(108)를 회전축을 중심으로 회전 가능하게 지지하도록 해도 좋다. 도 15a 및 도 15b는 이러한 본 발명의 유지체 구조의 제 10 변형 실시예의 지지체 유닛을 나타내는 도면이고, 도 15a는 확대 단면도, 도 15b는 평면도이다. 도 16a 및 도 16b은 이러한 본 발명의 유지체 구조의 제 11 변형 실시예의 지지체 유닛을 나타내는 도면이고, 도 16a는 확대 단면도, 도 16b는 평면도이다. 또한, 앞에 설명한 실시예와 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 그 설명을 생략한다.
도 15a 및 도 15b에 나타내는 제 10 변형 실시예에서는 지지체(108)는 구형형상으로 성형되어 있고, 도 16a 및 도 16b에 나타내는 제 11 변형 실시예에서는 지지체(108)는 원통형상으로 성형되어 있다. 이들 지지체(108)는 모두 지지체 수용부(106)내에, 그 상단을 유지체 본체(104)의 상면의 수평 레벨보다 약간 위쪽으로 돌출시킨 상태로 되어 있고, 그 직경방향의 양단으로부터 수평방향으로 회전축(150)이 연장되어 있다. 그리고, 이 회전축(150)의 양단이 유지체 본체(104)에 회전 자유롭게 지지되어 있다. 이 경우, 상기 지지체(108)는 반도체 웨이퍼(W)의 열신축 방향(유지체 본체(104)의 중심 방향, 혹은 지지되는 반도체 웨이퍼(W)의 중심 방향)인 화살표 ‘152’에 대해 직교하는 방향으로 지지되어 있다.
이들 실시예에서는 반도체 웨이퍼(W)가 화살표 ‘152’로 나타내는 방향으로 열신축하면, 이 구형형상 혹은 원기둥형상의 지지체(108)가 회전축(150)의 양단을 지점으로 해서 회전함으로써 열수축량을 흡수할 수 있다. 또한, 상기 설명에서는 구형형상 혹은 원기둥형상의 지지체(108)를 회전축(150)에 고정시키고 있었지만, 이 회전축(150) 대신에, 양단이 상기 유지체 본체(104)측에 고정된 고정축을 마련하고, 이 고정축에 상기 지지체(108)를 회전 자유롭게 되도록 부착하도록 해도 좋다. 이 경우에도, 상술한 바와 것과 마찬가지의 작용 효과를 발휘할 수 있다.
따라서, 이 경우에도 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다. 또한, 반도체 웨이퍼(W)를 예비가열하는 경우에는 반도체 웨이퍼(W)의 가열에 의해 반도체 웨이퍼(W)는 신장하므로 지지체(108)의 회전방향은 상기한 방향과는 역방향이 된다. 이 경우에도 상술한 것과 마찬가지로 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
<본 발명의 유지체 구조의 검증 실험>
다음에, 상술한 바와 같은 본 발명의 유지체 구조의 검증 실험을 실행했으므로, 그 평가 결과에 대해 설명한다. 여기서의 검증 실험에서는 도 7a 및 도 7b에 나타낸 제 2 변형 실시예에 나타내는 유지체 구조를 로드 록 장치에 이용해서 실행하였다.
이 때의 구형형상의 지지체(108)의 직경은 5㎜, 점프아웃 방지 커버 부재(124)의 개구의 직경은 4.5㎜, 저면(116)의 곡면의 반경은 10㎜이다. 반도체 웨이퍼(W)의 사이즈로서는 직경이 300㎜의 것을 이용하고, 내측 3개, 외측 6개의 합계 9개의 지지체 유닛(114)을 마련해서 각각 구형형상의 지지체(108)로 지지시켜, 각 지지체(108)와의 접촉점을 중심으로 해서 4㎜2의 영역의 파티클이나 흠집을 주사형 현미경(SEM)으로 관찰하였다. 이용한 반도체 웨이퍼는 아무것도 처리를 하고 있지 않은 실리콘 기판, 즉, 베어 실리콘 기판(Bare silicon substrate)과 이면측에 약간 TEOS막(SiO2막)이 부착된 것을 이용하였다. 이 때에 측정된 파티클 수의 값을 도 17에 나타낸다.
또한, 파티클은 직경 80㎚ 이상의 것을 카운트하고 있다. 도 18은 지지체와 접촉한 반도체 웨이퍼 이면의 상태의 일예를 나타내는 전자현미경 사진이다. 또한, 비교를 위해 비교예로서 종래의 지지 핀(도 31 참조)을 이용한 유지체 구조에 대해서도 검증실험을 실행하였다.
도 17에 있어서 측정 1∼3은 내측 3개의 지지체의 접촉점의 결과를 나타내고, 측정 4∼8은 외측 5개의 지지체의 접촉점의 결과를 나타낸다. 또한, 외측의 지지체중 1개에 대해서는 측정시에 잘못해서 핀셋으로 상기 지지체를 접촉점에 끼워 넣었기 때문에, 측정이 무효가 되어 버렸다. 또한, 제 2 변형실시예의 유지체 구조에서는 6300개 반송한 후에 대해서도 검증을 실행하고 있다. 도 17에 나타내는 바와 같이, 비교예의 경우에는 각 측정 1∼8의 모두에 있어서 수 십개의 파티클이 카운트되어, 많은 파티클이 발생하고 있는 것을 알 수 있다.
이에 대해, 제 2 변형 실시예에서는 베어 실리콘 기판의 경우에도, 이면에 부드러워 흠집이 나기 쉬운 TEOS막이 부착되어 있는 경우에도 파티클의 카운트 수는 모두 0이었다. 또한, 6300개의 반도체 웨이퍼 반송 후에 있어서도, 파티클 수는 제로이며, 반도체 웨이퍼의 이면에 파티클이나 흠집이 거의 생기지 않는 것을 확인할 수 있었다.
이러한 결과는 도 18에 나타내는 전자현미경 사진으로부터도 명확하고, 비교예의 경우에는 반도체 웨이퍼의 이면에 다수의 흑점형상의 흠집이 부착되어 있고(200㎛의 스케일), 이것을 확대하면 명백하게 흠집이 나 있는 것을 알 수 있었다(20㎛의 스케일). 이에 반해, 제 2 변형 실시예의 경우에는 반도체 웨이퍼의 이면에 전혀 흠집이 나지 않아(균일하게 흑색으로 보임), 본 발명의 유지체 구조의 유효성을 확인할 수 있었다.
<로드 록 장치의 유지체 구조의 유지체 본체의 변형예>
앞의 로드 록 장치의 유지체 구조에서 이용한 유지체 본체는 단일의 원판형상의 것을 이용한 경우를 예로 들어 설명했지만, 이것에 한정되지 않고, 도 19에 나타내는 바와 같이 구성해도 좋다. 도 19는 유지체 구조의 유지체 본체의 변형예를 나타내는 사시도이다. 앞에 설명한 실시예와 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 그 설명을 생략한다.
이 로드 록 장치의 유지체 구조에 이용하는 유지체 본체(104)는 수평 방향으로 이간시켜 2개로 분할된 판형상의 유지체 본체편(104A)으로 이루어지고, 이들 2개의 유지체 본체편(104A)의 상면측에서 반도체 웨이퍼(W)의 둘레가장자리부의 하면을 지지하도록 되어 있다. 즉, 2개의 유지체 본체편(104A)의 상면측에 반도체 웨이퍼(W)를 가로질러 유지하도록 되어 있다. 상기 각 유지체 본체편(104A)은 동기해서 승강되는 승강 로드(80)에 부착되어 있고, 동시에 승강할 수 있도록 되어 있다. 또한, 상기 2개의 승강 로드(80)를 도중에 연결해서 1개의 액추에이터로 승강시키도록 해도 좋다.
그리고, 상기 각 유지체 본체편(104A)의 상면측에 각각 복수, 도시 예에서는 각각 2개의 지지체 유닛(114)을 마련하고, 이 각 지지체 유닛(114)의 지지체(108)로 반도체 웨이퍼(W)의 이면을 지지하도록 되어 있다. 상기 지지체 유닛(114)으로서는, 우선, 도 1 내지 도 16b에 있어서 설명한 모든 지지체 유닛을 적용할 수 있다. 이 경우에도, 앞에 설명한 바와 것과 마찬가지로 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
<처리 장치에의 적용>
앞의 도 1 내지 도 16b에 나타내는 각 실시예에서는 본 발명의 유지체 구조를, 반도체 웨이퍼(W)를 1개씩 반송하는 낱장식의 로드 록 장치에 적용하는 경우를 예로 들어 설명했지만, 이것에 한정되지 않고, 이 유지체 구조를 처리 장치(14A∼14D)에 적용하도록 해도 좋다. 이 경우에는 탑재대(22A∼22D)로서 전술한 바와 같은 유지체 구조가 이용되게 된다. 또한, 유지체 본체(104)에는 필요에 따라 열원부(110)로서 가열 수단(44)이 마련된다. 이 경우에도, 반도체 웨이퍼(W)의 냉각시에 반도체 웨이퍼(W)에 열신축이 생겨도, 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
<반송 기구에의 적용>
앞의 도 1 내지 도 16b에 나타내는 각 실시예에서는 본 발명의 유지체 구조를, 반도체 웨이퍼(W)를 1개씩 반송하는 낱장식의 로드 록 장치에 적용하는 경우를 예로 들어 설명했지만, 이것에 한정되지 않고, 이 유지체 구조를 반송 기구(24, 34)에 적용하도록 해도 좋다.
도 20은 본 발명의 유지체 구조를 트랜스퍼 챔버(16)(도 1 참조)내에 마련된 제 1 반송 기구(24)에 적용했을 때의 상태를 나타내는 개략 평면도이다. 이 경우에는 아암부(25)의 선단에 부착한 양 픽(25A, 25B)으로서 전술한 바와 같은 유지체 구조가 각각 이용되게 된다. 즉, 유지체 구조의 유지체 본체(104)가 얇은 포크(fork) 형상의 상기 픽형상으로 성형되어 있고, 표면에 전술한 지지체(108) 등을 갖는 지지체 유닛(114)이 마련된다.
여기서는 지지체 유닛(114)은 픽의 기초(base) 부분과 양 선단부에 합계 3개 마련되고, 이 3개의 지지체 유닛(114)으로 반도체 웨이퍼(W)를 지지하게 된다. 이 개수는 특별히 한정되지 않으며, 더 많은 지지체 유닛(114)을 마련하도록 해도 좋다.
또한, 여기서는 제 1 반송 기구(24)를 예로 들어 설명하고 있지만, 본 발명의 유지체 구조를 제 2 반송 기구(34)에도 마찬가지로 적용할 수 있는 것은 물론이다. 이 실시예의 경우에는 열신축에 관계없이, 반도체 웨이퍼(W)의 이면에 스크래치 등의 흠집이 나는 것을 방지할 수 있다.
또, 상기 설명에서는 상기 픽(25A, 25B)으로서, 소위 포크 형상의 픽을 예로 들어 설명했지만, 이것에 한정되지 않고, 임의의 형상의 픽에 대해서도 적용할 수 있다. 예를 들면, 도 21a 및 도 21b은 픽형상의 제 1 변형예를 나타내는 도면이다. 도 21a 및 도 21b에는 단면도와 평면도를 병기하고 있다. 상기 유지체 본체(104)로 되는 이 픽(25A)((104))은 판형상의 베이스판(202)을 갖고 있고, 이 베이스판(202)상에, 반도체 웨이퍼(W)의 직경 이상의 거리를 둔 한 쌍의 원호형상으로 이루어진 기판 유지 부품(204)이 마련되어 있다. 이 기판 유지 부품(204)은 상기 베이스판(202)상에 서로 접근 및 이간 가능하게 지지되어 있다.
도 21a의 경우에는 한쪽(좌측)의 기판 유지 부품(204)이 베이스판(202)의 길이방향을 따라 슬라이드(slide) 이동할 수 있도록 되어 있다. 그리고, 이들 한 쌍의 기판 유지 부품(204)은 단부(段部: stepped portion)(204A)를 형성하도록 단면 L자형상으로 성형되어 있고, 상기 단부(204A)가 서로 대향하도록 배치되어 있다. 그리고, 상기 단부(204A)상에, 상기 반도체 웨이퍼(W)의 주변부의 하면을 맞닿게 해서 이것을 지지하도록 되어 있다.
그리고, 상기 단부(204A)의 양단측의 상면에 전술한 지지체(108) 등을 갖는 지지체 유닛(114)이 마련된다. 따라서, 여기서는 합계 4개의 지지체 유닛(114)이 마련되게 되지만, 이 수는 특히 한정되지 않는다. 도 21a는 반도체 웨이퍼(W)를 협지하기 전의 상태를 나타내고, 도 21b는 반도체 웨이퍼(W)를 기판 유지 부품으로 협지(挾持)하고 있는 상태를 나타내고 있다.
상기 지지체 유닛(104)을 마련하고 있지 않은 종래의 픽형상의 경우에는 반도체 웨이퍼(W)를 협지할 때에, 반도체 웨이퍼(W)의 이면과 기판 유지 부품(204)의 단부(204A)의 상면 사이에서 마찰이 생겨 반도체 웨이퍼의 이면에 스크래치나 흠집 등이 생길 우려가 있었다. 그러나, 상술한 바와 같이 지지체 유닛(114)을 마련하는 것에 의해서 끼워넣음시에 지지체 유닛(114)의 지지체(108)가 구름 혹은 요동하게 되고, 결과적으로 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
또, 도 22a 및 도 22b는 픽형상의 제 2 변형예를 나타내는 도면이다. 도 22a는 반도체 웨이퍼(W)를 협지하기 전의 상태를 나타내고, 도 22b는 반도체 웨이퍼(W)를 기판 유지 부품으로 협지하고 있는 상태를 나타내고 있다. 여기서는 상기 한 쌍의 기판 유지 부품(204)은 이전의 단부(204A)를 마련하고 있지 않고, 단순한 원호형상의 틀로서 형성되어 있다. 그리고, 전술한 지지체(108) 등을 갖는 지지체 유닛(114)은 상기 베이스판(202)의 상면으로서 상기 한 쌍의 기판 유지 부품(204) 사이에 직접적으로 마련된다. 도 22a 및 도 22b에 나타내는 경우에도 한쪽(좌측)의 기판 유지 부품(204)이 베이스판(202)의 길이 방향을 따라 슬라이드 이동할 수 있도록 되어 있다.
제 2 변형예의 픽의 경우도, 상기 제 1 변형예의 픽과 마찬가지의 작용 효과를 발휘할 수 있다. 또한, 도 21a 내지 도 22b에 있어서, 다른쪽(우측)의 기판 유지 부품(204)이 슬라이드 이동할 수 있도록 해도 좋고, 혹은 양쪽의 기판 유지 부품(204)이 서로 접근 혹은 이간하도록 슬라이드 이동 가능하게 마련하도록 해도 좋다. 또한, 도 21a 내지 도 22b에 있어서, 다른 쪽의 픽(25B)도 상기 픽(25A)과 마찬가지로 구성되어 있는 것은 물론이다. 또한, 상기 지지체 유닛(114)으로서는 앞에 설명한 모든 지지체 유닛(114)을 적용할 수 있는 것은 물론이다.
<복수개 웨이퍼를 수용 가능한 로드 록 장치에의 적용>
앞의 도 1 내지 도 16b에 나타내는 각 실시예에서는 본 발명의 유지체 구조를, 반도체 웨이퍼(W)를 1개씩 반송하는 낱장식의 로드 록 장치에 적용하는 경우를 예로 들어 설명했지만, 이것에 한정되지 않고, 이 유지체 구조를 한번에 복수개의 반도체 웨이퍼를 냉각할 수 있는 로드 록 장치에 적용하도록 해도 좋다. 이러한 복수개 웨이퍼용의 로드 록 장치는 처리 장치로서 한번에 복수개의 반도체 웨이퍼를 동시에 처리할 수 있는 처리 장치를 이용한 경우에 유효하다.
도 23은 본 발명의 유지체 구조를 적용한 복수개 웨이퍼용의 로드 록 장치를 나타내는 종단면도, 도 24는 피처리체를 지지하는 지지 수단의 일부를 나타내는 확대 부분 단면도, 도 25는 지지 수단의 지지부의 일예를 나타내는 평면도이다. 또한, 도 1 내지 도 16b에 나타내는 구성 부분과 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 있다.
도시하는 바와 같이, 이 로드 록 장치(160)는 세로로 길게 성형된 로드 록용 용기(70)를 갖고 있다. 이 로드 록용 용기(70)는, 예를 들면, 알루미늄합금이나 스테인리스 스틸 등의 금속에 의해 상자형상으로 형성되어 있다. 이 로드 록용 용기(70)의 일측의 중단에는 반도체 웨이퍼(W)를 반입 및 반출하기 위한 진공측 반출입구(162)가 마련되어 있고, 이 진공측 반출입구(162)에는 게이트밸브(G)를 거쳐서 상기 트랜스퍼 챔버(16)가 연결되어 있다. 또한, 상기 로드 록용 용기(70)의 다른측의 중단에는 상기 진공측 반출입구(162)에 대향하는 위치에 반도체 웨이퍼(W)를 반입 및 반출하기 위한 대기측 반출입구(164)가 마련되어 있고, 이 대기측 반출입구(164)에는 게이트밸브(G)를 거쳐서 상기 로드 모듈(30)이 연결되어 있다.
그리고, 이 로드 록용 용기(70)의 바닥부(70A)에는 배기구(94)가 마련되어 있고, 이 배기구(94)에는 이 로드 록용 용기(70)내의 분위기를 진공배기하는 배기 수단(96)이 마련된다. 구체적으로는, 이 배기 수단(96)은 상기 배기구(94)에 접속된 가스 통로(98)를 갖고 있고, 이 가스 통로(98)에는 개폐 밸브(100) 및 진공 펌프(102)가 순차 개재되어 있다.
그리고, 이 로드 록용 용기(70)내에는 복수개의 피처리체인 반도체 웨이퍼(W)를 복수단에 걸쳐 지지하는 지지부(166)를 갖는 지지 수단(168)이 마련되어 있다. 그리고, 이 지지부(166)에 앞에 설명한 유지체 구조가 적용되게 된다. 상기 지지 수단(168)은 도 25에도 나타내는 바와 같이 기립한 복수개, 여기서는 사각형상으로 배치된 4개의 지주(170A, 170B, 170C, 170D)를 갖고 있다. 그리고, 이들 4개의 지주(170A∼170D)의 상단부는 천판(172)에 일체적으로 연결되어 있고, 또 하단부는 바닥판(174)에 일체적으로 연결되어 있다. 그리고, 이 지주(170A∼170D)는 지주(170A, 170B)와 지주(170C, 170D)의 2개의 그룹으로 나누어져 있고, 상기 2개의 그룹의 지주(170A, 170B)와 지주(170C, 170D)의 사이의 거리는 이 사이에 반도체 웨이퍼(W)를 삽입할 수 있도록 반도체 웨이퍼(W)의 직경보다도 약간 큰 거리 로 설정되어 있다.
그리고, 상기 지주(170A∼170D)에, 그 길이방향을 따라 본 발명의 유지체 구조를 이용한 상기 지지부(166)가 소정의 피치(pitch)로 복수단, 즉, 4단에 걸쳐 부착되어 있고, 여기에 4개의 반도체 웨이퍼를 유지할 수 있도록 되어 있다. 여기서, 상기 지지부(166)는 대향되어 배치된 한 쌍의 선반부재(176A, 176B)로 이루어지고, 이 한 쌍의 선반부재(176A, 176B) 중의 한쪽의 선반부재(176A)를 상기 한쪽의 2개의 지주(170A, 170B) 사이에 수평으로 부착 고정시키고, 다른 쪽의 선반부재(176B)를 다른 쪽의 2개의 지주(170C, 170D) 사이에 수평으로 부착 고정시키고 있다. 여기서 상기 한 쌍의 선반부재(176A, 176B)에 의해, 본 발명의 유지체 구조의 유지체 본체(104)를 구성하게 된다.
그리고, 이 선반부재(176A, 176B)의 대향면측은 반도체 웨이퍼(W)의 주위를 따른 원호형상으로 형성되어 있고, 이 선반부재(176A, 176B)의 상면측에 상기 반도체 웨이퍼(W)를 탑재하는 것에 의해, 반도체 웨이퍼(W)를 지지할 수 있도록 되어 있다. 구체적으로는, 유지체 본체(104)를 구성하는 한 쌍의 선반부재(176A, 176B)의 대향면측 양단측에, 각각 지지체(108) 등을 갖는 앞서 설명한 지지체 유닛(114)을 마련하도록 하고, 합계 4개의 지지체 유닛(114)을 설치하고 있다. 따라서, 이들 합계 4개의 지지체 유닛(114)의 지지체(108)의 상단부에서 반도체 웨이퍼(W)의 이면을 맞닿게 하여, 이것을 지지하도록 되어 있다.
또한, 마련하는 지지체 유닛(114)의 개수는 이것에 한정되지 않고, 또한 증가시키도록 해도 좋다. 상기 지지부(166)가 마련되는 소정의 피치(pitch)는 반도체 웨이퍼(W)를 유지한 각 반송 기구(24, 34)의 각 픽(25A, 25B) 및 각픽(35A, 35B)을 침입할 수 있도록, 예를 들면, 10∼30㎜의 범위내로 설정되어 있다.
이 경우, 도 25에 있어서는 지주(170A, 170B)와 지주(170C, 170D) 사이에, 상기 각 픽(25A, 25B, 35A, 35B)이 침입하게 되고, 화살표 ‘178’로 나타내는 방향이 반입 및 반출 방향으로 된다. 여기서, 상기 지지 수단(168)은 세라믹재, 석영, 금속 및 내열성 수지로 이루어지는 군(group)에서 선택되는 하나이상의 재료에 의해 형성된다. 구체적으로는, 상기 지주(170A∼170D), 천판(172), 바닥판(174)은 알루미늄합금 등의 금속으로 만드는 것이 바람직하고, 반도체 웨이퍼(W)의 하중을 지지하는 지지부(166)는 석영이나 세라믹재 등의 내열부재로 만드는 것이 바람직하다.
그리고, 상기 지지 수단(168)에, 대기압 복귀용의 가스를 냉각 가스로서 분사하기 위해 상기 지지부(166)에 대응시켜 마련된 가스 분사 구멍(180)을 갖는 가스 도입 수단(182)이 마련된다. 구체적으로는, 상기 가스 도입 수단(182)은 상기 지지 수단(168)에 형성된 가스 도입 통로(184)를 갖고 있다. 여기서는 상기 4개의 각 지주(170A∼170D)내에 그 길이방향을 따라 가스 도입 통로(184)가 각각 형성되어 있고, 각 가스 도입 통로(184)로부터는 상기 지지부(166)인 각 선반부재(176A, 176B)내를 관통하도록 가스 노즐(186)이 수평방향을 향해 형성되어 있다.
따라서, 이 가스 노즐(186)의 선단이 상기 가스 분사 구멍(180)으로 되어 있다. 이것에 의해, 각 지지부(166)에 대응시켜 냉각 가스를 수평방향을 향해 분사할 수 있도록 되어 있다. 따라서, 여기서는 1개의 반도체 웨이퍼(W)에 대해 4개의 가스 분사 구멍(180)으로부터 분사한 냉각 가스로 냉각하도록 되어 있다. 또한, 이 1개의 반도체 웨이퍼(W)에 대한 가스 분사 구멍(180)의 수는 4개에 한정되지 않고, 그보다도 적게 해도 좋고 혹은 많게 해도 좋다.
또, 상기 바닥판(174)에는 4개의 상기 가스 도입 통로(184)가 통과하고 있고, 4개의 가스 도입 통로(184)는 1개로 일괄되어 로드 록용 용기(70)의 바닥부(70A)를 기밀하게 관통해서 외부로 인출되어 있다. 또, 로드 록용 용기(70)내에 위치하는 가스 도입 통로(184)의 일부에는 신축 가능하게 이루어진 주름상자부(184A)가 마련되어 있고, 상기 지지 수단(168)의 승강에 따라 주름상자부(184A)가 신축할 수 있도록 되어 있다.
또한, 이 가스 도입 통로(184)의 도중에는 개폐 밸브(90)가 개재되어 있고, 대기압 복귀용의 가스를 냉각 가스로서 필요에 따라 공급할 수 있도록 되어 있다. 이 대기압 복귀용의 가스(냉각 가스)로서는 He 가스, Ar 가스 등의 희가스나 N2 가스 등의 불활성 가스를 이용할 수 있고, 여기서는 N2 가스를 이용하고 있다. 이 경우, 냉각 가스의 온도가 과도하게 낮으면 고온 상태의 반도체 웨이퍼가 급격히 냉각되어 파손 등의 우려가 있고, 냉각 가스의 온도는 냉각해야 할 반도체 웨이퍼 온도에 따라 설정하고, 예를 들면, 냉각 가스의 온도는 실온 정도로 충분하다.
그리고, 상술한 바와 같이 형성된 상기 지지 수단(168)의 바닥판(174)은 승강대(188)상에 설치되어 있고, 이 지지 수단(168)을 상하 방향으로 승강할 수 있도록 되어 있다. 구체적으로는 상기 승강대(188)는 로드 록용 용기(70)의 바닥부(70A)에 형성한 관통 구멍(190)에 삽입 통과된 승강 로드(192)의 상단부에 부착되어 있다. 이 승강 로드(192)의 하단부에는 액추에이터(194)가 부착되어 있고, 이 승강 로드(192)를 상하 방향으로 승강할 수 있도록 되어 있다.
이 경우, 이 액추에이터(194)는 상기 승강대(188)를 상하 방향의 임의의 위치의 상기 지지부(166)가 반송 기구의 픽의 수평 레벨의 위치에 대응시켜 다단층에 정지할 수 있도록 되어 있다. 또, 승강 로드(192)의 관통 구멍(190)을 둘러싸도록 바닥부(70A)에 신축 가능하게 이루어진 금속제의 벨로우즈(196)가 부착되어 있고, 로드 록용 용기(70)내의 기밀성을 유지하면서 승강 로드(192)를 상하동할 수 있도록 되어 있다.
이와 같은 로드 록 장치(160)의 동작은 다음과 같이 된다. 우선, 픽에 유지된 반도체 웨이퍼(W)를 지지 수단(168)의 지지부(166)상에 탑재 이송시키기 위해서는 반도체 웨이퍼(W)를 유지하고 있는 픽을, 지지시키는 대상의 지지부(166)의 위쪽에 삽입하고, 이 상태에서 액추에이터(194)를 구동하는 것에 의해, 지지 수단(168)의 전체를 소정의 거리만큼 상승시키고, 이것에 의해 픽에 유지되어 있던 반도체 웨이퍼(W)는 지지부(166)상에 수수되어 지지된다. 그리고, 픽을 빼내는 것에 의해 탑재 이송이 완료한다.
상기와는 반대로, 지지부(166)상에 지지되어 있던 반도체 웨이퍼(W)를 픽에 탑재 이송시키기 위해서는 비어 있는 픽을 탑재 이송 대상인 반도체 웨이퍼(W)를 지지하고 있는 지지부(166)의 아래쪽에 삽입하고, 이 상태에서 액추에이터(194)를 구동하는 것에 의해 지지 수단(168)의 전체를 소정의 거리만큼 강하시킨다. 이것에 의해 지지부(166)에 지지되어 있던 반도체 웨이퍼(W)는 픽 상에 수수되어 유지된다. 그리고, 반도체 웨이퍼(W)가 유지되어 있는 픽을 빼내는 것에 의해 탑재 이송이 완료된다.
구체적으로는 우선, 처리후의 고온 상태의 반도체 웨이퍼(W)는 미리 진공 상태가 된 로드 록용 용기(70)내의 지지 수단(168)의 각 지지부(166)에 트랜스퍼 챔버(16)측의 제 1 반송 기구(24)를 이용해서 전술한 바와 같이 다단으로 지지된다. 이 때, 반도체 웨이퍼(W)의 이면은 지지부(166)를 구성하는 유지체 구조의 각 지지체(108)에 맞닿고, 이것에 의해서 지지된다.
그리고, 트랜스퍼 챔버(16)측의 게이트밸브(G)를 닫는 것에 의해, 이 로드 록용 용기(70)내를 밀폐한다. 다음에, 가스 도입 수단(182)의 개폐 밸브(90)를 열어 대기압 복귀 가스와 냉각 가스를 겸용하는 N2 가스를 소정의 유량으로 도입한다. 이 도입된 N2 가스는 지지 수단(168)의 각 지주(170A∼170D)에 형성한 각 가스 도입 통로(184)내를 흐르고, 또한 이 가스 도입 통로(184)에 연통된 각 노즐(186)의 선단인 각 가스 분사 구멍(180)으로부터 수평 방향을 향해 분사되어 반도체 웨이퍼(W)의 이면에 닿게 된다.
그 결과, 이 가스 분사 구멍(180)은 각 지지부(166)에 대응시켜 마련되어 있기 때문에, 이 각 지지부(166)에 지지되어 있는 4개의 반도체 웨이퍼(W)는 분사된 N2 가스에 의해 대략 동시에 냉각되게 된다. 이 경우, 1개의 반도체 웨이퍼(W)에 대해 4개의 가스 분사 구멍(180)으로부터 분사되는 N2 가스에 의해 냉각되므로, 반도체 웨이퍼(W)를 효율적으로 냉각할 수 있다.
이 경우에도, 반도체 웨이퍼(W)는 지지부(166)를 구성하는 유지체 구조의 지지체(108)상에 맞닿아 지지되어 있으므로, 반도체 웨이퍼(W)의 냉각시에, 반도체 웨이퍼(W)의 열신축이 생겨도, 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
또한, 상기 도 23 내지 도 25에 나타내는 실시예에 있어서는 반도체 웨이퍼(W)를 지지하는 지지부(166)로서 선반부재(176A, 176B)를 2개의 지주(170A, 170B)간, 혹은 지주(170C, 170D)간에 각각 마련했지만, 이것에 한정되지 않고, 각 지주(170A∼170D)에 대해 개별적으로 블록(block) 부재를 마련하도록 해도 좋다. 도 26은 이러한 로드 록 장치의 변형 실시예의 지지 수단의 단면을 나타내는 확대도이다. 또한, 도 26에 있어서, 도 23 내지 도 25에서 설명한 구성 부분과 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고 있다.
상술한 바와 같이, 여기서는 지지 수단(168)의 각 지주(170A∼170D)에 대해, 지지부(166)로서 개별적으로 블록 부재(200A, 200B, 200C, 200D)를 수평방향을 향해 마련하고 있다. 이 4개의 블록 부재(200A∼200D)로 1개의 유지체 본체(104)를 구성하게 되고, 각 블록 부재(200A∼200D)에 각각 지지체(108) 등을 갖는 지지체 유닛(114)이 마련된다.
그리고, 이 블록 부재(200A∼200D)에 마련한 지지체(108)상에 반도체 웨이퍼(W)의 이면을 맞닿게 해서 이것을 지지하도록 되어 있다. 이 경우, 상기 블록 부재(200A∼200D)의 재료로서 상기 선반부재(176A, 176B)와 동일한 재료를 이용할 수 있다. 그리고, 이 블록 부재(200A∼200D)에, 상기 가스 도입 통로(184)에 연통시켜 도 25에 있어서 나타낸 것과 동일한 구조의 노즐(186) 및 가스 분사 구멍(180)을 각각 형성해서 대기압 복귀용 가스와 냉각 가스를 겸용하는 불활성 가스로서, 예를 들면, N2 가스를 분사하도록 되어 있다. 이 변형 실시예의 경우에도, 앞의 실시예와 마찬가지의 작용 효과를 발휘할 수 있다.
<유지체 구조의 리프터 기구에의 적용>
다음에, 전술한 바와 같이 형성된 유지체 구조를 리프터 기구에 적용한 경우에 대해 설명한다. 상기 유지체 구조는 도 2에 나타내는 로드 록 장치(20A)((20B))의 리프터 기구(74)나 처리 장치(14A)((14B∼14D))의 리프터 기구(46) 등에 적용할 수 있다. 도 27a 및 도 27b은 본 발명의 유지체 구조가 적용된 리프터 기구의 일예를 나타내는 도면, 도 28은 도 27a 및 도 27b에 나타내는 리프터 기구의 동작을 설명하기 위한 동작 설명도이다. 도 27a는 리프터 기구의 사시도, 도 27b는 리프터 기구의 승강 핀의 확대 단면도이다.
일반적으로, 리프터 기구에 있어서는 반도체 웨이퍼의 이면을 3개의 승강 핀으로 지지해서 들어 올리거나 내리거나 하지만, 반도체 웨이퍼의 하중 등에 의해 전체가 휘어 3개의 승강 핀의 선단이 동일 수평 레벨상에 위치하지 않고, 높이방향에 고저차가 생기는 경우가 있다. 이러한 경우, 반도체 웨이퍼를 탑재하는 탑재대(22A)나 유지체 본체(10)(도 2 참조)에 대해 반도체 웨이퍼를 탑재 이송할 때에, 반도체 웨이퍼의 이면에 대해 3개의 승강 핀의 선단이 맞닿는 타이밍이 약간 어긋나 반도체 웨이퍼가 일시적으로 경사하므로, 승강 핀의 선단이 반도체 웨이퍼의 이면에 대해 약간 미끄러지는(slip) 현상이 생기는 경우가 있다. 이 미끄러짐은 전술한 바와 같이 파티클 등의 발생의 원인으로 되므로 바람직하지 않다.
따라서, 본 발명에서는 앞에 설명한 유지체 구조를 리프터 기구에 적용하고 있다. 상기 유지체 구조는 모든 처리 장치의 리프터 기구에 적용할 수 있지만, 여기서는 일예로서 본 발명의 유지체 구조를 처리 장치(14A)의 리프터 기구(46)에 적용한 경우를 예로 들어 설명한다. 도 27a 및 도 27b에 나타내는 바와 같이, 리프터 기구(46)(도 2 참조)는 원호형상으로 형성된 승강판(50)의 상면측에 3개의 승강 핀(48)을 마련하고, 이 전체를 액추에이터(actuator)에 연결되는 승강 로드(51)로 승강시키도록 하고 있다. 그리고, 이 리프터 기구(46)에 본 발명의 유지체 구조(26C)로서 적용하는 경우에는 상기 승강판(50)과, 이 상면에 마련되는 3개의 승강 핀(48)으로 유지체 본체(104)를 구성해서 반도체 웨이퍼(W)의 하중을 받도록 되어 있다.
그리고, 도 27b에 나타내는 바와 같이, 각 승강 핀(48)의 상단부에, 지지체 수용부(106), 구형형상의 지지체(108) 및 점프아웃 방지 커버 부재(124)를 갖는 지지체 유닛(114)을 마련하도록 한다. 이 지지체 유닛(114)은 특히 도 10a 및 도 10b에서 설명한 자체 유닛과 유사하다.
이와 같이 구성하는 것에 의해, 리프터 기구(46)에 적용된 유지체 구조(26C)를 동작시켜, 예를 들면, 탑재대(22A)(도 2 참조)에 대해 반도체 웨이퍼(W)를 탑재 이송하는 경우, 도 28에 나타내는 바와 같이 반도체 웨이퍼(W) 자체의 하중 등에 기인해서 승강판(50) 등이 휘어 각 승강 핀(48)의 상단이 동일 수평 레벨상에 위치하지 않게 되는 경우가 생기고, 승강 핀(48)의 선단이 반도체 웨이퍼(W)의 이면에 대해 미끄러짐이 생길 우려가 발생한다.
그러나, 본 발명에 있어서는 각 승강 핀(48)의 선단부에 지지체 유닛(114)을 마련하고 있으므로, 이 지지체 유닛(114)의 구형형상의 지지체(108)가 구름으로써 상기 미끄러짐(slip)의 발생을 미연에 방지할 수 있다. 이 지지체(108)가 구르는 거리는 불과 수 십㎛ 정도이지만, 이 경우에도, 앞서 설명한 것과 마찬가지로 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
<유지체 구조의 세미 배치(semi-batch)형의 처리 장치의 탑재대에의 적용>
다음에, 전술한 바와 같이 형성된 유지체 구조를 처리 장치내의 탑재대에 적용한 경우에 대해 설명한다. 여기서는 처리 장치로서는 반도체 웨이퍼를 1개씩 처리하는 소위 낱장식의 처리 장치가 아닌, 한번에 2∼10개 정도의 반도체 웨이퍼를 처리하는 소위 세미 배치식(semi-batch type)의 처리 장치에 적용된다.
이 배치(batch)식의 처리 장치의 기본 구조는 도 2에 나타내는 바와 같은 처리 장치(14A)와 대략 동일하고, 처리용기(40) 이외에 가스 공급 수단(58), 배기 수단(62), 리프터 기구(46) 및 가열 수단(44)을 갖고 있고, 다른 점은 반도체 웨이퍼를 1개 탑재하는 크기의 탑재대(22A)가 아닌, 복수개의 반도체 웨이퍼를 탑재할 수 있는 바와 같은 크기의 탑재대를 이용하고 있고, 이것을 회전하면서 반도체 웨이퍼에 대해 처리를 실시하도록 되어 있다.
도 29는 상술한 바와 같이 본 발명의 유지체 구조가 적용된 세미 배치식의 처리 장치의 탑재대를 나타내는 사시도, 도 30a 및 도 30b은 도 29에 나타내는 처리 장치의 탑재대의 일부를 나타내는 부분 확대 단면도이다. 도시하는 바와 같이, 상기 세미 배치식의 처리 장치의 탑재대(210)는 반도체 웨이퍼(W)를 복수개, 도시 예에서는 4개 탑재할 수 있는 크기로 원판형상으로 성형되어 있다. 이 탑재대(210)는 도시하지 않은 회전 모터에 연결된 회전축(212)에 의해 소정의 속도로 회전할 수 있도록 되어 있다. 이 탑재대(210)의 상면에, 그 주변부를 따라 등간격으로 탑재 스페이스(214)가 확보되어 있고, 이 각 탑재 스페이스(214)에 상기 반도체 웨이퍼(W)를 탑재할 수 있도록 되어 있다.
그리고, 각 탑재 스페이스(214)의 외주측에는 도 30a에 나타내는 바와 같이, 반도체 웨이퍼(W)가 원심력으로 바깥쪽으로 돌출하지 않도록 하기 위한 반도체 웨이퍼 멈춤(216)이 마련되어 있다. 이 경우, 도 30b에 나타내는 바와 같이, 상기 탑재 스페이스(214)를 반도체 웨이퍼(W)의 크기보다도 조금 큰 오목부(218)로서 형성하고, 이 오목부(218)의 단부(段部)를 반도체 웨이퍼 멈춤(216)으로서 형성하도록 한 탑재대도 있다.
그리고, 이와 같이 구성된 탑재대(210)에 대해 본 발명의 유지체 구조(26D)를 적용한 경우에는 상기 탑재대(210)가 유지체 본체(104)로서 구성되게 된다. 그리고, 유지체 본체(104)로서 구성된 상기 탑재대(210)의 각 탑재 스페이스(214)의 상면에, 도 30a 및 도 30b에 나타내는 바와 같이 복수의 지지체 유닛(114)을 마련하고, 이 위에 반도체 웨이퍼(W)를 탑재한다. 이 경우, 각 탑재 스페이스(214)상의 지지체 유닛(114)의 수는, 예를 들면, 앞서 설명한 바와 같이 9개 마련한다. 이 지지체 유닛(114)으로서는 도 3 내지 도 13b를 참조해서 설명한 모든 지지체 유닛(114)을 적용할 수 있고, 예를 들면, 이 지지체 유닛(114)을 지지체 수용부(106)와 지지체(108)로 구성하도록 해도 좋고, 이것에 점프아웃 방지 커버 부재(124)를 더해서 구성하도록 해도 좋다.
이와 같은 구성에 있어서, 탑재대(210)가 회전하는 것에 의해서, 탑재대 스페이스(214)상에 탑재되어 있던 반도체 웨이퍼(W)가 원심력에 의해서 반경방향 외측으로 약간 옆으로 미끄러지고, 이 반도체 웨이퍼(W)는 반도체 웨이퍼 멈춤(216)에 의해 멈추게 된다.
이 반도체 웨이퍼(W)가 옆으로 미끄러질 때, 전술한 바와 같이 종래의 탑재대에 있어서는 반도체 웨이퍼(W)의 하면에 슬립이나 흠집 등이 생길 우려가 있었지만, 본 발명의 경우에는 지지체 유닛(114)을 마련하고 있으므로, 이 지지체 유닛(114)의 구형형상의 지지체(108)가 회전함으로써 상기 미끄러짐(slip)의 발생을 미연에 방지할 수 있다. 이 경우에도, 앞에 설명한 바와 같이 반도체 웨이퍼(W)의 이면에 스크래치나 흠집 등이 생기는 것을 방지할 수 있다.
또한, 상기 각 실시예에서는 피처리체로서 반도체 웨이퍼를 예로 들어 설명했지만, 이것에 한정되지 않고, 유리 기판, LCD 기판, 세라믹 기판 등에도 본 발명을 적용할 수 있다.
12: 처리 시스템 14A∼14D: 처리 장치
16: 트랜스퍼 챔버 (transfer chamber)20A, 20B: 로드 록 장치
22A∼22D: 탑재대(유지체 구조) 24: 제 1 반송 기구
25A, 25B: 픽 26A, 26B: 유지체 구조
30: 로드 모듈(loading module) 34: 제 2 반송 기구
35A, 35B: 픽 40: 처리용기
44: 가열 수단 46: 리프터(lifter) 기구
58: 가스 공급 수단 62: 배기 수단
70: 로드 록(load-lock) 용기 74: 리프터 기구
92: 가스 도입 통로 96: 배기 수단
104: 유지체 본체 106: 지지체 수용부
108: 지지체 110: 열원부
112: 냉각 자켓 114: 지지체 유닛
116A: 파티클 퇴적면
124: 점프아웃(jump-out) 방지 커버 부재
166: 지지부(유지체 구조) 168: 지지 수단
170A∼170D: 지주 182: 가스 도입 수단
184: 가스 도입 통로 W: 반도체 웨이퍼(피처리체)

Claims (27)

  1. 판형상의 피처리체를 유지하기 위한 유지체 구조에 있어서,
    상기 피처리체의 하중을 받기 위한 유지체 본체와,
    상기 유지체 본체의 상면에 형성된 복수의 오목부형상의 지지체 수용부와,
    상기 각 지지체 수용부 내에 수용되고 상단이 상기 유지체 본체의 상면보다도 위쪽으로 돌출되어 상기 상단에서 상기 피처리체의 하면과 맞닿아 지지하면서 상기 지지체 수용부 내에서 구름 가능하게 이루어진 지지체를 구비하며,
    상기 지지체 수용부의 저면의 주변부에는 상기 지지체 수용부 내에 침입하는 파티클을 멈추기 위한 파티클 퇴적면이 형성되어 있는 것을 특징으로 하는 유지체 구조.
  2. 제 1 항에 있어서,
    상기 지지체는 구형형상으로 형성되어 있는 것을 특징으로 하는 유지체 구조.
  3. 제 2 항에 있어서,
    상기 지지체 수용부의 저면은 상기 피처리체를 상기 지지체로부터 이간시켰을 때에 상기 지지체를 원래의 위치로 되돌아오게 하도록 곡면형상으로 이루어져 있는 것을 특징으로 하는 유지체 구조.
  4. 제 3 항에 있어서,
    상기 곡면형상은 구형형상, 원추형상 및 타원호형상 중의 어느 하나인 것을 특징으로 하는 유지체 구조.
  5. 제 3 항 또는 제 4 항에 있어서,
    상기 곡면형상은 중앙부가 가장 낮은 형상으로 되어 있는 것을 특징으로 하는 유지체 구조.
  6. 삭제
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 지지체 수용부의 저면은 상기 피처리체를 상기 지지체로부터 이간시켰을 때에 상기 지지체를 원래의 위치에 되돌리도록 상기 피처리체의 열신축 방향에 대해 경사시켜 마련되어 있는 것을 특징으로 하는 유지체 구조.
  8. 제 1 항에 있어서,
    상기 지지체는 원기둥형상으로 형성되어 있는 것을 특징으로 하는 유지체 구조.
  9. 제 8 항에 있어서,
    상기 지지체 수용부의 저면은 상기 피처리체를 상기 지지체로부터 이간시켰을 때에 상기 지지체를 원래의 위치로 되돌리도록 상기 피처리체의 열신축 방향에 대해 경사시켜 마련되어 있는 것을 특징으로 하는 유지체 구조.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 제 1 항에 있어서,
    상기 지지체 수용부의 위쪽에는 상기 지지체가 돌출되는 것을 방지하기 위한 점프아웃 방지 커버 부재가 마련되어 있는 것을 특징으로 하는 유지체 구조.
  14. 삭제
  15. 삭제
  16. 제 1 항에 있어서,
    상기 유지체 본체는
    액추에이터에 의해 승강 가능하게 이루어진 승강판과,
    상기 승강판의 상면측에 마련되는 복수의 승강 핀으로 이루어지고,
    상기 지지체 수용부는 상기 각 승강 핀의 상단부에 마련되어 있는 것을 특징으로 하는 유지체 구조.
  17. 제 1 항에 있어서,
    상기 유지체 본체는
    상기 피처리체를 복수개 동시에 탑재할 수 있도록 되어 있는 동시에 회전 가능하게 되어 있는 것을 특징으로 하는 유지체 구조.
  18. 진공실과 대기실 사이에 게이트밸브를 거쳐서 연결되는 동시에 진공 분위기와 대기압 분위기를 선택적으로 실현할 수 있는 로드 록 장치에 있어서,
    진공배기 및 대기압 복귀가 가능하게 이루어진 로드 록용 용기와,
    상기 로드 록용 용기내에 마련된 청구항 1, 청구항 2, 청구항 3, 청구항 4, 청구항 8, 청구항 9, 또는 청구항 13에 기재된 유지체 구조와,
    상기 피처리체를 가열 및 냉각 중 적어도 하나를 행하는 열원부와,
    상기 피처리체를 유지체 본체 상에 강하 및 유지체 본체 상으로부터 이간시키는 리프터 기구와,
    상기 로드 록용 용기내의 분위기를 진공 배기하는 배기 수단을 구비하는 것을 특징으로 하는
    로드 록 장치.
  19. 진공실과 대기실 사이에 게이트밸브를 거쳐서 연결되는 동시에 진공 분위기와 대기압 분위기를 선택적으로 실현할 수 있는 로드 록 장치에 있어서,
    로드 록용 용기와,
    상기 로드 록용 용기내에 마련되어 복수개의 피처리체를 복수단에 걸쳐 지지하기 위해 청구항 1, 청구항 2, 청구항 3, 청구항 4, 청구항 8, 청구항 9, 또는 청구항 13에 기재된 유지체 구조를 복수개 갖는 지지 수단과,
    대기압 복귀용의 가스를 냉각 가스로서 분사하기 위해 상기 유지체 구조에 대응시켜 마련된 가스 분사 구멍을 갖는 가스 도입 수단과,
    상기 로드 록용 용기내의 분위기를 진공배기하는 배기 수단을 구비한 것을 특징으로 하는
    로드 록 장치.
  20. 제 19 항에 있어서,
    상기 지지 수단은 기립한 복수개의 지주를 갖고 있고, 상기 지주에 상기 유지체 구조가 소정의 피치로 마련되어 있는 것을 특징으로 하는 로드 록 장치.
  21. 제 19 항에 있어서,
    상기 가스 도입 수단은 상기 지지 수단에 형성된 가스 도입로를 갖는 것을 특징으로 하는 로드 록 장치.
  22. 제 19 항에 있어서,
    상기 지지 수단은 승강 가능하게 이루어진 승강대 상에 설치되어 있는 것을 특징으로 하는 로드 록 장치.
  23. 피처리체에 소정의 처리를 실시하기 위한 처리 장치에 있어서,
    상기 피처리체를 수용하는 처리용기와,
    상기 처리용기내에 마련된 청구항 1, 청구항 2, 청구항 3, 청구항 4, 청구항 8, 청구항 9, 또는 청구항 13에 기재된 유지체 구조와,
    상기 피처리체를 가열하는 가열 수단과,
    상기 피처리체를 유지체 본체 상에 강하 및 유지체 본체 상으로부터 이간시키는 리프터 기구와,
    상기 처리용기내에 필요한 가스를 공급하는 가스 공급 수단과,
    상기 처리용기내의 분위기를 배기하는 배기 수단을 구비한 것을 특징으로 하는
    처리 장치.
  24. 제 18 항에 있어서,
    상기 리프터 기구는 청구항 16에 기재된 유지체 구조로 이루어지는 것을 특징으로 하는 로드 록 장치.
  25. 제 23 항에 있어서,
    상기 리프터 기구는 청구항 16에 기재된 유지체 구조로 이루어지는 것을 특징으로 하는 처리 장치.
  26. 피처리체를 반송하기 위한 반송 기구에 있어서,
    굴신 및 선회 가능하게 이루어진 아암부와,
    상기 아암부의 선단에 마련된 청구항 1, 청구항 2, 청구항 3, 청구항 4, 청구항 8, 청구항 9, 또는 청구항 13에 기재된 유지체 구조를 구비한 것을 특징으로 하는
    반송 기구.
  27. 제 26 항에 있어서,
    상기 아암부는 상기 피처리체의 둘레가장자리부를 파지하는 파지 부품을 갖고, 상기 파지 부품이 이동하여 상기 피처리체를 파지시키는 것을 특징으로 하는 반송 기구.
KR1020110003785A 2010-01-14 2011-01-14 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구 KR101274897B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2010-006030 2010-01-14
JP2010006030 2010-01-14
JPJP-P-2010-159193 2010-07-13
JP2010159193A JP5549441B2 (ja) 2010-01-14 2010-07-13 保持体機構、ロードロック装置、処理装置及び搬送機構

Publications (2)

Publication Number Publication Date
KR20110083557A KR20110083557A (ko) 2011-07-20
KR101274897B1 true KR101274897B1 (ko) 2013-06-14

Family

ID=44257602

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110003785A KR101274897B1 (ko) 2010-01-14 2011-01-14 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구

Country Status (5)

Country Link
US (1) US20110168330A1 (ko)
JP (1) JP5549441B2 (ko)
KR (1) KR101274897B1 (ko)
CN (1) CN102163573B (ko)
TW (1) TW201145442A (ko)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
DE102011007632B3 (de) * 2011-04-18 2012-02-16 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer von Prozessgas stammenden Materialschicht auf einer Substratscheibe
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20140087073A1 (en) * 2012-09-24 2014-03-27 Igor Constantin Ivanov Equipment and method of manufacturing for liquid processing in a controlled atmospheric ambient
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101526505B1 (ko) * 2013-06-20 2015-06-09 피에스케이 주식회사 냉각 유닛 및 이를 이용한 냉각 방법, 기판 처리 장치 및 이를 이용한 기판 처리 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN103586230A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 单片清洗装置及其应用方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6303592B2 (ja) * 2014-02-25 2018-04-04 東京エレクトロン株式会社 基板処理装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6276428B2 (ja) * 2015-01-22 2018-02-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びサセプタ
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106571329A (zh) * 2015-10-12 2017-04-19 沈阳拓荆科技有限公司 一种晶圆基板支架结构
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN107275251B (zh) * 2016-04-08 2020-10-16 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108247661B (zh) * 2016-12-28 2022-08-30 林彦全 连接吸嘴的组装结构
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US20190027392A1 (en) * 2017-07-19 2019-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate support apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110459496B (zh) * 2019-08-27 2021-12-07 上海华力集成电路制造有限公司 激光退火机台的晶圆传送装置及其操作方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7336369B2 (ja) * 2019-11-25 2023-08-31 株式会社Screenホールディングス 基板支持装置、熱処理装置、基板支持方法、熱処理方法
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060004652A (ko) * 2003-03-26 2006-01-12 신에쯔 한도타이 가부시키가이샤 열처리용 웨이퍼 지지구 및 열처리 장치
KR20060023021A (ko) * 2004-09-08 2006-03-13 삼성전자주식회사 리프팅 장치
KR100625407B1 (ko) * 2003-02-27 2006-09-19 어플라이드 머티어리얼스, 인코포레이티드 기판 지지부
KR20080024820A (ko) * 2006-09-15 2008-03-19 주성엔지니어링(주) 기판 안치 수단 및 이를 구비하는 기판 처리 장치

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0758039A (ja) * 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
JP4227623B2 (ja) * 1995-12-12 2009-02-18 東京エレクトロン株式会社 半導体処理装置
JP4175697B2 (ja) * 1998-06-18 2008-11-05 オリンパス株式会社 ガラス基板保持具
KR20020088265A (ko) * 2001-05-21 2002-11-27 삼성전자 주식회사 리프트 핀 및 이를 사용하는 기판 스테이지
JP2003124300A (ja) * 2001-10-15 2003-04-25 Tadamoto Tamai クランプ装置及び伸縮アーム
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100944379B1 (ko) * 2003-06-02 2010-02-26 주성엔지니어링(주) 기판의 로딩 장치 및 이를 이용한 기판의 로딩 방법
KR20050088637A (ko) * 2004-03-02 2005-09-07 삼성전자주식회사 지지핀 및 이를 갖는 얼라인 유닛
US20060016398A1 (en) * 2004-05-28 2006-01-26 Laurent Dubost Supporting and lifting device for substrates in vacuum
JP2006005177A (ja) * 2004-06-17 2006-01-05 Tokyo Electron Ltd 熱処理装置
JP2007260624A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 真空装置に用いる真空容器及びその製造方法
JP5192719B2 (ja) * 2007-04-12 2013-05-08 株式会社アルバック 加熱装置および基板処理装置
KR101368899B1 (ko) * 2007-07-09 2014-02-28 (주)뉴영시스템 급속열처리장비의 웨이퍼 안착장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100625407B1 (ko) * 2003-02-27 2006-09-19 어플라이드 머티어리얼스, 인코포레이티드 기판 지지부
KR20060004652A (ko) * 2003-03-26 2006-01-12 신에쯔 한도타이 가부시키가이샤 열처리용 웨이퍼 지지구 및 열처리 장치
KR20060023021A (ko) * 2004-09-08 2006-03-13 삼성전자주식회사 리프팅 장치
KR20080024820A (ko) * 2006-09-15 2008-03-19 주성엔지니어링(주) 기판 안치 수단 및 이를 구비하는 기판 처리 장치

Also Published As

Publication number Publication date
CN102163573B (zh) 2014-09-17
KR20110083557A (ko) 2011-07-20
TW201145442A (en) 2011-12-16
CN102163573A (zh) 2011-08-24
JP2011166107A (ja) 2011-08-25
US20110168330A1 (en) 2011-07-14
JP5549441B2 (ja) 2014-07-16

Similar Documents

Publication Publication Date Title
KR101274897B1 (ko) 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구
KR100613171B1 (ko) 반도체 기판 냉각 방법 및 장치
KR101430852B1 (ko) 접합 시스템, 접합 방법, 프로그램 및 컴퓨터 기억 매체
US8181769B2 (en) Workpiece transfer mechanism, workpiece transfer method and workpiece processing system
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
EP1085557A2 (en) Method and apparatus for heating and cooling substrates
JP2011049507A (ja) ロードロック装置及び処理システム
CN108630569B (zh) 基板处理装置
US20080025835A1 (en) Bernoulli wand
TWI470722B (zh) 基板搬送機構、基板處理裝置及半導體裝置之製造方法
KR20160018411A (ko) 접합 장치, 접합 시스템, 접합 방법 및 컴퓨터 기억 매체
US10535513B2 (en) Apparatus and methods for backside passivation
KR101940580B1 (ko) 로드록 챔버와, 그를 이용하여 기판을 처리하는 방법
KR101898340B1 (ko) 로드록 장치에 있어서의 기판 냉각 방법, 기판 반송 방법, 및 로드록 장치
JP2010239023A (ja) 基板搬送装置及び基板処理装置
US20120257948A1 (en) Loading unit and processing system
CN109461693B (zh) 晶片传送装置、晶片处理系统及方法
JP2011061149A (ja) 共通搬送装置及びこれを用いた処理システム
KR100803562B1 (ko) 기판 처리 장치
CN109545706B (zh) 衬底处理方法及衬底处理装置
JP2008235810A (ja) 熱処理方法及び熱処理装置並びに被処理基板移載方法
US11725272B2 (en) Method, system and apparatus for cooling a substrate
JP2004071618A (ja) 基板処理装置
JP2011198957A (ja) 基板処理装置及び基板保持体及び半導体装置の製造方法
KR20080072257A (ko) 기판 이송 장치 및 이를 이용한 기판 이송 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 7