CN1748301A - 热处理用晶片支持器具及热处理装置 - Google Patents

热处理用晶片支持器具及热处理装置 Download PDF

Info

Publication number
CN1748301A
CN1748301A CNA2004800034873A CN200480003487A CN1748301A CN 1748301 A CN1748301 A CN 1748301A CN A2004800034873 A CNA2004800034873 A CN A2004800034873A CN 200480003487 A CN200480003487 A CN 200480003487A CN 1748301 A CN1748301 A CN 1748301A
Authority
CN
China
Prior art keywords
heat treatment
wafer support
writing
put down
support tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800034873A
Other languages
English (en)
Other versions
CN100352032C (zh
Inventor
今井正幸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Handotai Co Ltd
Original Assignee
Shin Etsu Handotai Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Handotai Co Ltd filed Critical Shin Etsu Handotai Co Ltd
Publication of CN1748301A publication Critical patent/CN1748301A/zh
Application granted granted Critical
Publication of CN100352032C publication Critical patent/CN100352032C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明是提供一种不会发生由高温热处理引起的伤痕或滑移位错,且加工容易、可降低成本的热处理用晶片支持器具及热处理装置。本发明的热处理用晶片支持器具,是至少具有支持热处理的晶片的多个晶片支持构件、和保持该支持构件的支持构件支撑器的热处理用晶片支持器具,其特征在于:所述多个晶片支持构件中的至少一部分支持构件是,其与所述晶片的接触部相对于所述支持构件支撑器可动。

Description

热处理用晶片支持器具及热处理装置
技术领域
本发明是有关于对半导体晶片例如硅晶片等晶片施行热处理时所用的热处理用晶片支持器具及热处理装置。
背景技术
就批量(batch)式热处理用晶片支持器具而言,一般采用在称为舟皿(boat)的支柱具有沟槽的构造物的沟槽,平行地收纳保存多个晶片的形式。特别是在1000℃以上的高温热处理中,由耐热性等来看,一般采用SiC作为晶片支持器具的原材料,使用借助金刚石切割机(DiamondCutter)等,直接在支柱上施行切削加工沟槽的方法。
可是使用此方法,可加工的形状受到限制的缘故,曲面等复杂的形状加工很困难。此外,在切削加工中,也有容易在沟面产生毛边的问题。并且由于欲除去所产生的毛边的追加加工困难、或欲降低接触晶片的沟的表面粗度的研磨困难,故于热处理中,在与晶片的接触部会发生伤痕或滑移位错的问题(日本特开平7-161654号公报、特开平第8-107081号公报)。此外,在加工中,由于支柱易破损,需要较大的劳力和加工时间,故生产性差,降低成本困难。
上述批量式热处理是使用电阻加热(加热器加热),一次热处理多数片晶片,不过除了此种批量式热处理装置外,最近主要应用于单片处理,也频繁地采用借助灯光加热式等,施行急速加热、急速冷却热处理的RTP(Rapid Thermal Processing)装置。
作为使用RTP装置的热处理,例如举例有:为了消除晶片表面缺陷的热处理、为了消除氧供给体的热处理、为了形成浅扩散层的热处理(RTA:Rapid Thermal Annealing)、或是为了形成薄氧化膜的热处理(RTO:Rapid Thermal Oxidation)等。此外,使用灯光加热的单片式外延生长或绝缘膜等气相生长,也广义地包含在采用RTP装置的热处理中。
像这样的RTP处理也与批量式热处理装置同样地,采用供热处理的晶片支持器具(也称为晶片载台(Suscepter)),就晶片支持器具与晶片的接点而言,会发生所谓滑移位错的问题(日本特开第2002-164300号公报)。
发明内容
本发明是为解决上述课题的发明,目的在于提供一种不会发生因高温热处理的伤痕或滑移位错,加工容易,可降低成本的热处理用晶片支持器具及热处理装置。
为解决上述课题,本发明的热处理用晶片支持器热处理用晶片支持器具,是至少具有支持热处理的晶片的多个晶片支持构件、和保持该支持构件的支持构件支撑器的热处理用晶片支持器具,其特征在于:所述多个晶片支持构件中的至少一部分支持构件是,其与所述晶片的接触部相对于所述支持构件支撑器可动。
所述接触部的形状,相对于所述热处理的晶片,为凸的曲面,特别以球形或椭圆球形为佳。所述晶片支持构件是由销构件所构成,所述支持构件支撑器是由保持所述销构件的销构件支撑器所构成,所述销构件是嵌入于形成在该销构件支撑器的销孔所配置的构成最适合。所述销构件是构成可从所述销构件支撑器取下为佳,并且加工圆柱状的原材料为佳。所述销构件及销构件支撑器的原材料举例有:SiC、硅或石英。所述销孔最好设多个,而且该销孔的形状以缝隙状为佳。所述缝隙状销孔是从所述销构件支撑器的中心配置成放射状为佳。所述销构件支撑器最好为圆板状或圆环状,该销构件支撑器为圆板状时,在其中心位置设有圆状销孔的构成最适合。
所述晶片支持构件是包括作为与所述热处理的晶片的接触部的旋转体,该旋转体是收容于形成在所述晶片支持构件或所述支持构件支撑器的旋转体收容孔,也可借助与所述热处理的晶片的摩擦力,进行旋转。
所述旋转体为球形、椭圆球形、圆筒形或圆柱形的任一种形状为佳。所述旋转体收容孔以缝隙槽状为佳,该缝隙槽状的旋转体收容孔最好是从所述支持构件支撑器的中心,配置成放射状。所述支持构件支撑器为圆板状或圆环状为佳。所述旋转体的原材料可采用SiC、硅或石英。
本发明的热处理用晶片支持器具,更具有:保持多个所述支持构件支撑器的支柱、和保持该支柱的基座。所述支持构件支撑器是构成可从所述支柱取下最适合。所述支柱及基座的原材料可采用SiC、硅或石英。
本发明的热处理装置,具备有上述的本发明的热处理用晶片支持器具。
附图说明
图1是表示本发明的热处理用晶片支持器具的第一实施方式的侧面说明图。
图2是表示从图1的构造取下上基座及上侧的晶片的状态的上面说明图。
图3是表示销构件的制造方式的说明图,分别表示(a)为圆柱状的销构件原材料,以及(b1)为销构件的完成品的一例,以及(b2)为销构件的完成品的其它例。
图4是表示应用于本发明的热处理用晶片支持器具的销构件支撑器(holder)之一的构造例的俯视图。
图5是图2的V-V线放大断面图。
图6是图2的VI-VI线放大断面图,分别表示(a)是销构件为直立状态,(b)是销构件倾斜于外周方向的状态,(c)是销构件倾斜于中心方向的状态,(d)是于销构件的下端部形成圆角(R)的形状。
图7是表示本发明的热处理用晶片支持器具的第二实施方式的侧面说明图。
图8是表示应用于本发明的热处理用晶片支持器具的销构件支撑器的其它构造例的俯视图。
图9是表示本发明的热处理用晶片支持器具的第三实施方式主要部份的侧面说明图,分别表示(a)为晶片支持状态的一例,(b)是从(a)状态,伸长晶片并旋转作为晶片支持构件的旋转体的状态。
图10是作为晶片支持构件的各种形状的旋转体的俯视图,分别表示(a)为球形,(b)为椭圆球形,(c)为圆柱形或圆筒形。
图11是表示本发明的热处理用晶片支持器具的第四实施方式主要部份的侧面说明图,分别表示(a)为晶片支持状态的一例,(b)是从(a)状态,伸长晶片并旋转作为晶片支持构件的旋转体的状态。
图12是表示纵型热处理炉的一例的概略说明图。
图13是表示RTP装置的一例的概略说明图。
图14是实施例2的说明图,(a)是所使用的热处理用晶片支持器具的俯视图,(b)是使用X射线形貌法(X-Ray Topography)来观察热处理后的晶片的结果的照片。
图15是比较例1的说明图,(a)是表示在所使用的晶片支撑器载置晶片状态的断面说明图,(b)是表示使用X射线形貌法来观察热处理后的晶片的结果的照片。
图16是实施例3的说明图,(a)是表示所使用的热处理用晶片支持器具的俯视图,(b)是表示使用X射线形貌法来观察热处理后的晶片的结果的照片。
图中,10-晶片支持器具,12、14-基座,16-柱,18、20-承孔,22-销构件,22a-销构件原材料,26-接触部,28-嵌合部,W-晶片,30-插入槽,32-销构件支撑器,33-开口部,34a-凹状嵌合孔,34b-缝隙状嵌合孔,40-支持构件支撑器,42-晶片支持构件,44-梯形构件,46-旋转体收容孔,48-旋转体,50、52-箭头,110-热处理炉,112-加热器,114-工艺管件,116-舟皿,118-保温筒,120-炉口部,122-盖体,210-热处理装置,211-处理室,212-加热灯,213-自动瞬间开闭器,214-石英托盘,215-三点支持部,216-缓冲器,217-高温计,219-气体导入口,220-气体排气口。
具体实施方式
以下根据所附图面来说明本发明的实施方式,但图示例为举例表示的例示,在不脱离本发明的技术思想的限制下,当然可做各种变形。
在图1中,10是有关本发明的热处理用晶片支持器具。该晶片支持器具10是具有,面对面设置在上下方向的上下一对基座12、14、以及立设在该上下基座12、14之间的多根(图2的例为三根)支柱16。
借助在穿设在该上基座12的下面的承孔18及穿设在该下基座14的上面的承孔20,形成分别嵌合着该支柱16的上端部及下端部的构造,该支柱16是保持为可从该基座12、14取下的状态。
22是作为用于支持施行热处理的晶片W的晶片支持构件的销构件。该销构件22乃如图3(b1)、(b2)所示,在前端部设有用来支持晶片W的接触部26,且在基端部设有嵌合部28。
上述销构件22的接触部26的形状,相对所支持的晶片W,以凸的曲面为佳。图1、图2及图3(b1)所示的例子中,该接触部26的形状是表示球形的情况。
该接触部26的形状,乃如上所述,只要是相对所支持的晶片W为凸的曲面的话,当然可采用球形以外的形状,如图3(b2)及图7的第二实施方式所示,也可将接触部26形成椭圆球形。再者,在图7中,与图1相异的点仅在销构件22的形状,省略针对构造的再度说明,不过与图10构件相同或类似的构件,以相同符号表示。
在所述支柱16的侧面设有相同高度的插入槽30。32是作为可拆装地保持上述销构件22的支持构件支撑器的销构件支撑器,其形状未特别限定,不过在图2及图4表示形成圆板状的情况。借助在所述插入槽30插入销构件支撑器32,销构件支撑器32,对支柱16而言,可取下地插入保持。此外,销构件支撑器32是如图2及图4所示,形成圆板状外,如图8所示,也可在中央部形成穿设开口部33的圆环状。
如图2及图4所示,在所述圆板状销构件支撑器32,对应在该销构件22的嵌合部28,而穿设有凹状嵌合孔34a及缝隙状嵌合孔34b。这些嵌合孔(销孔)可为贯通孔或有底孔的任一种孔。借助在设置在该圆板状销构件支撑器32的中心部的该凹状嵌合孔34a,嵌入该嵌合部28,该销构件22即可取出地保持在该圆板状销构件支撑器32上。此外,嵌合在自该圆板状销构件支撑器32的中心部放射状设置的缝隙状嵌合孔34b的销构件22,是针对热处理工艺中的晶片W的伸缩方向的动作,欲缓和发生在销构件22和晶片W的接触面的应力的构造,即,自晶片W的伸缩方向即从圆板状销构件支撑器32的中心向着外周方向[图6(b)]或其相反方向即朝中心方向[图6(c)],可相对圆板状销构件支撑器32动作数mm程度。此时,销构件22易动作的缘故,在该嵌合部28的下端部的周缘,如图6(d)所示,形成称为圆角(R)的圆形为佳。
此外,在图2、图4及图8所示的例子中,表示支柱16的设置根数为三根的情形,不过支柱16的设置根数只要是可支持基座12、14的根数即可,并未特别限定。
所述销构件22是如图3(a)、(b1)、(b2)所示,将圆柱状的销构件原材料22a,利用旋盘施以研磨加工,由此就很容易取得,且销构件22特别容易控制其接触部26的表面粗糙度。销构件原材料22a可采用直径1至7mm左右,长度5至10mm左右的SiC、Si(单晶、多晶)、石英等。此外,支柱16及基座12、14的原材料也很适合采用SiC、硅或石英。
设置在图2及图4所示的圆板状销构件支撑器32的中心部的凹状嵌合孔34a是为直径1至7mm左右的圆形,从销构件支撑器32的中心部呈放射状设置的缝隙状嵌合孔34b是为宽幅1至7mm、长度4至21mm左右。缝隙状嵌合孔34b是为将其缝隙的长边方向,从销构件支撑器32的中心部呈放射状配置3至24处左右(图2及图4为六处)。将销构件支撑器32乃图8形成圆环状的情形下,理所当然的省略掉设置在销构件支撑器32的中心部的嵌合孔34a,不过将销构件支撑器32如图4形成圆板的情形下,也可省略掉嵌合孔34a。
如上所述,在本发明的晶片支持器具中,销构件的前端部的与晶片之间的接触部的形状,对晶片而言,以形成凸的曲面方式所构成,在热处理中,就不会在与晶片的接触部发生伤痕或滑移位错,而因生产性提升,可降低成本。
销构件22的与晶片W之间的接触部26,还可以另外加工其接触部26的表面粗糙度,仅将接触部26形成所希望的表面粗糙度。并且,借助适当选择销构件22的形状和嵌合孔34a、34b,即可任意设计晶片W与销构件22接触的位置(晶片W的面内的位置)或接触部26的形状。而且,采用能够将销构件22形成为从销构件支撑器32取出的构成时,清洗或更换都很容易,此外,也可再加工表面而再利用。
在上述实施方式中,举例表示:销构件22对销构件支撑器32而言,是处于通过嵌合孔34a、34b而可拆装地保持的状态,销构件支撑器32对支柱16而言,通过插入槽30而保持在可拆装状态,此外支柱16对基座12、14而言,通过承孔18、20而保持在可拆装状态的构造,不过对应需要,也可采用以下方式,即,将销构件22相对在销构件支撑器32而固定为不可拆装,将销构件支撑器32相对在支柱16而固定为不可拆装,此外,将支柱16相对在基座12、14而固定为不可拆装。
图1至图8所示的例子中,表示采用销构件22作为晶片支持构件的情形,不过也可采用销构件22以外的构件作为晶片支持构件,根据图9至图11在以下做说明。
在图9(a)、(b)中,40是为晶片支持构件支撑器,构成有关本发明的热处理用晶片支持器具。该支持构件支撑器40是用来保持晶片支持构件42。该晶片支持构件42是具有设置在支持构件支撑器40的上面的梯形构件44。在该梯形构件44的上面穿设有旋转体收容孔46。在该旋转体收容孔46中可旋转地嵌入作为与施行热处理的晶片W之间的接触部的旋转体48。箭头50是表示旋转体48的规定位置。就该旋转体48的形状而言,并未特别限定,不过如图10所示,由上面观看可采用(a)球形、(b)椭圆球形、(c)圆柱形或圆筒形等形状。
根据上述的构成,如图9(a)所示,晶片W借助旋转体48而被接触支持的状态下,施行晶片W的热处理,则晶片会朝以箭头52所示的晶片W的延伸方向伸长,而且,由箭头50的位置即可明白,上述旋转体48是如图9(b)所示地进行旋转,因此可抑制在热处理中的晶片W的与旋转体的接触部发生伤痕或滑移位错。
再者,在图9(a)、(b)的例子中,在梯形构件44的上面穿设旋转体收容孔46,不过形成省略该梯形构件44而在支持构件支撑器40的上面,穿设旋转体收容孔46,在该旋转体收容孔46直接嵌入旋转体48的构成也可。此外,也可形成其它形成的构件取代梯形构件44。
在图9(a)、(b)的例子中,是表示将旋转体48嵌入到梯形构件44的旋转体收容孔46的情形,不过如图11(a)、(b)所示,该旋转体收容孔46的形状形成缝隙槽状,成为在该缝隙槽状旋转体收容孔46可游动旋转地收容旋转体48的构成也可。此时,缝隙槽状旋转体收容孔46最适合从支持构件支撑器40的中心配置成放射线状。借助此构成,如图11(a)所示,晶片W使用旋转体48而接触支持的状态下,施行晶片W的热处理的话,晶片W会伸长至以箭头52所示的晶片W的延伸方向,而且,由箭头50的位置即可明白,上述旋转体48是图11(b)所示地进行旋转,这样,与图9的情形同样地,能够抑制在热处理中的晶片W的与旋转体的接触部发生伤痕或滑移位错。特别是在图11的构成中,上述旋转体48是利用旋转,此时的旋转摩擦极小,在防止产生起因在摩擦的伤痕或滑移位错上,有很大的效果。
再者,图11(a)、(b)的例子中,也在该梯形构件44的上面穿设缝隙槽状旋转体收容孔46,不过形成省略该梯形构件44,而在支持构件支撑器40的上面穿设缝隙槽状旋转体收容孔46,在该缝隙槽状旋转体收容孔46直接可游动旋转地收容旋转体48的构成也可。
本发明的热处理装置乃作为具备有上述第一至第四实施方式举例所示的本发明的热处理用晶片支持器具的热处理装置。
作为此种热处理装置已知的有:例如像12图所示的纵型热处理炉。同图中,110为纵型热处理炉。该热处理炉110是由以下部分构成:同心状配置的加热器112、和配置其内侧的工艺管件(process tube)114、和载置多个晶片的舟皿(boat)116、和拆装自如地安装该舟皿116上的保温筒118、和在其下部在热处理时塞住工艺管件114下端的炉口部120的盖体122、和使该舟皿116、保温筒118及盖体122向着工艺管件114的内部而上下移动的未图示的升降机构。再者,115是设置在工艺管件114的下端部的凸缘部。在该纵型热处理炉110中,在上述舟皿116适用本发明的热处理用晶片支持器具,由此以该纵型热处理炉110作为本发明的热处理装置使用。
此外,作为此种热处理装置,可采用急速加热、急速冷却(RTP)装置。RTP装置的一例根据图13做说明。图13是表示RTP装置的一例的概略说明图。在图13中,210为热处理装置,换句话就是RTP装置。该热处理装置210具有由石英制成的处理室211,在该处理室211内对晶片W进行热处理。加热是使用从上下左右围绕处理室211的方式所配置的加热灯212来施行。该加热灯212可个别控制独立供给的电力。
在该处理室211的气体导入侧,设置气体导入口219,在气体的排气侧,装备自动瞬间关闭器(Autoshutter)213,封锁住外气。在自动瞬间关闭器213设有由闸门阀构成的可开关的未图示的晶片插入口。此外,在自动瞬间关闭器13设有气体排气口220,可调整炉内的气氛。
而且,晶片W配置在支持治具上,例如配置在形成于石英托盘214的三点支持部215之上。在石英托盘214的气体导入口侧,设置石英制的缓冲器216,防止从气体导入口219被导入的气体,直接伤害到晶片W。
此外,在处理室211设有未图示的温度测定用特殊窗,使用设置在处理室211的外部的高温计217,通过该特殊窗来测定晶片W的温度。
在该RTA装置210中,借助应用本发明的热处理用晶片支持器具来取代晶片支持器具例如石英托盘214,则该RTA装置210可作为本发明的热处理装置使用。
在以下列举实施例,对本发明更具体化,不过实施例乃为举例所示的例子,当然并不限在所解释的例子。
实施例1
基座、支柱、销构件的原材料是使用SiC,制作图1及图2所记载的热处理用晶片支持器具。此时,销构件是使用直径5mm、长度10mm的圆柱状材料,并在其前端部形成直径约5mm的球状接触部,且基端部是形成直径约3mm。此外,放射状设置的六处的缝隙状销孔,是形成为其中央部配置在从销构件支撑器的中心相隔110mm的位置。
使用此种热处理用晶片支持器具,施行直径300mm、结晶方位<100>、p型、约10Ωcm的CZ硅单晶晶片的热处理。调查与晶片的接触部的伤痕或滑移位错的发生状况。
热处理条件是氩100%气氛下、1200℃、1小时、晶片的投入及取出温度为700℃。
对热处理后的晶片使用X射线形貌法(X-Ray Topography),来调查晶片支持器具和晶片的接触部的伤痕或滑移位错的发生状况的结果,几乎看不见这些发生情形。
实施例2
基座、支柱、销构件的原材料是使用SiC,制作如图1所记载的热处理用晶片支持器具。但销构件支撑器32为直径320mm、厚度1mm,嵌入销构件的缝隙34b为宽幅3.5mm、长度9mm的贯通孔,如图14(a)所示,在半径140mm的位置,以120度间隔在三处,在半径120mm的位置,以120度间隔在三处,并且在半径60mm的位置,以120度间隔在三处的合计九处,分别形成放射状,在该九处全部配置可动销构件。此时,销构件是使用直径8mm、长度10mm的圆柱状材料,在其前端部形成直径约6mm的球状接触部,且基端部为直径约3mm。销构件和晶片的接触面积,九处合计也为10mm2以下。
使用此种热处理用晶片支持器具,施行直径300mm、结晶方位<100>、p型、约10Ωcm的CZ硅单晶晶片的热处理,调查与晶片的接触部的滑移位错的发生状况。
热处理条件是,假想为SIMOX晶片制作用的高温长时间热处理,氩和氧的混合气体气氛下、1350℃、8小时、晶片的投入及取出温度为600℃。其中,SIMOX(Separation by Ion-implanted Oxygen)是作为SOI(SiliconOn Insulator)晶片的制作方法之一,是在硅单晶晶片中注入氧离子进行热处理,由此在硅单晶晶片内部形成SiO2层的方法。将热处理后的晶片,使用X射线形貌法所观察的结果表示在第14图(b)。根据图14(b)可知,尽管热处理条件为1350℃、8小时间的极严格条件,也几乎未见发生滑移位错。在这里,在图14(a)、(b)中,60是表示晶片的触点位置的箭头。
比较例1
如图15(a)所示,使用以往的晶片支撑器70(与晶片的接触部为环状,接触面积约为25000mm2),在利用与实施例2相同处理的晶片W的相同热处理条件下,进行热处理,使用X射线形貌法来观察滑移位错的结果表示在图15(b)。
由图15(b)即可明白,使用以往的晶片支撑器的情况下,发生滑移位错的情形较多。此外,与图14(b)比较,其差异很显着,得知本发明的晶片支持器具的耐滑特性极高。
实施例3
为了确认可动销构件的效果,在实施例2所使用的销构件支撑器32中,在半径120mm的位置,另外制作以120度间隔的仅三处的销构件,作为固定销构件22f(图16(a)),使用这个,在与实施例2相同的条件下,进行热处理后,使用X射线形貌法来观察滑移位错的结果表示在图16(b)。在图16(a)、(b)中,60是表示晶片的刻痕位置的箭头。
根据图16(b)可知,与将九处全部作为可动销构件的情形的图14(b)相比,发现会稍微产生滑移位错,得知该滑移位错的发生位置大致上与固定销构件的位置一致。因而,确认与晶片支持器具的晶片的接触部的可动性具有滑移位错抑制效果。
像这样,与晶片支持器具的晶片的接触部形成可动性的话,可得到滑移位错抑制效果,故如以往的晶片支持器具,与接触部完全固定的情形相比时,如实施例3这样使接触部的一部分形成为赋予可动性的话,可得到所谓滑移位错降低的本发明效果。当然所有的接触部形成可动性是最有效。
工业上的可利用性
如以上所述,使用本发明的热处理用晶片支持器具进行晶片热处理时,能有效抑制因高温热处理的伤痕或滑移位错,且本发明的热处理用晶片支持器具,其加工很容易,故能达到降低制作成本的大幅效果。特别是本发明的热处理用晶片支持器具,如SIMOX晶片,对高温长时间的热处理而言,有效性极高。
此外,根据具备此种热处理用晶片支持器具的本发明的热处理装置,即可提供一种高温热处理后,伤痕或滑移位错少的晶片,故可提高使用该晶片所制造的装置品质或成品率。

Claims (22)

1.一种热处理用晶片支持器具,是至少具有支持热处理的晶片的多个晶片支持构件、和保持该支持构件的支持构件支撑器的热处理用晶片支持器具,其特征在于:
所述多个晶片支持构件中的至少一部分支持构件是,其与所述晶片的接触部相对于所述支持构件支撑器可动。
2.根据权利要求1项所记载的热处理用晶片支持器具,其特征在于:
所述接触部的形状,相对于所述热处理的晶片,为凸的曲面。
3.根据权利要求1或者2项所记载的热处理用晶片支持器具,其特征在于:
所述接触部的形状为球形或椭圆球形。
4.根据权利要求1~3中任意一项所记载的热处理用晶片支持器具,其特征在于:
所述晶片支持构件是由销构件所构成,所述支持构件支撑器是由保持所述销构件的销构件支撑器所构成,所述销构件是嵌入于形成在该销构件支撑器的销孔而配置。
5.根据权利要求4项所记载的热处理用晶片支持器具,其特征在于:
所述销构件是以可从所述销构件支撑器取下的方式构成。
6.根据权利要求4或者5项所记载的热处理用晶片支持器具,其特征在于:
所述销构件是由圆柱状的原材料加工成。
7.根据权利要求4~6中任意一项所记载的热处理用晶片支持器具,其特征在于:
所述销构件及销构件支撑器的原材料为SiC、硅或石英。
8.根据权利要求4~7中任意一项所记载的热处理用晶片支持器具,其特征在于:
设多个所述销孔。
9.根据权利要求4~8中任意一项所记载的热处理用晶片支持器具,其特征在于:
所述销孔为缝隙状。
10.根据权利要求9项所记载的热处理用晶片支持器具,其特征在于:
所述缝隙状销孔是以从所述销构件支撑器的中心成构成放射状的方式配置。
11.根据权利要求4~10中任意一项所记载的热处理用晶片支持器具,其特征在于:
所述销构件支撑器为圆板状或圆环状。
12.根据权利要求11项所记载的热处理用晶片支持器具,其特征在于:
所述销构件支撑器为圆板状,在其中心位置设有圆状销孔。
13.根据权利要求1或者2项所记载的热处理用晶片支持器具,其特征在于:
所述晶片支持构件包括作为与所述热处理的晶片的接触部的旋转体,该旋转体是收容在形成于所述晶片支持构件或所述支持构件支撑器上的旋转体收容孔,可借助与所述热处理的晶片的摩擦力进行旋转。
14.根据权利要求13项所记载的热处理用晶片支持器具,其特征在于:
所述旋转体为球形、椭圆球形、圆筒形或圆柱形中的任一种形状。
15.根据权利要求13或者14项所记载的热处理用晶片支持器具,其特征在于:
所述旋转体收容孔为缝隙槽状。
16.根据权利要求15项所记载的热处理用晶片支持器具,其特征在于:
所述缝隙槽状的旋转体收容孔是从所述支持构件支撑器的中心配置成放射状。
17.根据权利要求13~16中任意一项所记载的热处理用晶片支持器具,其特征在于:
所述支持构件支撑器为圆板状或圆环状。
18.根据权利要求13~17中任意一项所记载的热处理用晶片支持器具,其特征在于:
所述旋转体的原材料为SiC、硅或石英。
19.根据权利要求1~18项中任意一项所记载的热处理用晶片支持器具,其特征在于:
还具有:保持多个所述支持构件支撑器的支柱、和保持该支柱的基座。
20.根据权利要求19项所记载的热处理用晶片支持器具,其特征在于:
所述支持构件支撑器是以可从所述支柱取下的方式构成。
21.根据权利要求19或者20项所记载的热处理用晶片支持器具,其特征在于:
所述支柱及基座的原材料为SiC、硅或石英。
22.一种热处理装置,其特征为:
具有权利要求1~21中任意一项所记载的热处理用晶片支持器具。
CNB2004800034873A 2003-03-26 2004-03-22 热处理用晶片支持器具及热处理装置 Expired - Fee Related CN100352032C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003085137 2003-03-26
JP085137/2003 2003-03-26

Publications (2)

Publication Number Publication Date
CN1748301A true CN1748301A (zh) 2006-03-15
CN100352032C CN100352032C (zh) 2007-11-28

Family

ID=33095016

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800034873A Expired - Fee Related CN100352032C (zh) 2003-03-26 2004-03-22 热处理用晶片支持器具及热处理装置

Country Status (7)

Country Link
US (1) US7393207B2 (zh)
EP (1) EP1608011A4 (zh)
JP (1) JP4363401B2 (zh)
KR (1) KR100877129B1 (zh)
CN (1) CN100352032C (zh)
TW (1) TW200501306A (zh)
WO (1) WO2004086496A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101275286A (zh) * 2006-12-27 2008-10-01 斯尔瑞恩公司 用于在晶片退火期间防止滑移位错的晶片支撑销以及使用其的晶片退火方法
CN102648518A (zh) * 2009-10-20 2012-08-22 株式会社尼康 基板支承装置、基板支承构件、基板搬送装置、曝光装置、及元件制造方法
CN104603567A (zh) * 2012-09-10 2015-05-06 Soitec公司 用于竖直烘箱的多个晶片的支撑设备
CN108352343A (zh) * 2015-12-30 2018-07-31 马特森技术有限公司 毫秒退火系统中的衬底支承件

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7640267B2 (en) 2002-11-20 2009-12-29 Radar Networks, Inc. Methods and systems for managing entities in a computing device using semantic objects
JP4935002B2 (ja) * 2005-06-30 2012-05-23 ウシオ電機株式会社 加熱ユニット
US7564536B2 (en) * 2005-11-08 2009-07-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2008058397A1 (en) * 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US7977258B2 (en) * 2007-04-06 2011-07-12 Mattson Technology, Inc. Method and system for thermally processing a plurality of wafer-shaped objects
TWI393212B (zh) * 2007-07-27 2013-04-11 Han Yang Chen 熱處理用晶圓支持器
KR101405346B1 (ko) * 2008-01-04 2014-06-12 삼성디스플레이 주식회사 기판 지지대, 이를 포함하는 기판 처리 장치 및 기판 정렬방법
JP2009187990A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd プラズマ処理装置
JP5071217B2 (ja) * 2008-04-17 2012-11-14 信越半導体株式会社 縦型熱処理用ボートおよびそれを用いたシリコンウエーハの熱処理方法
EP2302998A4 (en) * 2008-06-20 2011-08-31 Univ Georgia DEVELOPMENT OF HERBICIDE-RESISTANT HERBICIDE SPECIES
US20110214196A1 (en) * 2008-06-20 2011-09-01 University Of Georgia Research Foundation Development of herbicide-resistant grass species
US8042697B2 (en) 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
JP2010027959A (ja) * 2008-07-23 2010-02-04 Sumco Corp 高抵抗simoxウェーハの製造方法
US8186661B2 (en) * 2008-09-16 2012-05-29 Memc Electronic Materials, Inc. Wafer holder for supporting a semiconductor wafer during a thermal treatment process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130067761A1 (en) * 2011-09-16 2013-03-21 Shenzhen China Star Optoelectronics Technology Co. Ltd. Drying apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9075266B2 (en) * 2012-04-19 2015-07-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Device for prebaking alignment film by using temperature-controllable pin to support substrate and method thereof
KR101354600B1 (ko) * 2012-07-24 2014-01-23 엘지디스플레이 주식회사 개선된 보트, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6086056B2 (ja) * 2013-11-26 2017-03-01 信越半導体株式会社 熱処理方法
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN105140169B (zh) * 2015-07-29 2018-10-19 深圳市华星光电技术有限公司 一种支撑结构及真空设备
KR102386998B1 (ko) * 2015-07-30 2022-04-15 엘지디스플레이 주식회사 서포터 핀 및 이를 포함하는 열처리장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10072892B2 (en) * 2015-10-26 2018-09-11 Globalwafers Co., Ltd. Semiconductor wafer support ring for heat treatment
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6637321B2 (ja) * 2016-02-03 2020-01-29 株式会社Screenホールディングス 熱処理用サセプタおよび熱処理装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US20190027392A1 (en) * 2017-07-19 2019-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate support apparatus and method
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102108296B1 (ko) * 2018-09-21 2020-05-12 세메스 주식회사 기판의 열처리 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021012944A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 基板処理装置及び基板の受け渡し方法
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021190552A (ja) * 2020-05-29 2021-12-13 株式会社Screenホールディングス 熱処理装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220059394A1 (en) * 2020-08-24 2022-02-24 Taiwan Semiconductor Manufacturing Company Limited Method and device to reduce epitaxial defects due to contact stress upon a semicondcutor wafer
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270961B1 (en) * 1987-04-01 2001-08-07 Hyseq, Inc. Methods and apparatus for DNA sequencing and DNA identification
JPH02139935A (ja) * 1988-11-21 1990-05-29 Nec Corp 半導体製造装置
US5128247A (en) * 1989-08-14 1992-07-07 Board Of Regents, The University Of Texas System Methods for isolation of nucleic acids from eukaryotic and prokaryotic sources
US5130423A (en) * 1990-07-13 1992-07-14 Microprobe Corporation Non-corrosive compositions and methods useful for the extraction of nucleic acids
IL108497A0 (en) * 1993-02-01 1994-05-30 Seq Ltd Methods and apparatus for dna sequencing
JP3316068B2 (ja) 1993-12-01 2002-08-19 東京エレクトロン株式会社 熱処理用ボート
JP3755836B2 (ja) 1994-10-03 2006-03-15 東芝セラミックス株式会社 縦型ボート
CA2223896A1 (en) * 1995-06-08 1996-12-27 Robert Hugh Don Method and apparatus for dna extraction
US5945515A (en) * 1995-07-31 1999-08-31 Chomczynski; Piotr Product and process for isolating DNA, RNA and proteins
JP3328763B2 (ja) * 1995-10-30 2002-09-30 エヌティティエレクトロニクス株式会社 縦型ウエハボートのウエハ支持構造
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
JP2000353737A (ja) * 1999-06-14 2000-12-19 Toray Ind Inc 基板整列装置
DE10003639C2 (de) * 2000-01-28 2003-06-18 Steag Rtp Systems Gmbh Vorrichtung zum thermischen Behandeln von Substraten
US7204887B2 (en) * 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP2002164300A (ja) 2000-11-29 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
US6497403B2 (en) * 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101275286A (zh) * 2006-12-27 2008-10-01 斯尔瑞恩公司 用于在晶片退火期间防止滑移位错的晶片支撑销以及使用其的晶片退火方法
CN102648518A (zh) * 2009-10-20 2012-08-22 株式会社尼康 基板支承装置、基板支承构件、基板搬送装置、曝光装置、及元件制造方法
CN104603567A (zh) * 2012-09-10 2015-05-06 Soitec公司 用于竖直烘箱的多个晶片的支撑设备
US9835377B2 (en) 2012-09-10 2017-12-05 Soitec Support device for a plurality of wafers for a vertical oven
CN108352343A (zh) * 2015-12-30 2018-07-31 马特森技术有限公司 毫秒退火系统中的衬底支承件
CN108352343B (zh) * 2015-12-30 2022-04-08 玛特森技术公司 毫秒退火系统中的衬底支承件
US11810802B2 (en) 2015-12-30 2023-11-07 Beijing E-town Semiconductor Technology Co., Ltd. Substrate support in a millisecond anneal system

Also Published As

Publication number Publication date
TWI327759B (zh) 2010-07-21
US20070006806A1 (en) 2007-01-11
JP4363401B2 (ja) 2009-11-11
CN100352032C (zh) 2007-11-28
KR100877129B1 (ko) 2009-01-07
WO2004086496A1 (ja) 2004-10-07
KR20060004652A (ko) 2006-01-12
US7393207B2 (en) 2008-07-01
EP1608011A1 (en) 2005-12-21
JPWO2004086496A1 (ja) 2006-06-29
TW200501306A (en) 2005-01-01
EP1608011A4 (en) 2010-07-21

Similar Documents

Publication Publication Date Title
CN100352032C (zh) 热处理用晶片支持器具及热处理装置
JP3245246B2 (ja) 熱処理装置
KR100296365B1 (ko) 실리콘단결정웨이퍼의열처리방법과그열처리장치및실리콘단결정웨이퍼와그제조방법
CN100543936C (zh) 半导体硅基板用热处理夹具
TWI391540B (zh) 擋板晶圓與用於其上之隨機定向多晶矽
CN1653591A (zh) 在包含基座的处理室中加热半导体基板的工艺和系统
KR101537960B1 (ko) 종형 열처리용 보트 및 이를 이용한 실리콘 웨이퍼의 열처리 방법
WO2003060967A1 (en) Susceptor for epitaxial growth and epitaxial growth method
CN1682360A (zh) 热处理装置、半导体装置的制造方法及衬底的制造方法
CN102473614B (zh) 硅晶片的热处理方法
US6607381B2 (en) Auxiliary heat-insulating jig
TW200908199A (en) Wafer supporting jig, vertical heat treatment boat including the same, and manufacturing method of wafer supporting jig
JP3672300B2 (ja) 薄膜成長装置用のリフトピン、その形成方法およびリフトピン頭部
CN1453836A (zh) 半导体器件的制造方法
JP5396737B2 (ja) エピタキシャルシリコンウェーハ及びその製造方法
JP3333577B2 (ja) 熱処理用ボート及び縦型熱処理装置
JP3942317B2 (ja) 半導体ウェーハ熱処理用保持具および熱処理方法
CN102460658A (zh) 硅晶片及硅晶片的热处理方法
JP2000150523A (ja) シリコン単結晶ウェーハの熱処理装置
JP4370822B2 (ja) 半導体基板の熱処理ボートおよび熱処理方法
JP2000124143A (ja) 熱処理装置
JP2005166823A (ja) 半導体基板熱処理装置、半導体基板熱処理用ウェハボート、及び半導体基板の熱処理方法
JP2007036105A (ja) シリコンウェーハ用サセプタ
JP2003100648A (ja) 半導体ウエハ熱処理用治具
JPH08107080A (ja) 縦型ウェ−ハボ−ト

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071128

CF01 Termination of patent right due to non-payment of annual fee