TWI327759B - - Google Patents

Download PDF

Info

Publication number
TWI327759B
TWI327759B TW093108376A TW93108376A TWI327759B TW I327759 B TWI327759 B TW I327759B TW 093108376 A TW093108376 A TW 093108376A TW 93108376 A TW93108376 A TW 93108376A TW I327759 B TWI327759 B TW I327759B
Authority
TW
Taiwan
Prior art keywords
wafer
heat treatment
support
plug
shape
Prior art date
Application number
TW093108376A
Other languages
English (en)
Other versions
TW200501306A (en
Original Assignee
Shinetsu Handotai Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shinetsu Handotai Kk filed Critical Shinetsu Handotai Kk
Publication of TW200501306A publication Critical patent/TW200501306A/zh
Application granted granted Critical
Publication of TWI327759B publication Critical patent/TWI327759B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

1327759 Π) 玖、發明說明 【發明所屬之技術領域】 本發明係有關於令半導體晶圓例如矽晶圓等晶圓施行 熱處理時所用的熱處理用晶圓支持具及熱處理裝置。 【先前技術】 就晶片式熱處理用晶圓支持具而言,一般採用在稱爲 晶舟的支柱具有溝槽的構造物的溝槽,平行地收納保存複 數晶圓的形式。特別是在1 〇 〇 〇 °c以上之高溫熱處理中, 由耐熱性等來看,一般採用Sic作爲晶圓支持具的素材, 使用藉由鑽石切割器(Diamond Cutter)等,直接於支柱上 施行切削加工溝槽的手法。 可是使用此手法,可加工的形狀受到限制的緣故,曲 面等複雜的形狀加工很困難。此外,在切削加工中,也有 易在溝面產生毛邊的問題。並且由於欲除去所產生的毛邊 之追加加工困難、或欲減低接觸晶圓之溝的表面粗度的硏 磨困難,故於熱處理中,在與晶圓的接觸部會發生碰觸或 滑移的問題(日本特開平第7 — 1 6 1 654號公報 '特開平第 8- 1 0708 1號公報)。此外,於加工中,由於支柱易破損, 須要較大的勞力和加工時間,故生產性差,減低成本困 難。 上記晶片式熱處理是使用電阻加熱(加熱器加熱),一 次熱處理多數片晶圓,不過除了此種晶片式熱處理裝置 外,最近主要應用於單片處理,亦頻繁地採用藉由燈光加 -4- (2) (2)1327759 熱式等,施行急速加熱、急速冷卻熱處理的RTP( Rap id Thermal Processing)裝置。 使用RTP裝置的熱處理,例如舉例有:爲了解決晶 圓表面缺陷的熱處理、爲了解決氧供給體的熱處理、爲了 形成淺擴散層的熱處理(RTA: Rapid Thermal Annealing)、 或是爲了形成薄氧化膜的熱處理(RTO: Rapid Thermal Oxidation)等。此外’使用燈光加熱的單片式磊晶成長或 絕緣膜等氣相成長,亦廣義地包含採用RTP裝置的熱處 理。 像這樣的RTP處理亦與晶片式熱處理裝置同樣地, 採用供熱處理的晶圓支持具(亦稱爲晶片載台 (Suscepter)),就晶圓支持具與晶圓的接點而言,會發生 所謂滑移的問題(日本特開第2002 - 164300號公報)。 【發明內容】 本發明係爲解決上記課題的發明,目的在於提供一不 會發生因高溫熱處理的碰觸或滑移,加工容易,可減低成 本的熱處理用晶圓支持具及熱處理裝置。 爲解決上記課題,本發明的熱處理用晶圓支持具乃屬 於至少具有:支持熱處理的晶圓的複數晶圓支持構件 '和 保持該支持構件的支持構件夾具的熱處理用晶圓支持具 中’前記複數晶圓支持構件中的至少一部分的支持構件係 與前記晶圓的接觸部,爲可相對於前記支持構件夾具而轉 動爲其特徴。 -5. (3) (3)1327759 前記接觸部的形狀,相對於前記熱處理的晶圓,爲凸 的曲面,特別以球形或橢圓球形爲佳。前記晶圓支持構件 是由插銷所構成,前記支持構件夾具是由保持前記插銷的 插銷夾具所構成,前記插銷是嵌入於形成在該插銷夾具的 插銷孔所配置的構成最適合》前記插銷是構成可從前記插 銷夾具取下爲佳,並且加工圓柱狀的素材爲佳。前記插銷 及插銷夾具的素材舉例有:SiC'矽或石英。前記插銷孔 最好設複數個,而且該插銷孔的形狀以縫隙狀爲佳。前記 縫隙狀插銷孔是從前記插銷夾具的中心配置成放射狀爲 佳。前記插銷夾具最好爲圓板狀或圓環狀,該插銷夾具爲 圓板狀時,在其中心位置設有圓狀插銷孔的構成最適合。 前記晶圓支持構件是包括作爲與前記熱處理的晶圓的 接觸部的旋轉體,該旋轉體是收容於形成在前記晶圓支持 構件或前記支持構件夾具的旋轉體收容孔,亦可藉由與前 記熱處理的晶圓的摩擦力,構成旋轉。 前記旋轉體爲球形、橢圓球形、圓筒形或圓柱形的任 一種形狀爲佳。前記旋轉體收容孔以溝縫狀爲佳,該溝縫 狀的旋轉體收容孔最好是從前記支持構件夾具的中心,配 置成放射狀。前記支持構件夾具爲圓板狀或圓環狀爲佳。 前記旋轉體的素材可採用SiC、矽或石英。 本發明的熱處理用晶圓支持具,更具有:保持複數前 記支持構件夾具的支柱、和保持該支柱的基座。前記支持 構件夾具是構成可從前記支柱取下最適合。前記支柱及基 座的素材可採用SiC、矽或石英。 (4) (4)1327759 本發明的熱處理裝置,具備有上記之本發明的熱處理 用晶圓支持具。 【實施方式】 [用以實施發明的最佳形態] 以下根據所附圖面來說明本發明的實施形態,但圖示 例爲舉例表示的例示,在不脫離本發明之技術思想的限制 下,當然可做各種變形。 於第1圖中,10係有關本發明的熱處理用晶圓支持 具。該晶圓支持具1〇係具有,面對面設置在上下方向的 上下一對基座12、14、以及立設在該上下基座12、14之 間的複數根(第2圖之例爲三根)支柱1 6 » 藉由在穿設於該上基座12之下面的承孔18及穿設於 該下基座14之上面的承孔20,形成分別嵌固著該支柱16 的上端部及下端部的構造,該支柱16是保持在可從該基 座1 2、1 4取下的狀態。 2 2是作爲用於供支持施行熱處理的晶圓W的晶圓支 持構件的插銷。該插銷22乃如第3圖(bl)、(b2)所示,在 前端部設有用來支持晶圓W的接觸部26,且在基端部設 有嵌固部28。 上記插銷22的接觸部26的形狀,相對於所支持的晶 圓W,以凸的曲面爲佳。第1圖、第2圖及第3圖(bl)所 示的例子中,該接觸部26的形狀係表示球形的情況。 該接觸部26的形狀’乃如上所述,相對於所支持的 (5) (5)1327759 晶圓W,爲凸的曲面的話,當然可採用球形以外的形狀, 如第3圖(b2)及第7圖的第二實施形態所示,亦可將接觸 部26形成橢圓球形。再者,於第7圖中,與第1圖相異 之點僅在於插銷2 2的形狀,省略針對構造的再度說明, 不過與第1 〇圖構件相同或類似的構件,以相同符號表示。 在前記支柱1 6的側面設有相同高度的插入溝3 0。3 2 係作爲可拆脫地保持上記插銷2 2的支持構件夾具的插銷 夾具,其形狀未特別限定,不過於第2圖及第4圖表示形 成圓板狀的情況。藉由在前記插入溝30插入插銷夾具 32,插銷夾具32,對支柱16而言,保持可取下地插入。 此外,插銷夾具32係如第2圖及第4圖所示,形成圓板 狀外,如第8圖所示,亦可在中央部形成穿設開口部33 的圓環狀。 如第2圖及第4圖所示,在前記圓板狀插銷夾具32 對應於該插銷22的嵌固部28,而穿設有凹狀嵌固孔34a 及縫隙狀嵌固孔34b。該些嵌固孔(插銷孔)可爲貫通孔或 有底孔的任一種孔。藉由於設置在該圓板狀插銷夾具32 的中心部的該凹狀嵌固孔34a,嵌入該嵌固孔28 ’該插銷 22即保持在可取出於該圓板狀插銷夾具32。此外,自該 圓板狀插銷夾具32的中心部,嵌固於放射狀設置的縫隙 狀嵌固孔Wb的插銷22’係針對熱處理製程中的晶圓W 的伸縮方向的動作,欲緩和發生在插銷22和晶圓W的接 觸面的應力,自晶圓W的伸縮方向即圓板狀插銷夾具32 的中心,向著外周方向[第6圖(b)]或其相反方向即中心方 (6) (6)1327759 向[第6圖(c)],成爲對圓板狀插銷夾具32而言,可相對 地數mm程度動作的構造。此時,插銷22易動作的緣 故,在該嵌固部28之下端部的周緣,如第6圖(d)所示, 形成稱爲圓角(R)的圓形爲佳。 此外,於第2圖 '第4圖及第8圖所示的例子中,表 示支柱1 6的設置根數爲三根的情形’不過支柱1 6的設置 根數只要是可支持基座12、14的根數即可,並未特別限 定。 前記插銷22係如第3圖(a)、(bl)、(b2)所示,將圓 柱狀的插銷素材22a,利用旋盤施以硏磨加工,藉此就很 容易取得,且插銷22就特別容易控制其接觸部26的表面 粘度。插銷素材22a可採用直徑1至7mm左右,長度5 至10mm左右的SiC、Si(單結晶、多結晶)、石英等。此 外,支柱16及基座12、14的素材亦很適合採用SiC、矽 或石英。 設置在第2圖及第4圖所示的圓板狀插銷夾具32之 中心部的凹狀嵌固孔34a係爲直徑1至7mm左右的圓 形,從插銷夾具32的中心部呈放射狀設置的縫隙狀嵌固 孔3 4b係爲寬幅1至7mm、長度4至21mm左右。縫隙狀 嵌固孔34b係爲將其縫隙的長邊方向,從插銷夾具32的 中心部呈放射狀配置3至24處左右(第2圖及第4圖爲六 處)。將插銷夾具32乃第8圖形成圓環狀的情形下,理所 當然的省略掉設置在插銷夾具32的中心部的嵌固孔 34a,不過將插銷夾具32如第4圖形成圓板的情形下,亦 -9- (7) 1327759 可省略掉嵌固孔34a。 如上所述,於本發明的晶圓支持具中,與插銷前端 的晶圓的接觸部的形狀,對晶圓而言,以形成凸的曲面 式所構成,於熱處理中,就不會在與晶圓的接觸部發生 觸或滑移,而因生產性提昇,可減低成本。 與插銷22的晶圓W的接觸部26,係另外追加加工 接觸部26的表面粘度,亦可僅將接觸部26形成所希望 表面粘度。並且,藉由適當選擇插銷22的形狀和嵌固 3 4a、3 4b,即可任意設計晶圓 W與插銷22接觸的位 (晶圓W之面內的位置)或接觸部26的形狀。而且,採 只能將插銷22從插銷夾具32取出的構成時,淸洗或更 都很容易,此外,亦可再加工表面而再利用。 於上記各實施形態中,舉例表示:插銷22對插銷 具32而言’透過嵌固孔34a、34b而保持可拆脫狀態, 銷夾具32對支柱16而言,透過插入溝30而保持在可 脫狀態,此外支柱16對基座12、14而言,透過承孔 2〇而保持在可拆脫狀態的構造,不過對應需要,可將 銷22相對於插銷夾具32而固定爲不可拆脫,將插銷夾 32相對於支柱16而固定爲不可拆脫,此外,將支柱 相對於基座12、14而固定爲不可拆脫亦可。 第1圖至第8圖所示的例子中,表示採用插銷22 爲晶圓支持構件的情形,不過亦可採用插銷22以外的 件作爲晶圓支持構件,根據第9圖至第1 1圖於以下做 明。 部 方 碰 其 的 孔 置 用 換 夾 插 拆 1 8 插 具 16 作 構 說 -10- (8) (8) 成 40 有 形 孔 的 該 所 形 轉 話 上 所 轉 上 而 該 外 到 圖 1327759 於第9圖(a) ' (b)中,40係爲晶圓支持構件夾具, 有關本發明的熱處理用晶圓支持具。該支持構件夾 係用來保持晶圓支持構件4 2。該晶圓支持構件4 2係 設置在支持構件夾具40之上面的梯形構件44。在該 構件44的上面穿設旋轉體收容孔46。在該旋轉體收 46可旋轉地嵌入作爲與施行熱處理之晶圓W的接觸 旋轉體 48。箭頭50係表示旋轉體48的預定位置。 旋轉體4 8的形狀而言,並未特別限定,不過如第! 〇 示,由上面觀看可採用(a)球形、(b)橢圓球形、(c)圓 或圓筒形等形狀。 根據上記的構成,如第9圖(a)所示,晶圓W使用 體4 8而接觸支持的狀態下,施行晶圓 w之熱處理 ,晶圓會伸長至以箭頭5 2所示的晶圓W之延伸方向 記旋轉體4 8係由箭頭5 0的位置即可明白,如第9圖 示地進行旋轉,就可抑制在與熱處理中的晶圓 W的 體的接觸部發生碰觸或滑移。 再者,在第9圖(a)、(b)的例子中,在梯形構件44 面穿設旋轉體收容孔46,不過形成省略該梯形構件 在支持構件夾具40的上面,穿設旋轉體收容孔46, 旋轉體收容孔46直接嵌入旋轉體48的構成亦可。 ,亦可形成其它形成的構件取代梯形構件44。 在第9圖(a)、(b)的例子中,係表示將旋轉體48嵌 梯形構件44之旋轉體收容孔46的情形,不過如第 (a)、(b)所示,該旋轉體收容孔46的形狀形成溝縫狀 構 具 具 梯 容 部 就 圖 柱 旋 的 , (b) 旋 的 44 在 此 入 -11 - 11 1327759 Ο) 成爲在該溝縫狀旋轉體收容孔46可遊動旋轉地收容旋轉 體48的構成亦可。此時,溝縫狀旋轉體收容孔46最適合 從支持構件夾具40的中心配置成放射線狀。藉由此構 成,如第1 1圖(a)所示,晶圓W使用旋轉體48而接觸支 持的狀態下,施行晶圓W之熱處理的話,晶圓W會伸長 至以箭頭5 2所示的晶圓W之延伸方向,上記旋轉體4 8 係由箭頭50的位置即可明白,第1 1圖(b)所示地進行旋 轉,與第9圖的情形同樣地,就可抑制在與熱處理中的晶 圓W的旋轉體的接觸部發生碰觸或滑移。特別是在第11 圖的構成中,上記旋轉體48是利用旋轉,此時的旋轉摩 擦極小,在防止產生起因於摩擦的碰觸或滑移上,有很大 的效果。 再者,第11圖(a)、(b)的例子中,亦在該梯形構件 44的上面穿設溝縫狀旋轉體收容孔46,不過形成省略該 梯形構件44,而在支持構件夾具40的上面穿設溝縫狀旋 轉體收容孔46,在該溝縫狀旋轉體收容孔46直接可遊動 旋轉地收容旋轉體4 8的構成亦可。 本發明的熱處理裝置乃屬於具備有上記第一至第四實 施形態舉例所示的本發明之熱處理用晶圓支持具的熱處理 裝置。 此種熱處理裝置據知有例如像是第12圖所示的縱型 熱處理爐。同圖中,110爲縱型熱處理爐。該熱處理爐 1 1 〇係由:同心狀配置的加熱器1 1 2、和配置其內側的製 程管件1 1 4、和載置複數片晶圓的晶舟1 1 6、和拆裝自如 -12- (10) (10)1327759 地安裝該晶舟116的保溫筒118、和在其下部於熱處理時 塞住製程管件114下端的爐口部120的蓋體122、和使該 晶舟116、保溫筒118及蓋體122向著製程管件114的內 部而上下之圖未表示的昇降手段所構成。再者’ 115係爲 設置在製程管件114的下端部的凸緣部。該縱型熱處理爐 1 1 〇中,在上記晶舟1 1 6適用本發明的熱處理用晶圓支持 具,藉此以該縱型熱處理爐110作爲本發明之熱處理裝置 使用。 此外,此種熱處理裝置亦可採用急速加熱、急速冷卻 (RTP)裝置。RTP裝置之一例根據第13圖做說明。第13 圖係爲表示RTP裝置之一例的槪略說明圖。於第13圖 中,210爲熱處理裝置,換句話就是RTP裝置。該熱處理 裝置210係具有由石英製成的處理室211,在該處理室 211內令晶圓W進行熱處理。加熱是使用從上下左右圍繞 處理室2 1 1的方式所配置的加熱燈2 1 2來施行。該加熱燈 2 1 2可各別控制獨立供給的電力。 在該處理室211的氣體導入側,設置氣體導入口 2 19,在氣體的排氣側,裝備自動瞬間關閉器(Auto shimer)213,封鎖住外氣。在自動瞬間關閉器213設有使 用閘閥而構成可開關之圖未示的晶圓插入口。此外,在自 動瞬間關閉器13設有氣體排氣口 220,可調整爐內的環 境氣氛。 而且’晶圓w是配置於形成在支持治具例如石英托 盤214的三點支持部215之上。在石英托盤214的氣體導 -13- (11) (11)1327759 入口側’設置石英製的緩衝器216,防止從氣體導入口 219被導入的氣體,直接碰觸到晶圓w。 此外’在處理室211設有圖未表示的溫度測定用特殊 窗’使用設置在處理室211之外部的高溫計217,通過該 特殊窗來測定晶圓W的溫度。 於該RTA裝置210中,藉由應用本發明的熱處理用 晶圓支持具來取代晶圓支持具例如石英托盤2 1 4,該RTA 裝置210可作爲本發明的熱處理裝置使用》 於以下列舉實施例,令本發明更具體化,不過實施例 乃爲舉例所示的例子,當然並不限於所解釋的例子。 (實施例1) 基座、支柱、插銷的素材是使用SiC,製作第1圖及 第2圖所記載的熱處理用晶圓支持具。此時,插銷係使用 直徑5mm、長度10mm的圓柱狀材料,並在其前端部形成 直徑約5mm的球狀接觸部,且基端部係形成直徑約3mm 此外,放射狀設置的六處的縫隙狀插銷孔,係形成其中央 部配置在離插銷夾具之中心1 l〇mm的位置。 使用此種熱處理用晶圓支持具,施行直徑3 00mm、 結晶方位<1〇〇>、P型、約1〇Ω cm的CZ矽單結晶晶圓的 熱處理。調查與晶圓之接觸部的碰觸或滑移的發生狀況。 熱處理條件係氬1〇〇%氣氛下、1 200 °C、1小時、晶 圓的投入及取出溫度爲7〇〇°C。 熱處理後的晶圓係使用 X射線形貌法(X-Ray -14- (12) (12)1327759
Topography),來調查晶圓支持具和晶圓的接觸部的碰觸 或滑移的發生狀況之結果,幾乎看不見該些發生情形。 (實施例2) 基座、支柱、插銷的素材是使用SiC,製作如第1圖 所記載的熱處理用晶圓支持具。但插銷夾具32爲直徑 320mm'厚度1mm’嵌入插銷的縫隙34b爲寬幅3.5mm、 長度9mm的貫通孔,如第14圖(a)所示,於半徑l4〇mm 的位置’以1 2 0度間隔在三處,於半徑1 2 〇 m m的位置, 以120度間隔在三處,並且於半徑6〇mm的位置,以120 度間隔在三處之合計九處’分別形成放射狀,在該九處全 部配置可動插銷。此時,插銷係使用直徑8mm、長度 1 0mm的圓柱狀材料,在其前端部形成直徑約6mm的球狀 接觸部’且基端部爲直徑約3mm。與插銷和晶圓的接觸 面積,合計九處均爲10mm2以下。 使用此種熱處理用晶圓支持具,施行直徑300mm、 結晶方位< 1 0 0 >、p型' 約1 0 Ω c m的C Z矽單結晶晶圓的 熱處理’調查與晶圓的接觸部的滑移之發生狀況。 熱處理條件係假設SIMOX晶圓製作用的高溫長時間 熱處理,氬和氧的混合氣體氣氛下、1350。(:、8小時、晶 圓的投入及取出溫度爲600°C。再者,SIMOX(Separation by Ion-implanted Oxygen)是屬於 s〇I(Silicon On Insulator 晶圓的作製方法之一’於矽單結晶晶圓中注入氧離子進行 熱處理’ $昔此在砂單結晶晶圓內部形成Si〇2層的方法。 •15- (13) (13)1327759 將熱處理後的晶圓’使用X射線形貌法所觀察的結果表 示在第14圖(b)。若根據第14圖(b),儘管熱處理條件爲 1 3 5 0 °C、8小時間極嚴格’不過幾乎未看不見發生滑移。 再者’於第1 4圖(a)、( b)中’ 6 0係表示晶圓之觸點位置 的箭頭。 (比較例1) 如第1 5圖(a)所示’使用習知晶圓夾具7 〇 (與晶圓的 接觸部爲環狀’接觸面積約爲25000mm2),在利用與實施 例2相同形態之晶圓w的相同熱處理條件下,進行熱處 理,使用X射線形貌法來觀察滑移的結果表示在第15圖 (b) ° 由第1 5圖(b)即可明白,使用習知晶圓夾具的情況, 得知發生滑移的情形較多。此外,與第14圖(b)比較,其 差異很顯著,得知本發明的晶圓支持具之耐滑特性極高。 (實施例3) 爲了確認可動插銷的效果,於實施例2所使用的插銷 夾具32中’在半徑120mm的位置,另外製作僅以120度 間隔之三處的插銷’作爲固定插銷22 f(第1 6圖(a)),使 用這個’在與實施例2相同的條件下,進行熱處理後,使 用X射線形貌法來觀察滑移的結果表示在第16圖(b)。於 第16圖(a)、(b)中,60係表示晶圓之觸點位置的箭頭。 若根據第10圖(b),與將九處全部作爲可動插銷的情 形的第14圖(b)相比,發現會稍微產生滑移,得知該滑移 -16- (14) (14)1327759 的發生位置大致上與固定插銷的位置一致》因而,確認與 晶圓支持具的晶圓的接觸部的可動性具有滑移抑制效果。 像這樣,與晶圓支持具的晶圓的接觸部形成可動性的 話,可得到滑移抑制效果,故如習知晶圓支持具,與接觸 部完全固定的情形相比時,如實施例3,至少接觸部的一 部分形成可動性的話,可得到所謂滑移減低的本發明效果 當然所有的接觸部形成可動性是最有效。 [產業上的可利用性] 如以上所述,使用本發明之熱處理用晶圓支持具進行 晶圓熱處理時,能有效抑制因高溫熱處理的碰觸或滑移, 且本發明之熱處理用晶圓支持具,其加工很容易,故能達 到減低製作成本的大幅效果。特別是本發明之熱處理用晶 圓支持具,如 SIMOX晶圓,對高溫長時間的熱處理而 言,有效性極高。 此外,若根據具備此種熱處理用晶圓支持具的本發明 之熱處理裝置,即可提供一種高溫熱處理後,碰觸或滑移 少的晶圓,故可提高使用該晶圓所製造的裝置品質或良率 【圖式簡單說明】 第1圖係表示本發明之熱處理用晶圓支持具的第一實 施形態的側面說明圖。 第2圖係表示從第1圖的構造取下上基座及上側的晶 圓狀態的上面說明圖。 -17- (15) (15)1327759 第3圖係表示插銷的製造形態的說明圖,分別表示(a) 爲圓柱狀的插銷素材’以及(b 1 )爲插銷的完成品之一例, 以及(b2)爲插銷的完成品之其它例。 第4圖係表示應用於本發明的熱處理用晶圓支持具的 插銷夾具之一的構造例的上面圖。 第5圖係第2圖的V— V線放大斷面圖。 第6圖係第2圖的V I— V I線放大斷面圖,分別表 示(a)係插銷爲直立狀態,(b)係插銷傾斜於外周方向的狀 態,(c)係插銷傾斜於中心方向的狀態,(d)係於插銷的下 端部形成圓角(R)的形狀。 第7圖係表示本發明之熱處理用晶圓支持具的第二實 施形態的側面說明圖。 第8圖係表示應用於本發明之熱處理用晶圓支持具的 插銷夾具的其它構造例的上面圖。 第9圖係表示本發明之熱處理用晶圓支持具的第三實 施形態主要部份的側面說明圖,分別表示(a)爲晶圓支持 狀態之一例’(b)係從(a)狀態,伸長晶圓並旋轉屬於晶圓 支持構件的旋轉體的狀態。 第10圖係屬妗晶圓支持構件的各種形狀的旋轉體的 上面圖,分別表示(a)爲球形’(b)爲橢圓球形,(c)爲圓柱 形或圓筒形。 第Π圖係表示本發明之熱處理用晶圓支持具的第四 實施形態主要部份的側面說明圖,分別表示(a)爲晶圓支 持狀態之一例’(b)係從(a)狀態,伸長晶圓並旋轉屬於晶 -18- (16) (16)1327759 圓支持構件的旋轉體的狀態。 第1 2圖係表示縱型熱處理爐之一例的槪略說明圖。 第1 3圖係表示RTP裝置之一例的槪略說明圖。 第14圖係實施例2的說明圖,(a)係所使用的熱處理 用晶圓支持具的上面圖,(b)係使用X射線形貌法(X.Ray Topography)來觀察熱處理後的晶圓之結果的照片。 第1 5圖係比較例1的說明圖,(a)係表示在所使用的 晶圓夾具載置晶圓狀態的斷面說明圖,(b)係表示使用X 射線形貌法來觀察熱處理後的晶圓之結果的照片。 第1 6圖係實施例3的說明圖,(a)係表示所使用的熱 處理用晶圓支持具的上面圖,(b)係表示使用X射線形貌 法來觀察熱處理後的晶圓之結果的照片》 [主要元件符號說明] 10 晶圓支持具 12 ' 14 基座 16 支柱 1 8、20 承孑L 22 插銷 22a 插銷素材 26 接觸部 28 嵌固部 W 晶圓 30 插入溝 -19- 1327759 (17) 32 插 銷 夾 具 3 3 開 □ 部 34a 凹 狀 嵌 固 孔 3 4b 縫 隙 狀 欺 固 孔 40 支 持 構 件 夾 具 42 晶 圓 支 持 構 件 44 梯 形 構 件 46 旋 轉 體 收 容 孔 48 旋 轉 體 50 ' 5 2 削 頭 110 熱 處 理 爐 112 加 熱 器 114 製 程 管 件 1 1 6 晶 舟 118 保 溫 筒 120 爐 □ 部 122 蓋 體 2 10 熱 處 理 裝 置 2 11 處 理 室 2 12 加 熱 燈 2 13 白 動 瞬 間 開 閉器 2 14 石 英 托 盤 2 15 二 點 支 持 部 2 16 緩 衝 器 -20- 1327759 (18) 2 17 高溫計 2 19 氣體導入口 220 氣體排氣口 -21 -

Claims (1)

1327759 ^月丨0丨:’·:丨 .... 1 知人」 拾、申請專利範圍 --1 第93 1 0 8 3 76號專利申請案 中文申請專利範圍修正本 民國99年2月1〇日修正 1- —種熱處理用晶圓支持具,乃屬於至少具有:支 持熱處理的晶圓的複數晶圓支持構件、和保持該支持構件 的支持構件夾具的熱處理用晶圓支持具,其特徵爲: 前記複數晶圓支持構件中的至少一部分的支持構件係 與前記晶圓的接觸部,爲可相對於前記支持構件夾具而轉 動,而且前記晶圓支持構件是由插銷所構成,前記支持構 件夾具是由保持前記插銷的插銷夾具所構成,前記插銷是 嵌入於形成在該插銷夾具的插銷孔所配置,前記插銷孔爲 縫隙狀。 2- 如申請專利範圍第1項所記載的熱處理用晶圓支 持具,其中,前記接觸部的形狀,相對於前記熱處理的晶 圓,爲凸的曲面。 3 .如申請專利範圍第2項所記載的熱處理用晶圓支 持具,其中,前記接觸部的形狀爲球形或橢圓球形。 4. 如申請專利範圍第1項所記載的熱處理用晶圓支 持具,其中,前記插銷是構成可從前記插銷夾具取下。 5. 如申請專利範圍第1項所記載的熱處理用晶圓支 持具,其中,前記插銷是加工圓柱狀的素材。 6. 如申請專利範圍第1項所記載的熱處理用晶圓支 持具,其中,前記插銷及插銷夾具的素材爲SiC、砂或石 1327759
英。 7 ·如申請專利範圍第1項所記載的熱處理用 持具’其中,設複數個前記插銷孔。 8·如申請專利範圍第1項所記載的熱處理用 持具’其中,前記縫隙狀插銷孔是從前記插銷夾具 ‘配置成放射狀。 • 9.如申請專利範圍第1項所記載的熱處理用 Φ持具’其中,前記插銷夾具爲圓板狀或圓環狀。 10·如申請專利範圍第9項所記載的熱處理用 持具,其中,前記插銷夾具爲圓板狀,在其中心位 圓狀插銷孔。 1 1 .如申請專利範圍第1項至第1 0項的任一 載的熱處理用晶圓支持具,其中,更具有:保持複 支持構件夾具的支柱、和保持該支柱的基座。 1 2.如申請專利範圍第1 1項所記載的熱處理 Φ支持具,其中,前記支持構件夾具是構成可從前記 下。 1 3 .如申請專利範圍第1 1項所記載的熱處理 支持具,其中,前記支柱及基座的素材爲SiC、 英。 14. —種熱處理裝置,其特徵爲: 具有申請專利範圍第1項至第10項的任一項 的熱處理用晶圓支持具。 15. —種熱處理裝置,其特徵爲: 晶圓支 晶圓支 的中心 晶圓支 晶圓支 置設有 項所記 數前記 用晶圓 支柱取 用晶圓 矽或石 所記載 -2- 1327759
具有申請專利範圍第11項所記載的熱處理用晶圓支 持具。
TW093108376A 2003-03-26 2004-03-26 Wafer support for heat treatment and heat treatment device TW200501306A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003085137 2003-03-26

Publications (2)

Publication Number Publication Date
TW200501306A TW200501306A (en) 2005-01-01
TWI327759B true TWI327759B (zh) 2010-07-21

Family

ID=33095016

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093108376A TW200501306A (en) 2003-03-26 2004-03-26 Wafer support for heat treatment and heat treatment device

Country Status (7)

Country Link
US (1) US7393207B2 (zh)
EP (1) EP1608011A4 (zh)
JP (1) JP4363401B2 (zh)
KR (1) KR100877129B1 (zh)
CN (1) CN100352032C (zh)
TW (1) TW200501306A (zh)
WO (1) WO2004086496A1 (zh)

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7640267B2 (en) 2002-11-20 2009-12-29 Radar Networks, Inc. Methods and systems for managing entities in a computing device using semantic objects
JP4935002B2 (ja) * 2005-06-30 2012-05-23 ウシオ電機株式会社 加熱ユニット
US7564536B2 (en) * 2005-11-08 2009-07-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2008058397A1 (en) * 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
KR100818842B1 (ko) * 2006-12-27 2008-04-01 주식회사 실트론 웨이퍼의 열처리시 슬립을 방지할 수 있는 웨이퍼 지지 핀및 웨이퍼의 열처리 방법
US7977258B2 (en) * 2007-04-06 2011-07-12 Mattson Technology, Inc. Method and system for thermally processing a plurality of wafer-shaped objects
TWI393212B (zh) * 2007-07-27 2013-04-11 Han Yang Chen 熱處理用晶圓支持器
KR101405346B1 (ko) * 2008-01-04 2014-06-12 삼성디스플레이 주식회사 기판 지지대, 이를 포함하는 기판 처리 장치 및 기판 정렬방법
JP2009187990A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd プラズマ処理装置
JP5071217B2 (ja) * 2008-04-17 2012-11-14 信越半導体株式会社 縦型熱処理用ボートおよびそれを用いたシリコンウエーハの熱処理方法
US20110214196A1 (en) * 2008-06-20 2011-09-01 University Of Georgia Research Foundation Development of herbicide-resistant grass species
CN102083303A (zh) * 2008-06-20 2011-06-01 乔治亚大学研究基金会公司 抗除草剂的草类物种的开发
US8042697B2 (en) 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
JP2010027959A (ja) * 2008-07-23 2010-02-04 Sumco Corp 高抵抗simoxウェーハの製造方法
US8186661B2 (en) * 2008-09-16 2012-05-29 Memc Electronic Materials, Inc. Wafer holder for supporting a semiconductor wafer during a thermal treatment process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TW201135372A (en) * 2009-10-20 2011-10-16 Nikon Corp Substrate supporting apparatus, substrate supporting member, substrate transfer apparatus, exposure apparatus, and device manufacturing method
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130067761A1 (en) * 2011-09-16 2013-03-21 Shenzhen China Star Optoelectronics Technology Co. Ltd. Drying apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9075266B2 (en) * 2012-04-19 2015-07-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Device for prebaking alignment film by using temperature-controllable pin to support substrate and method thereof
KR101354600B1 (ko) * 2012-07-24 2014-01-23 엘지디스플레이 주식회사 개선된 보트, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
FR2995394B1 (fr) 2012-09-10 2021-03-12 Soitec Silicon On Insulator Dispositif de support d'une pluralite de substrats pour un four vertical
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6086056B2 (ja) * 2013-11-26 2017-03-01 信越半導体株式会社 熱処理方法
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN105140169B (zh) * 2015-07-29 2018-10-19 深圳市华星光电技术有限公司 一种支撑结构及真空设备
KR102386998B1 (ko) * 2015-07-30 2022-04-15 엘지디스플레이 주식회사 서포터 핀 및 이를 포함하는 열처리장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10072892B2 (en) * 2015-10-26 2018-09-11 Globalwafers Co., Ltd. Semiconductor wafer support ring for heat treatment
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108352343B (zh) * 2015-12-30 2022-04-08 玛特森技术公司 毫秒退火系统中的衬底支承件
JP6637321B2 (ja) * 2016-02-03 2020-01-29 株式会社Screenホールディングス 熱処理用サセプタおよび熱処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102108296B1 (ko) * 2018-09-21 2020-05-12 세메스 주식회사 기판의 열처리 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021012944A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 基板処理装置及び基板の受け渡し方法
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021190552A (ja) * 2020-05-29 2021-12-13 株式会社Screenホールディングス 熱処理装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220059394A1 (en) * 2020-08-24 2022-02-24 Taiwan Semiconductor Manufacturing Company Limited Method and device to reduce epitaxial defects due to contact stress upon a semicondcutor wafer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270961B1 (en) * 1987-04-01 2001-08-07 Hyseq, Inc. Methods and apparatus for DNA sequencing and DNA identification
JPH02139935A (ja) * 1988-11-21 1990-05-29 Nec Corp 半導体製造装置
US5128247A (en) * 1989-08-14 1992-07-07 Board Of Regents, The University Of Texas System Methods for isolation of nucleic acids from eukaryotic and prokaryotic sources
US5130423A (en) * 1990-07-13 1992-07-14 Microprobe Corporation Non-corrosive compositions and methods useful for the extraction of nucleic acids
AU673245B2 (en) * 1993-02-01 1996-10-31 Seq, Ltd. Methods and apparatus for DNA sequencing
JP3316068B2 (ja) 1993-12-01 2002-08-19 東京エレクトロン株式会社 熱処理用ボート
JP3755836B2 (ja) 1994-10-03 2006-03-15 東芝セラミックス株式会社 縦型ボート
KR19990022612A (ko) * 1995-06-08 1999-03-25 퍼니스 챨스 엠 디엔에이 추출방법 및 추출장치
US5945515A (en) * 1995-07-31 1999-08-31 Chomczynski; Piotr Product and process for isolating DNA, RNA and proteins
JP3328763B2 (ja) * 1995-10-30 2002-09-30 エヌティティエレクトロニクス株式会社 縦型ウエハボートのウエハ支持構造
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
JP2000353737A (ja) * 1999-06-14 2000-12-19 Toray Ind Inc 基板整列装置
DE10003639C2 (de) * 2000-01-28 2003-06-18 Steag Rtp Systems Gmbh Vorrichtung zum thermischen Behandeln von Substraten
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP2002164300A (ja) 2000-11-29 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
US6497403B2 (en) 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder

Also Published As

Publication number Publication date
WO2004086496A1 (ja) 2004-10-07
EP1608011A4 (en) 2010-07-21
KR100877129B1 (ko) 2009-01-07
US7393207B2 (en) 2008-07-01
JPWO2004086496A1 (ja) 2006-06-29
CN100352032C (zh) 2007-11-28
JP4363401B2 (ja) 2009-11-11
US20070006806A1 (en) 2007-01-11
TW200501306A (en) 2005-01-01
CN1748301A (zh) 2006-03-15
KR20060004652A (ko) 2006-01-12
EP1608011A1 (en) 2005-12-21

Similar Documents

Publication Publication Date Title
TWI327759B (zh)
US7077913B2 (en) Apparatus for fabricating a semiconductor device
TWI242248B (en) Holder and method for thermal treating semiconductor substrate
TWI327339B (en) Vapor phase growing apparatus and vapor phase growing method
US7667301B2 (en) Thermal treatment apparatus, method for manufacturing semiconductor device, and method for manufacturing substrate
JP2013093582A (ja) スループットを改善しウェハダメージを低減するサセプタ
KR101537960B1 (ko) 종형 열처리용 보트 및 이를 이용한 실리콘 웨이퍼의 열처리 방법
TW200845223A (en) Manufacturing method of silicon single crystal wafer
TW200939384A (en) Epitaxial barrel susceptor having improved thickness uniformity
TWI677603B (zh) 碳化矽基板處理方法
JP2004022571A (ja) ウエハ支持治具およびそれを用いた半導体素子製造方法
TWI338317B (en) Cylinder for thermal processing chamber
JP2004304075A (ja) シリコンウェーハ熱処理治具およびシリコンウェーハ熱処理方法
TW559630B (en) Process and apparatus for epitaxially coating the front surface of a semiconductor wafer in a CVD reactor, and diffusion of dopants out of the wafer is avoided
TW200941633A (en) Semiconductor substrate supporting jig and method for manufacturing the same
JP3285723B2 (ja) 半導体熱処理用治具及びその表面処理方法
JP5396737B2 (ja) エピタキシャルシリコンウェーハ及びその製造方法
JP4042618B2 (ja) エピタキシャルウエーハ製造方法
JP2011119391A (ja) エピタキシャル成長用サセプタ及び該サセプタを用いたエピタキシャル成長装置
JPS59191327A (ja) 熱処理用治具
JPH0817753A (ja) 半導体ウエハの熱処理用搭載治具及び熱処理装置
JPS6112674Y2 (zh)
JPH10112437A (ja) 半導体基板処理装置
KR20140091811A (ko) 에피택셜 성장 성장치의 서셉터
JP2005019748A (ja) ウエーハの熱処理用治具及び熱処理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees