JP2004515085A - 熱処理方法およびシステム - Google Patents

熱処理方法およびシステム Download PDF

Info

Publication number
JP2004515085A
JP2004515085A JP2002548756A JP2002548756A JP2004515085A JP 2004515085 A JP2004515085 A JP 2004515085A JP 2002548756 A JP2002548756 A JP 2002548756A JP 2002548756 A JP2002548756 A JP 2002548756A JP 2004515085 A JP2004515085 A JP 2004515085A
Authority
JP
Japan
Prior art keywords
workpiece
heating
intermediate temperature
preheating
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002548756A
Other languages
English (en)
Other versions
JP4944353B2 (ja
JP2004515085A5 (ja
Inventor
カム、デイヴィッド、マルコム
エリオット、ジェイ、キーファー
Original Assignee
ボルテック インダストリーズ リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ボルテック インダストリーズ リミテッド filed Critical ボルテック インダストリーズ リミテッド
Priority claimed from PCT/CA2001/001706 external-priority patent/WO2002047123A1/en
Publication of JP2004515085A publication Critical patent/JP2004515085A/ja
Publication of JP2004515085A5 publication Critical patent/JP2004515085A5/ja
Application granted granted Critical
Publication of JP4944353B2 publication Critical patent/JP4944353B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • F27D2019/0003Monitoring the temperature or a characteristic of the charge and using it as a controlling value
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D99/00Subject matter not provided for in other groups of this subclass
    • F27D99/0001Heating elements or systems
    • F27D99/0006Electric heating elements or system
    • F27D2099/0026Electric heating elements or system with a generator of electromagnetic radiations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing

Abstract

加工物を熱処理するための方法およびシステムが開示される。1つのそのような方法は、加工物を中間温度まで予熱すること、加工物の表面を中間温度よりも高い所望の温度まで加熱すること、および加工物の冷却を促進することを含む。冷却の促進は、加工物によって熱放出された放射を吸収することを含むことができる。半導体加熱方法および装置も開示される。1つのそのような装置は、半導体ウェハの第1の表面を加熱するための第1の熱源と、半導体ウェハの第2の表面を加熱するための第2の熱源と、第1の熱源と半導体ウェハとの間に配置された第1の冷却窓とを含む。

Description

【0001】
(関連出願の相互参照)
本出願は、2000年12月4日出願の米国特許出願第09/729747号、および2001年5月30日出願の特許協力条約出願PCT/CA01/00776号からの優先権を特許請求するものであり、どちらの出願も参照により本明細書に組み込む。
【0002】
(発明の分野)
本発明は、物体の加熱に関し、より詳細には、加工物を熱処理するための方法およびシステムに関する。
【0003】
(発明の背景)
多くの適用例が、物体または加工物の加熱またはアニーリングを必要とする。例えば、マイクロプロセッサなど半導体チップの製造では、シリコン・ウェハなどの半導体ウェハが、ウェハの装置側の表面領域内に不純物原子またはドーパントを導入するイオン注入プロセスを受ける。イオン注入プロセスは、ウェハの表面領域の結晶格子構造に損傷を及ぼし、注入されたドーパント原子を格子間部位に残し、その部位ではドーパント原子が電気的に不活性である。電気的に活性にするために格子内の置換部位にドーパント原子を移動するため、およびイオン注入中に生じる結晶格子構造に対する損傷を修復するために、高温まで加熱することによってウェハの装置側の表面領域をアニールする必要がある。
【0004】
半導体ウェハの加熱は、以下のものを含めたいくつかの異なるタイプの方法によって達成することができる。
(a)断熱−例えば100ナノ秒など非常に短い持続時間にわたって、パルス・エネルギー源(レーザ、イオン・ビーム、電子ビームなど)によってエネルギーが提供される。この高い強度で短い持続時間のエネルギーは、約1〜2ミクロンの深さまで半導体の表面を溶融する。
(b)熱フラックス−2マイクロ秒〜5ミリ秒など、より長い持続時間にわたってエネルギーが提供される。熱フラックス加熱は、ウェハの表面下で2ミクロンよりもはるかに大きく延在する実質的な温度勾配を生成し、しかしウェハの厚さ全体にわたって均一な加熱を起こさない。
(c)等温−例えば1〜100秒など、はるかに長い持続時間にわたってエネルギーが加えられ、それにより任意の所与の領域での厚さ全体にわたってウェハの温度が実質的に均一になるようにする。
【0005】
半導体ウェハの装置側をアニールするのに必要な高温は、既存の技術を使用すると望ましくない影響を生み出す傾向がある。例えば、シリコン・ウェハへのより深いドーパント原子の拡散が、高温ではるかに高い速度で生じる傾向があり、拡散のほとんどが、ドーパントを活性化するのに必要な高いアニーリング温度のごく近傍で生じる。半導体ウェハの性能要求が高まり、装置・サイズが縮小するにつれて、ますます浅く、急峻に画定された接合を生成する必要があり、したがって、従来は無視できるとみなされてきた、または今日許容できる拡散深さは、今後数年またはそれ以降にはもはや許容できなくなる。International Technology Roadmap for Semiconductors 1999 Edition(http://public.itrs.net/で公に利用可能)など現行の産業ロードマップは、ドーピングおよびアニーリング技術が、2005年までに30nm、2008年までに20nmの接合深さを生成しなければならないことを示している。
【0006】
既存のアニーリング技術は、通常、そのような浅い接合深さを達成することができない。例えば、1つの既存の急速熱アニーリング方法は、反射室内でタングステン・フィラメント・ランプのアレイを用いてウェハの装置側を照明して、高速でウェハを加熱することを含む。
【0007】
しかし、ウェハは、いくつかの理由から、タングステン・フィラメントへの電源が遮断された後にかなりの時間にわたって高温のままである傾向がある。典型的なタングステン・ランプは、フィラメントの高い熱質量により、例えば0.3秒など比較的長い時定数を有し、これは、フィラメントへの電源が遮断された後に高温に保たれて、ウェハを照射し続ける。このフィラメントの遅い時間応答は、そのようなシステムにおける支配的な熱遅れをもたらす。また、反射プロセス・室の壁からの放射の戻りが、電源が遮断された後に別の連続加熱源を提供する。このタングステン・ランプ・アニーリング方法を使用したウェハの温度対時間プロフィルは、フィラメントへのパワーが遮断された後に比較的遅い冷却を伴う丸い頂部を有する傾向がある。したがって、ウェハが、そのようなシステムを用いて、結晶格子構造を補修してドーパントを活性化させるのに十分に高い温度まで加熱される場合、ウェハは、非常に長い期間にわたって非常に高温に保たれる傾向があり、これにより、30nmの接合深さを生成するのに必要な最大許容拡散深さよりもはるかに深くまでドーパントがウェハ内に拡散される。
【0008】
大部分のドーパント拡散は、アニーリング・サイクルの最高温度範囲で生じるが、アニーリング温度の低下は、拡散問題に対する満足な解決策ではない。これは、より低いアニーリング温度が、ドーパントの大幅に小さな活性化をもたらし、したがって、ウェハのより高いシート抵抗をもたらし、これは、先進処理装置に関する現行および/または将来の許容シート抵抗を超えるからである。
【0009】
浅い接合を生成することに関してある程度の成功を遂げた1つのアニーリング方法は、レーザを使用して、ウェハの装置側を加熱してアニールすることを含む。例えばエキシマ・レーザなどのレーザによって生成される短い波長の単色放射は、ウェハの装置側で非常に浅い深さで吸収される傾向があり、このプロセスに関して通常使用される短い持続時間の高出力レーザ・パルス(例えば、装置側表面に約0.4J/cm送達する10ナノ秒パルス)が、溶融温度または溶融温度に近い温度まで装置側の表面の小さな局所領域を非常に急速に、ウェハでの実質的な熱伝導にかかる時間よりもはるかに短時間で加熱する傾向がある。したがって、ウェハ基板のバルク領域は低温で保たれ、したがって加熱された表面領域に関するヒート・シンクとして働く傾向があり、表面領域を非常に急速に冷却する。レーザ・アニーリングを使用する装置側表面の局所領域の典型的な表面温度対時間プロフィルは、加熱段階と冷却段階の両方に関して三角形状で、急激な傾きが付く傾向があり、したがって、装置側は、高温で非常に短い期間しか費やさない。したがって、ウェハは、多くのドーパント拡散が生じるほど十分長くは高温に保たれない。しかし、ウェハのバルク領域、およびレーザによって加熱される局所領域以外の装置側領域は、装置側の局所表面領域がアニーリング温度まで加熱されるときに低温で保たれるので、ウェハ内で極端な熱勾配が生み出され、大きな機械歪が生じ、ウェハ内部の結晶面がすべり、それにより結晶格子が損傷または破壊される。この点で、非常に小さな空間移動が結晶格子を完全に破壊する可能性がある。熱勾配はまた、ねじれや欠陥形成など他の損傷をもたらす可能性がある。
【0010】
すべりが存在しないときでさえ、ウェハにわたる不均一な温度分布が、不均一な性能関連特性をもたらす可能性があり、これは、特定のウェハの不適切な性能、またはウェハ間の望ましくない性能差を生じる。さらに、ウェハの装置側に1つまたは複数のレーザによって送達される大量のエネルギーは、装置のパターンによって不均一に吸収され、アニーリングが望ましくないウェハ領域で有害な加熱の影響を及ぼし、また、シリコン格子に追加の損傷をもたらすさらに大きな温度勾配を生成する可能性がある。
【0011】
レーザ・アニーリングと同様の他の超高速断熱加熱方法も企図されている。例えば、フラッシュ・ランプおよびマイクロ波パルス発生器を使用して、ウェハの装置側をアニーリング温度まで急速に加熱し、レーザ・アニーリングによって達成されるのと同様の温度時間プロフィルをもたらし、これは同様の欠点をもつ。
【0012】
1990年代初期の少なくとも1つの手法は、低温アニーリング段階と、それに続くレーザ・アニーリング段階を含んでいた。低温段階は通常、1時間以上など比較的長い期間にわたって例えば600℃など電気炉での中間範囲温度までウェハを加熱することを含んでいた。この方法を使用した装置側表面の典型的な温度時間プロフィルは、非常に長い時間にわたって平坦であり、その後、レーザ・アニールにより生じる表面の急速な増加および急速な冷却が続く。この方法は、レーザ・アニーリングのみに比べて接合漏れ電流を低減することを意図しているが、長い持続時間の低温アニーリング段階により、ドーパントが、ウェハの装置側内部のより深くまで拡散する。1990年代初期の標準では許容できた、またはおそらく無視することができたそのような拡散では、現行性能および産業ロードマップ要件に適合する十分に浅い接合を形成することができない。
【0013】
より近年の手法は、ウェハの基板側を照射するために高速応答アルゴン・プラズマ・アーク・ランプ熱源を使用して、ウェハ全体をアニーリング温度まで急速に加熱することを含む。アーク・ランプの時間応答は、ウェハ自体の時間応答に比べて短く(典型的には0.1ミリ秒程度、またはそれ未満)、したがって支配的な熱遅れは、支配的な熱遅れがタングステン・フィラメントのものである上述したタングステン・ランプ方法とは対照的にウェハのものである。この方法を使用したウェハの典型的な温度時間プロフィルは、タングステン・システムのプロフィルとレーザ・アニーリング・システムのプロフィルとの中間の加熱および冷却温度速度を有する傾向がある。したがって、ウェハは、タングステン・ランプ方法の場合よりも、高いアニーリング温度で時間を費やさず、そのため、生じるドーパント拡散も小さい。したがって、この方法は、タングステン・ランプ・システムよりもはるかに浅い接合深さを生み出すことができる。装置側表面だけでなくウェハ全体が加熱されるので、レーザ・アニーリングで生じる極端な横方向熱勾配が回避され、それにより結晶格子への追加の損傷を最小限に抑える。さらに、装置側ではなく基板側が照射されるので、レーザ・アニーリングの場合よりも、装置のパターンによる不均一な吸収による装置側の不均一な加熱がはるかに小さくなり、横方向温度勾配が小さくなり、装置に対する損傷が低減する。しかし、先に示したことは、この方法の実施形態が、レーザ・アニーリングよりもいくぶん深いドーパントの拡散をもたらす場合があることを暗に示す。
【0014】
1980年代まで戻ったより古い手法は、等温加熱と熱フラックス加熱を組み合わせることによって半導体ウェハを加熱することを含んでいた。ウェハ全体が、連続波ランプを用いた等温加熱によって第1の中間温度まで加熱された。次いで、ウェハの前側が、高パワー・パルス・ランプ・アレイを使用する熱フラックスによって加熱された。これらの加熱方法は、ウェハに向かって放射エネルギーを反射および再反射する反射内面を有する統合光パイプまたはカレイドスコープ内部にウェハおよび熱源が保持された状態で実施された。したがって、ランプの非活動化後にウェハが冷却し始めると、ウェハによって熱放出された放射が反射されてウェハに戻り、そこで再吸収され、それによりウェハを加熱し、ウェハの冷却を実質上遅くする。これにより、ウェハが高温でより長時間を費やすようになり、そのため、現代の標準では受け入れられない深さまでドーパント拡散が増大する傾向があった。さらに、ウェハに戻るそのような放射の再反射は、ウェハでの不均一な加熱を生成する傾向があり、すべり、および不均一加熱または余剰加熱に関連する他の問題をもたらした。さらに、この方法は、2%の均一性でウェハを加熱するのに適するように意図されていたが、これは現代のRTPシステムに関しては受け入れられない。さらに、この方法は通常、等温加熱段階と後続の熱フラックス加熱段階との間の数秒の遅延を含み、その間、ウェハは、例えば1100℃など比較的高い中間温度で保たれていた。中間温度でのこの遅延は、大きなドーパント拡散をもたらす可能性があり、それにより、現代の性能要件に従って浅い接合を生成する能力に干渉する。
【0015】
したがって、半導体ウェハなど加工物を熱処理するための改善された方法およびシステムが求められている。イオン活性化および格子修復の目的のための半導体ウェハのアニーリングに加えて、他の用途も、上述した問題に対処する改善された熱処理方法から利益を得ることができる。
【0016】
(発明の概要)
本発明は、本発明の一態様に従って、加工物を熱処理するための方法およびシステムを提供することによって、上述の必要性に対処する。この方法は、加工物を中間温度まで予熱すること、加工物の表面を中間温度よりも高い所望の温度まで加熱すること、加工物の冷却を促進させることを含む。表面をより高い所望の温度まで加熱する前に加工物を中間温度まで予熱することは、所望の温度まで表面が加熱されたときに加工物で生じる熱勾配の大きさを低減する。したがって、加工物での熱応力が減少する。例えば半導体ウェハなど加工物が結晶格子構造を有する場合、格子に対する損傷がそれに対応して低減する。
【0017】
さらに、所望の温度まで加工物全体を加熱するのとは対照的に、加工物の表面を所望の温度まで加熱することは、加工物の比較的低温のバルクまたは本体が、伝導によって表面を冷却するためのヒート・シンクとして作用することができるので、より速い表面の冷却をもたらす。加工物が例えばドーパント注入半導体ウェハである場合、このより速い冷却が、より浅いドーパント拡散をもたらし、現代および将来の業界要件によるより浅い接合の形成を可能にする。
【0018】
加工物の冷却の促進はさらに、加工物が高温で費やす時間を低減する。加工物が半導体ウェハである実施形態では、このより速い冷却はやはり、加工物中のドーパント拡散を低減し、より浅い接合の形成を可能にする。
【0019】
冷却の促進は、好ましくは、加工物によって熱放出された放射の吸収を含む。したがって、加工物によって熱放出された放射は、反射されて加工物に戻って実質上加工物を再加熱することはなく、吸収される。
【0020】
吸収は、放射吸収面で放射を吸収することを含むことができる。そのような表面は、例えば放射吸収室の壁を含むことができる。
【0021】
別法として、または追加として、吸収は、加工物によって熱放出された放射を選択フィルターシステムで吸収することを含むことができる。その場合、加工物の予熱は、放射源によって生成された放射を、選択フィルターシステムのフィルター装置を通して加工物へ伝送することを含むことができる。伝送は、加工物の第2の表面に放射を伝送することを含むことができる。
【0022】
同様に、加工物の表面を加熱することは、放射源によって生成された放射を、選択フィルターシステムのフィルター装置を通して加工物の表面に伝送することを含むことができる。
【0023】
この方法はさらに、選択フィルターシステムを冷却することを含むことができる。これは、例えば選択フィルターシステムの窓の表面にわたって液体を流すことによって達成することができる。より詳細には、これは、選択フィルターシステムの第1および第2の離隔された窓間に画定された空間内に液体を流すことを含むことができる。
【0024】
表面の加熱は、熱フラックス・エネルギー源または断熱エネルギー源を活動化することによって、表面を所望の温度まで急速に加熱することを含むことができる。この方法はさらに、熱フラックス・エネルギー源または断熱エネルギー源を非活動化することも含むことができる。
【0025】
加工物を中間温度まで予熱することは、600℃〜1250℃の範囲の温度まで加工物を予熱することを含むことができる。加工物の表面を所望の温度まで加熱することは、1050℃〜1430度の範囲の温度まで表面を加熱することを含むことができる。これらの温度は、所望の温度範囲の上限がシリコンの融点にほぼ対応するので、例えば加工物がシリコン半導体ウェハである実施形態で特に有利となる場合がある。これらの温度範囲は、シリコン以外の材料から作成された半導体ウェハに関して変えることができる。
【0026】
加工物の予熱は、好ましくは、加工物の熱伝導時間よりも長い期間にわたって加工物を予熱することを含む。これは、予熱段階中に加工物に供給される多くのエネルギーが加工物を通って伝導できるようにする働きをし、それにより実質的に加工物のバルク全体を中間温度まで上昇させる。
【0027】
逆に、加熱は、好ましくは、加工物の熱伝導時間よりも短い期間にわたって表面を加熱することを含む。したがって、表面を所望の温度まで急速に加熱することができ、その一方で、加工物のバルクは、実質的に、より低い中間温度で保たれる。これにより、加工物のバルクが、加熱された面に関するヒート・シンクとして働くことができ、加熱段階が完了したときに表面をはるかに急速に冷却する。ドーパント拡散が、最大温度範囲で、すなわち中間温度と所望の温度との間でより大きく生じるので、この手法は、この最大温度範囲で表面が費やす時間を最小限に抑え、それによりドーパント拡散を最小限に抑える。
【0028】
加工物の表面の加熱は、加工物が中間温度に到達すると実質的に即時に加熱を開始することを含むことができる。例えば、これは、加工物が中間温度に到達した後の、加工物の熱伝導時間以下の持続時間を有する期間内に表面の加熱を開始することを含むことができる。これは、中間温度での実質的な遅延を回避し、加工物が半導体ウェハである実施形態では、普通であればそのような遅延により生じるドーパント拡散の対応する増大を回避する。
【0029】
予熱は、少なくとも毎秒100℃の速度で、好ましくは少なくとも毎秒400℃の速度で加工物を予熱することを含むことができる。予熱は、アーク・ランプによって生成される電磁放射によって加工物を照射することを含むことができる。望みであれば、例えばアーク・ランプのアレイなど、複数のそのようなアーク・ランプを採用することができる。
【0030】
加熱は、フラッシュ・ランプによって生成される電磁放射によって加工物を照射することを含むことができる。これは、望みであれば複数のそのようなフラッシュ・ランプを含むことができる。
【0031】
加工物が半導体ウェハである実施形態では、加熱は、好ましくは、少なくとも毎秒10000℃の速度で、さらに好ましくは少なくとも毎秒100000℃の速度で行われる。加熱は、好ましくは、アーク・ランプまたはフラッシュ・ランプによって生成される電磁放射によって加工物を照射することによって達成される。これは、そのようなランプのアレイの使用を含むことができる。別法として、望みであればレーザなど他の加熱装置を代わりに使用することもできる。
【0032】
加工物の冷却の促進は、好ましくは、少なくとも毎秒約100℃の速度で、好ましくは少なくとも毎秒150〜180℃の速度で加工物を冷却できるようにすることを含む。
【0033】
本発明の別の態様によれば、加工物を熱処理するためのシステムが提供される。システムは、加工物を中間温度まで予熱するように動作可能な予熱装置と、加工物の表面を中間温度よりも高い所望の温度まで加熱するように動作可能な加熱装置と、中間温度よりも低い温度への加工物の冷却を促進させるための冷却促進システムとを含む。
【0034】
冷却促進システムは、好ましくは、加工物によって熱放出された放射を吸収するように動作可能な吸収システムを含む。
【0035】
吸収システムは、放射吸収面を含むことができる。放射吸収面は、放射吸収室の壁を含むことができる。
【0036】
吸収システムは、選択フィルターシステムを含むことができる。その場合、選択フィルターシステムは、予熱装置と加工物の間に挿入され、予熱装置によって生成された放射を加工物に伝送するように構成されたフィルター装置を含むことができる。この点で、フィルター装置は、加工物の第2の表面に放射を伝送するように構成することができる。
【0037】
同様に、選択フィルターシステムは、加熱装置と加工物の間に挿入され、加熱装置によって生成された放射を加工物の表面に伝送するように構成されたフィルター装置を含むことができる。
【0038】
システムはさらに、選択フィルターシステムを冷却するための冷却サブシステムを含むことができる。
【0039】
選択フィルターシステムは、少なくとも1つの窓を含むことができ、冷却サブシステムは、窓の表面にわたって液体を流すための液体冷却サブシステムを含むことができる。
【0040】
選択フィルターシステムは、第1および第2の離隔された窓を含むことができ、冷却サブシステムは、窓間に画定された空間内に液体を流すための液体冷却サブシステムを含むことができる。
【0041】
加熱装置は、表面を所望の温度まで急速に加熱するように動作可能な熱フラックス・エネルギー源または断熱エネルギー源を含むことができる。
【0042】
予熱装置は、600℃〜1250℃の範囲の温度まで加工物を予熱するように動作可能にすることができ、同様に、加熱装置は、1050℃〜1430℃の範囲の温度まで表面を加熱するように動作可能にすることができる。
【0043】
予熱装置は、好ましくは、加工物の熱伝導時間よりも長い期間にわたって加工物を予熱するように動作可能である。
【0044】
逆に、加熱装置は、好ましくは、加工物の熱伝導時間よりも短い期間にわたって加工物の表面を加熱するように動作可能である。加熱装置は、好ましくは、加工物が中間温度に到達すると実質的に即時に表面の加熱を開始するように動作可能である。
【0045】
予熱装置は、少なくとも毎秒100℃の速度で加工物を予熱するように動作可能にすることができる。予熱装置は、電磁放射によって加工物を照射するように動作可能なアーク・ランプを含むことができる。
【0046】
加熱装置は、電磁放射によって加工物を照射するように動作可能なフラッシュ・ランプを含むことができる。
【0047】
冷却促進システムは、好ましくは、加工物を少なくとも毎秒約100℃の速度で冷却することができるようにする。
【0048】
本発明の別の態様によれば、加工物を熱処理するためのシステムが提供される。システムは、加工物を中間温度まで予熱するための手段と、加工物の表面を中間温度よりも高い所望の温度まで加熱するための手段と、加工物の冷却を促進するための手段とを含む。促進手段は、好ましくは、加工物によって熱放出された放射を吸収するための手段を含む。
【0049】
本発明の別の態様によれば、加工物を熱処理するために使用する選択フィルターシステムが提供される。システムは、加工物を中間温度まで予熱するために予熱装置から加工物に放射を伝送するように構成され、かつ加工物によって熱放出された放射を吸収するように構成された第1のフィルター装置を含む。システムはさらに、表面を中間温度よりも高い所望の温度まで加熱するために加熱装置から加工物の表面に放射を伝送するように構成され、かつ加工物によって熱放出された放射を吸収するように構成された第2のフィルター装置を含む。
【0050】
システムはさらに、第1および第2のフィルター装置を冷却するための冷却サブシステムを含むことができる。
【0051】
望みであれば、第1および第2のフィルター装置の少なくとも1つが、液体冷却窓を含むことができる。液体冷却窓は、水冷石英窓を含むことができる。
【0052】
本発明の別の態様によれば、加工物を熱処理する方法が提供される。この方法は、加工物を中間温度まで予熱すること、および加工物の表面を中間温度よりも高い所望の温度まで加熱することを含み、加熱は、加工物が中間温度に到達すると実質的に即時に開始される。加工物が中間温度に到達すると実質的に即時に表面加熱を開始することは、中間温度での任意の遅延を回避し、加工物が半導体ウェハである実施形態では、普通であればそのような遅延により生じるドーパント拡散の対応する増大を回避する。
【0053】
表面の加熱は、好ましくは、加工物が中間温度に到達した後、1秒以内に加熱を開始することを含む。これは、好ましくは、加工物が中間温度に到達した後、4分の1秒以内に加熱を開始することを含む。より好ましくは、これは、加工物が中間温度に到達した後、1×10ミリ秒以内に加熱を開始することを含む場合もある。これは、加工物が中間温度に到達した後、1×10ミリ秒以内に加熱を開始することを含む場合もある。
【0054】
予熱は、好ましくは、加工物の熱伝導時間よりも長い期間にわたって加工物を予熱することを含む。逆に、加熱は、好ましくは、加工物の熱伝導時間よりも短い期間にわたって表面を加熱することを含む。
【0055】
加熱は、加工物の温度が少なくとも中間温度であることを示す表示に応答して加熱を開始することを含むことができる。この方法はさらに、その表示を生成することを含むことができる。
【0056】
予熱は、好ましくは、加工物を照射することを含む。これは、アーク・ランプによって生成される電磁放射に加工物を露出することを含むことができる。別法として、または追加として、これは、少なくとも1つのフィラメント・ランプによって生成される電磁放射に加工物を露出することを含むことができる。
【0057】
予熱は、好ましくは、少なくとも毎秒100℃の速度で加工物を予熱することを含む。これは、少なくとも毎秒400℃の速度で加工物を予熱することを含むことができる。
【0058】
加工物の表面の加熱は、好ましくは、表面を照射することを含む。これは、フラッシュ・ランプによって生成される電磁放射に表面を露出することを含むことができる。別法として、これは、表面にわたってレーザ・ビームを移動することを含むことができる。
【0059】
この方法はさらに、加工物によって反射され、熱放出された放射を吸収することを含むことができる。吸収は、放射吸収環境で放射を吸収することを含むことができる。これは、少なくとも1つの放射吸収面で放射を吸収することを含むことができる。
【0060】
この方法はさらに、少なくとも1つの放射吸収面を冷却することを含むことができる。
【0061】
本発明の別の態様によれば、加工物を熱処理するためのシステムが提供される。このシステムは、加工物を中間温度まで予熱するように動作可能な予熱装置と、加工物の表面を中間温度よりも高い所望の温度まで加熱するように動作可能であり、加工物が中間温度に到達すると実質的に即時に表面の加熱を開始するように動作可能な加熱装置とを含む。
【0062】
加熱装置および予熱装置は、上で説明した方法および本明細書内で説明する方法の様々な方法を行うように動作可能にすることができる。
【0063】
システムはさらに、加工物の温度の表示を生成するように動作可能な温度インジケータを含むことができ、この場合、加熱装置は、加工物の温度が少なくとも中間温度であることが温度インジケータから表示されるのに応答して加熱を開始するように動作可能にすることができる。
【0064】
予熱装置は、加工物を照射するための手段を含むことができる。予熱装置は、加工物を照射するように動作可能な照射源を含むことができる。照射源は、アーク・ランプを含むことができる。あるいは、照射源は、少なくとも1つのフィラメント・ランプを含むことができる。別法として、予熱装置は、加工物を予熱するように配置することができる高温体を含むことができる。
【0065】
加熱装置は、表面を照射するための手段を含むことができる。加熱装置は、表面を照射するように動作可能な照射源を含むことができる。照射源は、フラッシュ・ランプを含むことができる。別法として、照射源は、レーザを含むことができる。
【0066】
システムはさらに、加工物によって反射され、熱放出された放射を吸収するように動作可能な放射吸収環境を含むことができる。同様に、システムはさらに、加工物によって反射され、熱放出された放射を吸収するように動作可能な少なくとも1つの放射吸収面を含むことができる。システムはさらに、少なくとも1つの放射吸収面を冷却するように動作可能な冷却サブシステムを含むことができる。
【0067】
本発明の別の態様によれば、加工物を熱処理するためのシステムが提供される。システムは、加工物を中間温度まで予熱するための手段と、加工物の表面を中間温度よりも高い所望の温度まで加熱するための手段とを含み、加工物が中間温度に到達すると実質的に即時に加熱を開始するための手段を含む。
【0068】
本発明の別の態様によれば、半導体加熱装置が提供される。この装置は、半導体ウェハの第1の表面を加熱するための第1の熱源と、半導体ウェハの第2の表面を加熱するための第2の熱源とを含む。この装置はさらに、第1の熱源と半導体ウェハとの間に配設された第1の冷却窓を含む。
【0069】
第1の冷却窓は、冷却流体によって冷却される第1の光学的に透明なプレートを含むことができる。第1の冷却窓はさらに、第1の光学的に透明なプレートから離隔された第2の光学的に透明なプレートを含み、冷却流体が流れる経路を画定することができる。
【0070】
冷却流体は水を含むことができる。
【0071】
第1の光学的に透明なプレートは、第2の光学的に透明なプレートと同様に石英から形成することができる。
【0072】
半導体加熱装置はさらに、第2の熱源と半導体ウェハとの間に配置された第2の冷却窓を含むことができる。
【0073】
第1の冷却窓は、好ましくは、半導体ウェハによって熱放出された放射を吸収する。この点で、第1の冷却窓は、好ましくは、少なくとも毎秒100℃の速度で半導体ウェハを制御可能に冷却するように放射を吸収する。
【0074】
第2の冷却窓は、少なくとも毎秒100℃の速度で半導体ウェハを制御可能に冷却するように放射を吸収することができる。
【0075】
第1の熱源は、アーク・ランプを含むことができる。これは、望みであればアーク・ランプのアレイを含むことができる。同様に、第2の熱源は、アーク・ランプを含むことができ、これは、望みであればアーク・ランプのアレイを含むことができる。
【0076】
あるいは、第1の熱源は、タングステン・ランプまたはタングステン・ランプのアレイを含むことができる。
【0077】
半導体加熱装置はさらに、半導体ウェハを収容する室を含むことができ、この室は、放射吸収面を有する1つまたは複数の壁を有する。
【0078】
別法として、装置は、半導体ウェハを収容する室を含むことができ、この室は、放射反射面を有する1つまたは複数の壁を有する。その場合、室壁は、垂直から2〜6度の角度だけ内側にテーパを付けることができる。
【0079】
望みであれば、加工物のバルクの冷却の促進は、加工物によって反射された、または熱放出された放射を吸収することによって行うことができる。1つの例示実施形態では、加工物は、冷却窓によって熱源から隔離され、加工物によって熱放出された放射は、冷却窓によって吸収される。別の実施形態では、加工物は、放射吸収室内で保持され、加工物によって反射された、または熱放出された放射が、室の1つまたは複数の壁によって吸収される。さらなる実施形態は、1つまたは複数のそのような冷却窓をそのような放射吸収室と組み合わせる。
【0080】
冷却窓が使用される場合、冷却窓は、冷却流体の流れによって冷却される第1の光学的に透明なプレートを含むことができる。冷却窓はさらに、第1の光学的に透明なプレートから離隔された第2の光学的に透明なプレートを含むことができ、第1の光学的に透明なプレートと第2の光学的に透明なプレートとの間に少なくとも1つのチャネルを画定することができ、そのチャネルを通して冷却流体をポンプすることができる。光学的に透明なプレートは、加工物を加熱するために使用される放射源によって放出される放射エネルギーに対して概して透明な材料から形成することができる。光学的に透明なプレートを形成するための1つのそのような光学的に透明な材料は石英であり、しかし使用される加熱装置に応じて、サファイア、ガラス、または他の材料を代わりに使用することができる。冷却流体は、水などの液体を含むことができる。1つの実施形態では、冷却窓が、約1.4μm以上の波長を有する放射を吸収し、これは、ほとんどの放射がシリコン半導体ウェハなどの加工物によって放出されると予想される場合の放射波長である。
【0081】
本発明の1つの実施形態による半導体加熱装置は、半導体ウェハの第1の表面を加熱するための第1の熱源と、半導体ウェハの第2の表面を加熱するための第2の熱源とを含み、第1の冷却窓が、第1の熱源と半導体ウェハの間に配置されており、第2の冷却窓が、第2の熱源と半導体ウェハの間に配置されている。冷却窓は、半導体ウェハから熱源を隔離して汚染を防止する。さらに、冷却窓は、ウェハによって熱放出された、または反射された放射を吸収することによってウェハを制御可能に冷却する働きをする。好ましくは、冷却窓は、半導体ウェハを制御可能に冷却するために、毎秒150〜180℃の冷却速度を達成するのに十分高い速度で放射を吸収する。
【0082】
好ましくは、第1の冷却窓は、冷却流体によって冷却される第1の光学的に透明なプレートを含む。最も好ましくは、第1の冷却窓がさらに、第1の光学的に透明なプレートから離隔された第2の光学的に透明なプレートを含み、冷却流体が流れることができる少なくとも1つの経路またはチャネルを画定する。好ましい冷却流体は、水などの液体である。光学的に透明なプレートを形成するための好ましい材料は石英である。
【0083】
いくつかの例示実施形態では、第1および第2の熱源が、アーク・ランプまたはアーク・ランプのアレイである。第1および第2の熱源の一方を、タングステン・ランプまたはタングステン・ランプのアレイにすることもできる。
【0084】
1つの実施形態は、熱処理中に半導体ウェハを収容するための1つまたは複数の室を有し、この室は、放射反射面を備える側壁を有する。しかし、半導体加熱装置はさらに、半導体ウェハを収容するための室を含むことができ、この室は、放射吸収面を備える1つまたは複数の側壁を有する。放射吸収面はさらに、半導体ウェハを制御可能に冷却する助けをする。
【0085】
加工物が例えば半導体ウェハである実施形態では、加工物の予熱は、ウェハの基板側を照射することを含むことができ、加工物の表面の加熱は、ウェハの装置側を照射することを含むことができる。装置側と比べてウェハの基板側では放射率の均一性が高いので、ウェハを予熱するための基板側の照射は、ウェハの装置側にアニーリング・エネルギー全体を送達する他の方法よりも、ウェハでの温度均一性を大幅に高くし、したがって熱応力損傷を大幅に小さくする。対照的に、室温から例えば1050℃まで装置側を加熱するために装置側のみが照射された場合、装置側での様々な装置間の10%の放射率差が、約100℃の横方向温度差をもたらす場合があり、これは、現在許容できる温度差制限を大きく超え、したがって装置および格子に対する熱応力損傷をもたらす場合がある。
【0086】
本発明の他の態様および特徴は、添付図面に関連して本発明の特定の実施形態の以下の説明を参照すれば当業者に明らかになろう。
【0087】
(詳細な説明)
図1を参照すると、本発明の第1の実施形態による加工物を熱処理するためのシステムが、一般に参照番号20で示されている。システムは、加工物24を中間温度まで予熱するように動作可能な予熱装置22と、加工物の表面28を中間温度よりも高い所望の温度まで加熱するように動作可能な加熱装置26とを含む。システムはさらに、中間温度よりも低い温度への加工物の冷却を促進させるための冷却促進システム29を含む。
【0088】
システム
図2を参照すると、本発明の第2の実施形態による加工物を熱処理するためのシステムが、一般に参照番号30で示されている。システム30は、この実施形態では半導体ウェハである加工物34を中間温度まで予熱するように動作可能な予熱装置32を含む。システムはさらに、この実施形態では、加工物34の表面38を中間温度よりも高い所望の温度まで加熱するように動作可能な加熱装置36を含む。
【0089】
この実施形態では、予熱装置32は、加工物34を初期温度から中間温度まで予熱するように動作可能であり、加熱装置36は、加工物34の表面38を所望の温度まで加熱するように動作可能であり、この所望の温度は、中間温度と初期温度の差よりも小さい、またはほぼ等しい量だけ中間温度よりも高い。すなわち、加熱のかなりの部分が、初期温度から中間温度までの加熱中に行われる。この実施形態では、加熱装置は、第1の期間後の、第1の期間よりも短い時間内に加熱を開始するように動作可能である。より詳細には、この実施形態では、加工物が中間温度に到達すると実質的に即時に、加熱装置が表面の加熱を開始するように動作可能である。
【0090】
また、この実施形態では、予熱装置32は、加工物34を中間温度まで予熱するために加工物34の第1の側42を照射するように動作可能な第1の照射源40を含む。加熱装置36は、加工物36の第2の側46を照射するように動作可能な第2の照射源44を含み、第2の照射源44は、この実施形態では、加工物34の表面38と同一の広がりをもって、第2の側46を中間温度よりも高い所望の温度まで加熱する。しかし、代替実施形態では、加工物を予熱するために予熱装置32を活動化するのと同時に、加熱装置36を活動化することもできることに留意されたい。したがって、望みであれば、加熱装置36と予熱装置32の両方を使用することによって予熱を達成することができる。
【0091】
この実施形態での加工物34は半導体ウェハであるので、熱処理システム30は、半導体加熱装置として効果的に作用する。
【0092】
プロセス・室
さらに図2を参照すると、この実施形態では、システム30は、中間温度よりも低い温度への加工物の冷却を促進させるための、一般に参照番号47で示される冷却促進システムを含む。この実施形態では、冷却促進システム47は、加工物によって熱放出される放射を吸収するように動作可能な吸収システムを含む。より詳細には、この実施形態では、吸収システムは、加工物34によって反射され、熱的に放出された放射を吸収するように動作可能な放射吸収環境を含む。さらに、より詳細には、この実施形態では、放射吸収環境は、加工物34を囲う放射吸収室48によって提供される。放射吸収室48は、壁50、52、54、および56を含み、それぞれが、加工物34によって反射され、熱放出された放射を吸収するように動作可能な放射吸収面として働く。したがって、この実施形態では、冷却促進システム47の吸収システムが放射吸収面を含み、この面は、放射吸収室の壁を含む。
【0093】
この実施形態では、壁50、52、54、および56は、黒色ステンレス鋼からなる。あるいは、例えばアルマイト処理されたアルミニウムなど他の適切な放射吸収材料を使用することができる。さらなる代替形態として、壁を、事実上任意の熱伝導材料から構成することができ、例えば塗料含有グラファイトなど放射吸収物質で被覆することができる。
【0094】
この実施形態では、冷却促進システム47の吸収システムがさらに、以下でより詳細に論じる選択フィルターシステムを含む。一般に、冷却促進システム47、またはより詳細には放射吸収室48の放射吸収効果は、システム30の応答時間を促進させる働きをし、それにより加工物34は、放射吸収室48の代わりに反射室を使用する場合よりも、予熱装置32および加熱装置36がオフに切り換えられた後により迅速に冷却し始める。この向上したシステム応答時間は、より鋭い形をした温度プロフィルをもたらし、加工物34の表面38は、任意の所与の熱サイクルに含まれる最高温度であまり時間を費やさない。加工物34が、イオン注入された半導体ウェハである場合、これは、熱サイクル中にドーパント拡散深さを短縮する傾向があり、より浅い接合の形成を可能にする。さらに、放射吸収室48の使用は、反射室を使用するシステムに比べて、そのような加工物の結晶格子に対する起こり得る損傷を低減する。反射室は、放射を不均一に反射して加工物に戻し、次いで加工物がそのような放射を不均一に吸収し、加工物での熱勾配および熱応力の増大をもたらす。冷却促進システム47は、加熱段階中に、任意のそのような再反射を除去することによって加工物の加熱の均一性を高めるだけでなく、さらに、加熱源が非活動化された冷却段階中に、加工物によって熱放出された放射が反射されて加工物に戻る(これは、加工物を再加熱する傾向がある)のを防止することによって加工物の冷却を促進する。したがって、全体の冷却速度は、冷却促進システム47の吸収システムの効果によって向上し、それにより、さらに加工物中でのドーパント拡散を低減する。この実施形態では、冷却促進システム47は、少なくとも毎秒100℃の速度で、より好ましくは少なくとも毎秒180℃の速度で加工物を冷却できるようにする。しかし、別法として、望みであれば、放射吸収室48の代わりに反射室を使用することもでき、これは、加工物中でのより大きなドーパント拡散および熱応力の犠牲を払って熱サイクルのエネルギー効率を高める。
【0095】
この実施形態では、システム30はさらに、放射吸収室48の壁50、52、54、および56の放射吸収面を冷却するように動作可能な冷却サブシステム58を含む。より詳細には、この実施形態では、冷却サブシステム58が水循環システムであるが、別法として他の冷却促進システムを代わりに使用することもできる。あるいは、冷却サブシステム58を省くこともできるが、これは、壁50、52、54、および56などの放射吸収面が提供されている場合は望ましくない。なぜなら、放射吸収面は普通、高温になり、放射を熱放出する傾向があるからであり、これは、予熱装置32および加熱装置36が非活動化された後に加工物34を加熱し続け、それによりシステム30の応答時間を遅くする。同様の理由で、冷却促進システム47が1つまたは複数の水冷窓など選択フィルターシステム(以下でさらに詳細に論じる)を含むこの実施形態では、選択フィルターシステムを冷却するために冷却サブシステム58を使用することもできる。同様に、冷却サブシステム58を使用して、例えば以下で論じる窓53など、システム30の任意の他の窓を冷却することもできる。
【0096】
この実施形態では、システム30はさらに、加工物の温度の表示を生成するように動作可能な温度インジケータ60を含む。より詳細には、この実施形態では、温度インジケータ60が、参照により本明細書に組み込む2001年10月16日に発行された本願の所有者が所有する米国特許第6303411号に開示されるものなどの測定システムを含む。したがって、この実施形態では、温度インジケータ60は、放射吸収室48の壁52にある石英窓53の下に取り付けられた電荷結合素子(CCD)を含み、さらに、CCDと窓53との間に挿入されたCCD光学系(図示せず)および帯域フィルタ(図示せず)と、放射吸収室48の内壁57の下面に取り付けられた放射センサ(図示せず)とを含む。あるいは、この温度インジケータの代わりに、例えば高温計など他の温度インジケータを使用することもできる。さらなる代替形態として、加工物温度を直接測定する必要なく、加工物34の温度を、加工物に供給されるパワーから簡単に予測することができる。
【0097】
放射吸収室48での内壁57は、放射吸収室の壁50と56の間に延在する。管状ガード・リング61が、内壁57のディスク状開口59内にセットされ、開口59内に半径方向内側に延在する。ガード・リング61は、この実施形態ではシリコン半導体ウェハである加工物と同じ、または同様の材料を含む。ガード・リングは、熱サイクル中のエッジ効果を低減するために使用され、予熱装置32および加熱装置36に対して所望の位置に加工物を位置付けるためのロケータとして働く。あるいは、加工物を支持するための他の手段を代わりに使用することもできる。
【0098】
さらに、望みであれば、放射吸収室48は、加工物の近傍に、ガス・フロー・ポート(図示せず)、およびガス・フローを制御するためのフロー制御装置(図示せず)を含むことができ、しかしそのような要素は、典型的なアニーリング適用例では必要ない。
【0099】
加工物
さらに図2を参照すると、この実施形態では、加工物34が半導体ウェハである。より詳細には、この実施形態では、半導体ウェハは、例えばマイクロプロセッサやメモリ・装置など半導体チップの製造で使用されるシリコン・ウェハである。加工物34の第1の側42は基板側であり、第2の側46は装置側である。したがって、図2に示されるように、この実施形態では、第1の照射源40および第2の照射源44を、それぞれ半導体ウェハの基板側および装置側を照射するように位置付けることができる。シリコン・ウェハ加工物34の装置側(第2の側46)は、例えば、浅いp+/n接合を形成するための超低エネルギー・ホウ素注入などイオン注入プロセスをあらかじめ受けており、装置側の表面領域内に不純物またはドーパント原子が注入されている。そのようなイオン注入の結果、加工物は結晶格子損傷を受け、ホウ素注入インプラントは、第2の側46の近傍の、注入元素によって生成される高いホウ素濃度の層内で、ホウ素注入インプラントが電気的に不活性となる格子間位置に高濃度で保たれる傾向がある。したがって、加工物34にアニーリング・プロセスを施して、シリコン・ウェハの格子内の置換部位に注入元素を入れ、それにより注入元素を電気的に活性にし、イオン注入中に受ける結晶格子損傷を修復しなければならない。
【0100】
しかし、別法として、本発明の実施形態は、注入されたドーパントの単なる活性化を超える用途があり、したがって加工物34は、別法として、様々な目的のためにアニールすべきウェハを含むことができる。例えば、本明細書に例示される熱処理方法およびシステムの他の実施形態を、ウェハの領域上の金属、酸化物、窒化物、珪化物、珪酸塩、または転移金属酸化物の層をアニールするために適用することができる。同様に、いくつかの例として挙げると、ウェハの領域を熱により酸化する、または熱により窒化するために、またはウェハ上の層の化学蒸着を行うために、またはウェハのバルクおよび表面に近い領域での固相反応をもたらすために、他の実施形態を使用することもできる。
【0101】
より一般的には、異なる材料の複数の層で被覆されたベース材料を含む多くのタイプの加工物が、本明細書で例示される熱処理方法の実施形態から利益を得ることができると予想される。この点で、ベース材料は、例えばシリコン、炭化珪素、またはガリウム砒素などの半導体を含むことができ、しかし別法として、メモリ媒体または磁気読取り/書込みヘッドを製造するために使用される磁気媒体を含むこともでき、あるいは、例えばフラット・パネル・ディスプレイを製造するために使用されるガラスを含むこともできる。そのような加工物は、プレアモルファス化など表面修正プロセスを施しても、施さなくてもよく、層は、ベース材料の表面にわたって横方向に連続であっても、(意図的なパターニングにより)不連続であってもよく、または連続層と不連続層の組合せであってもよい。
【0102】
しかし、より広範には、加工物34は、上述したタイプの加工物のいずれかである必要はなく、別法として、本明細書で開示する熱処理方法およびシステムから利益を得る任意の他のタイプの加工物を含むことができる。
【0103】
予熱装置
さらに図2を参照すると、この実施形態では、予熱装置32、またはより詳細には第1の照射源40が、電磁放射によって加工物34を照射するように動作可能なアーク・ランプ62を含む。より詳細には、この実施形態では、アーク・ランプ62が、Vortek Industries Ltd.(Vancouver、British Columbia、Canada)から市販されている500kW二重水壁アルゴン・プラズマ・アーク・ランプである。そのようなアーク・ランプの一例は、参照により本明細書に組み込む、特許公開WO01/54166号のもとで2001年7月26日に公告された本願の所有者が所有する特許協力条約出願PCT/CA01/00051号に開示されている。そのようなアーク・ランプは、タングステン・フィラメント・ランプ源に比べて、半導体アニーリングに関していくつかの利点を提供する。例えば、アルゴン・プラズマの小さな熱質量により、アーク・ランプ62の応答時間は0.1または0.2ms程度、またはそれ未満であり、これは、シリコン・ウェハに関する熱伝導時間よりも速いだけでなく、典型的なタングステン・フィラメント・ランプの応答時間よりも3桁速い。したがって、アーク・ランプ62は、タングステン・フィラメント・アニーリング・システムよりも小さなドーパント拡散をもたらす、より速い熱サイクルを可能にする。さらに、アーク・ランプ62は、典型的なタングステン・ランプ源の40%と比較して、そのスペクトル分散の95%以上を低温シリコンの1.2μmバンド・ギャップ吸収以下で生成し、熱効率が高くなる。また、典型的なタングステン・アニーリング・システムでの複数のタングステン・フィラメント・ランプは、互いに完全には較正されず、それらの照射スペクトルも、例えば、フィラメントの変化、電球面上への堆積物の蓄積により、時間と共に変化する。したがって、照射が時間にわたって明確には変化しない単一のアーク・ランプ62の使用が、加工物の照射の均一性を高め、加工物でのより低い熱勾配、およびそれに対応する格子に対するより小さな熱損傷をもたらし、例えばタングステン・ランプのアレイなど多数のエネルギー源の頻繁な較正および再較正が必要なくなる。同様に、アーク・ランプ62の長い寿命が、焼き付いた電球の頻繁な交換および再較正の必要をなくす。しかし、別法として、タングステン・フィラメント・ランプ源またはそのようなランプ源のアレイを含めた他のタイプの予熱装置を代わりに使用することもできる。
【0104】
この実施形態では、予熱装置32がさらに反射器64を含む。反射器64は、トラフ形状を形成する一連のフラット・セグメントによって形成され、それによりアーク・ランプ62と協働して概して均一な照射場を生成する。この実施形態では、反射器64は、Vortek Industries Ltd.(Vancouver、Canada)によって製造されている反射器を含む。別法として、反射器64を省く、または他の反射器に取り換えることもできるが、照射場が概して均一であることが望まれる。
【0105】
アーク・ランプ62は、反射器64の焦点に配置され、アーク・ランプ62および反射器64は、加工物の第1の側42の表面に対して45°の入射角での実質的に均一な照射場を用いて加工物34の第1の側42を照射するように配置される。
【0106】
この実施形態では、放射吸収室48がさらに、放射吸収室の壁52と56の間に延在する石英窓65を含む。石英窓65は、放射吸収室48の内部からアーク・ランプ62および反射器64を隔離する働きをして、加工物、ランプ、または反射器の汚染を防止する。
【0107】
この実施形態では、予熱装置32はさらに、加工物を予熱するために連続的に動作するようにアーク・ランプ62にパワーを供給するための連続電源(図示せず)を含む。この点で、この実施形態の予熱装置は、600℃〜1250℃の範囲の中間温度まで、少なくとも毎秒100℃の速度で加工物を予熱するように動作可能である。より詳細には、この実施形態では、ランプ・レートが少なくとも毎秒250℃であり、特に、速度が少なくとも毎秒400℃である。そのようなランプ・レートを達成するために、アーク・ランプ62は、約1×10W/cmの強度で加工物の第1の側42を照射して、適度に毎秒250℃を超えるランプ・レートを達成することができ、あるいは約1.4×10W/cmの強度で照射して、適度に毎秒400℃を超えるランプ・レートを達成することができ、そのような放射強度は、アーク・ランプに供給される入力パワーによって決定される。別法として、アーク・ランプは、連続する入力パワー・レベル範囲を受け入れることができ、それにしたがって、より速いまたはより遅いランプ・レートを代わりに使用することができ、しかしより遅い速度は、ドーパント拡散の増大をもたらす傾向があり、はるかに速い速度(例えば加工物の熱遅れ時間程度)は、加工物でのより高い熱勾配をもたらす可能性がある。例えば、いくつかの適用例では、毎秒50℃程度のランプ・レートが十分に適切である場合があり、他の適用例では、はるかに速いランプ・レートが受入れ可能である場合がある。
【0108】
さらに、この実施形態では、システム30が、放射吸収室48の壁52にある石英窓67の下に取り付けられた補正エネルギー源66を含む。補正エネルギー源は、熱サイクル中に加工物34のより低温の領域にさらなる加熱を供給して、加工物における温度分布の均一性を高め、それにより熱応力によって生じる格子損傷を減少する。より詳細には、この実施形態では、補正エネルギー源は、上述した本願の所有者が所有する米国特許第6303411号に開示されているものと同様である。しかし、別法として、補正エネルギー源66を完全に省くことができ、または他のタイプの補正エネルギー源を代わりに使用することができる。
【0109】
加熱装置
さらに図2を参照すると、この実施形態では、加熱装置36、またはより詳細には第2の照射源44が、表面38を所望の温度まで急速に加熱するために、熱フラックス・エネルギー源を含む。より詳細には、この実施形態では、加熱装置36は、電磁放射によって加工物34、またはより詳細には表面38を照射するように動作可能なフラッシュ・ランプ68を含む。フラッシュ・ランプ68は、上述した本願の所有者が所有する特許協力条約出願PCT/CA01/00051に開示されるものと同様のVORTEK(商標)二重水壁アーク・ランプを含む。フラッシュ・ランプ68は電源システム69を含み、電源システム69は、この実施形態では、望みであれば連続モードでフラッシュ・ランプを操作するための、予熱装置32内に提供されるものと同様の連続電源を含むだけでなく、フラッシュ・ランプ68に入力パワーの「スパイク」を供給するために事前充電し、次いで突然放電することができるパルス放電ユニットも含む。より詳細には、この実施形態では、フラッシュ・ランプの電源システム69が、1ミリ秒の放電時間内に最大60kJのパルスを生成するように動作可能な、Rapp OptoElectronin(Hamburg、Germany)によって製造されている電源型式VT−20パルス放電ユニットを含む。別法として、入力パワーの突然のスパイクを供給するように動作可能な他のタイプの電源を代わりに使用することもできる。例えば、EKSMA Company(Vilnius、Lithuania)によって製造されている電源型式PS5010が多くの適用例で適する。好ましくは、任意のそのような電源が、少なくとも2kHzの切換え周波数、および少なくとも500kWのパワー出力を有し、しかしこれらの好ましい特性は本質的なものではなく、望みであれば変えることができる。
【0110】
より一般的には、他のタイプのフラッシュ・ランプ、またはより広範には、他の加熱装置を代わりに使用することもできる。しかし、他のタイプの加熱装置よりもはるかに高いパワーのフラッシュを生成することができるので、VORTEK(商標)二重水壁アーク・ランプが好ましい。この点で、加熱装置36は、好ましくは、最小数の熱源、最も好ましくは単一の熱源を含み、多数の熱源の進行中の較正を必要とせずに、加熱装置の制御を簡略化し、照射場の均一性を改善する。アーク・ランプの使用は、アーク・ランプが、例えばタングステン・フィラメント・ランプなど他のタイプの熱源に比べて大幅に高いパワー出力能力を有するので好ましい。従来のアーク・ランプは、アークからの熱の伝導によってだけでなく、アークからの放射の石英窓内部での吸収によっても生じる、アークを囲む石英窓に加えられる大きな熱応力により、本明細書で開示したパワー・レベルでフラッシュを生成するのが困難である。従来のアーク・ランプでは、結果として生じるこの熱応力が、アークを囲む石英窓を激しく粉砕する可能性がある。VORTEK(商標)二重水壁アーク・ランプは、これらの難点に対処し、したがって、従来のアーク・ランプよりもはるかに大きなパワー・フラッシュを安全に生成することができ、単一のランプまたは制限された数のランプが使用されるこの実施形態の用途に理想的に適したものになっている。
【0111】
電源システム69の放電に応答して、フラッシュ・ランプ68は、1〜5msの持続時間にわたって4〜6MWのパワー出力で電磁放射のフラッシュを生成するように動作可能である。例えば、1ms持続時間の6MWフラッシュがいくつかの適用例で有利である。加工物が中間温度にあるときにそのようなフラッシュを生成することによって、加熱装置36は、加工物の表面38を中間温度から所望の温度まで加熱するように動作可能である。加工物34がシリコン半導体ウェハである実施形態では、加熱装置は、通常1050℃〜1430℃の範囲の所望の温度まで表面38を加熱するように動作可能である。
【0112】
フラッシュ・ランプ68は、他の超高速加熱装置に比べて、この実施形態の目的に有利である。例えば、いくつかのアニーリングの目的でエキシマ・レーザが従来使用されているが、レーザによって生成される単色放射は、半導体ウェハ加工物の表面を被覆し、意図的に横方向で不均質にしてある薄膜によって生み出される光干渉効果をもたらす傾向がある。そのような光干渉効果は横方向温度勾配を生成し、これは、加工物の格子に対する熱応力損傷をもたらす。フラッシュ・ランプ68は、フラッシュ・ランプによって生成される電磁放射のスペクトルがより広いため、レーザよりもそのような干渉効果を受けにくい。さらに、レーザ・アニーリングは通常、加工物表面全体をアニールするために、例えば数百回のサイクルなど、複数回の加熱サイクルを必要とし、したがってレーザが加熱装置として代わりに使用される場合、加工物は、中間温度でより長時間を費やし、これはより深いドーパント拡散をもたらす。また、加熱装置としてのレーザの使用は、フラッシュ・ランプよりも低い品質の接合を生成し、より大きな電流漏れを生じる傾向がある。さらに、レーザに関連するより速い傾斜時間(典型的には、フラッシュ・ランプに関連する時間よりも2桁速い)は、より高い熱勾配を生成し、格子損傷の可能性を高める傾向がある。最後に、レーザ・アニーリングにより生じる極端に浅い熱浸透により、介入層による「遮蔽」によって、介入層の下にある薄いゲートなど所望の層の適切なアニーリングを達成することができないことが時としてあり、対照的に、フラッシュ・ランプは、介入層および下にある層を含めた表面領域全体を十分なアニーリング温度まで加熱する傾向がある。
【0113】
しかし、別法として、望みであれば、他のタイプの加熱装置をフラッシュ・ランプ68の代わりに使用することができる。この実施形態の目的では、任意の代替加熱装置が、加工物34の熱伝導時間(典型的には10〜15ms程度)よりも速い応答時間を有し、加工物の熱伝導時間よりも短い時間で加工物の第2の側46を中間温度から所望の温度まで加熱することができることが望ましく、それにより加工物34のバルクは、実質的に中間温度で保たれて、バルクがヒート・シンクとして働いて、所望の温度から中間温度への第2の側46の急速な冷却を容易にする。
【0114】
この実施形態では、加熱装置36はさらに反射器70を含む。この実施形態では、反射器70は、トラフ形状を形成する一連のフラット・セグメントによって形成され、それによりフラッシュ・ランプ68と協働して概して均一な照射場を生成する。この実施形態では、反射器70は、Vortek Industries Ltd.(Vancouver、Canada)によって製造されている反射器を含む。別法として、反射器70を省く、または他の反射器に取り換えることもできるが、照射場が概して均一であることが望まれる。
【0115】
フラッシュ・ランプ68は、反射器70の焦点に配置され、フラッシュ・ランプおよび反射器は、加工物34の第2の側46の表面に対して45度の入射角での実質的に均一な照射場を用いて加工物34の第2の側46を照射するように配置される。
【0116】
この実施形態では、放射吸収室48がさらに、放射吸収室の壁50と54の間に延在する石英窓71を含む。石英窓71は、放射吸収室48の内部からフラッシュ・ランプ68および反射器70を隔離する働きをして、加工物の汚染を防止する。
【0117】
選択フィルターシステム
図2を参照すると、この実施形態では、冷却促進システム47の吸収システムが選択フィルターシステムを含む。より詳細には、選択フィルターシステムは第1のフィルター装置を含み、第1のフィルター装置は、この実施形態では石英窓65を含む。第1のフィルター装置、より詳細には石英窓65は、予熱装置32と加工物34の間に挿入され、予熱装置によって生成された放射を加工物に伝送して、加工物を中間温度まで予熱するように構成されている。より詳細には、第1のフィルター装置は、加工物の表面に放射を伝送するように構成され、加工物の表面は、この実施形態では、本明細書で第1の側42と呼ぶ基板側を含む。第1のフィルター装置はさらに、加工物によって熱放出された放射を吸収するように構成されている。
【0118】
同様に、この実施形態では、選択フィルターシステムがさらに第2のフィルター装置を含み、第2のフィルター装置は、この実施形態では石英窓71を含む。第2のフィルター装置、またはより詳細には石英窓71は、加熱装置36と加工物34の間に挿入され、加熱装置によって生成された放射を加工物の表面38に伝送して、表面を中間温度よりも高い所望の温度まで加熱するように構成されている。第2のフィルター装置はさらに、加工物によって熱放出された放射を吸収するように構成されている。
【0119】
図2および2Aを参照すると、この実施形態では、冷却促進システム47の選択フィルターシステムの第2のフィルター装置が、少なくとも1つの窓を含み、この実施形態では石英窓71である。より詳細には、この実施形態では、石英窓71が、この実施形態では石英から構成されている第1および第2の離隔された窓82および84を含む。この実施形態では、窓82および84は、光学的に透明であり、間に形成される流体チャネル86を画定する。光学的に透明な窓は、好ましくは2〜10mmの範囲の厚さを有し、約2〜5mm、好ましくは約3mm離隔される。
【0120】
この実施形態では、熱処理システム30はさらに、選択フィルターシステムを冷却する、より詳細には選択フィルターシステムの第1および第2のフィルター装置を冷却するための冷却サブシステム58を含む。これを達成するために、この実施形態では、冷却サブシステム58が、窓71の表面にわたって液体が流れるようにするための液体冷却サブシステムを含む。より詳細には、この実施形態では、液体冷却サブシステムは、液体が窓82と84の間に画定された空間、すなわち流体チャネル86内を流れるようにする。したがって、この実施形態では、冷却流体、好ましくは水などの液体、より好ましくは純水、最も好ましくは脱イオン水が、流体チャネル86を通してポンプされる。流体チャネル86を通してポンプされた水を有する冷却窓71は、フラッシュ・ランプ68から加工物へ約0.2〜1.4μmの波長を有する可視および近可視放射(波線85によって表される)を容易に伝送し、しかしまた、加工物から放出される1.4μmよりも大きい波長の赤外放射(波線88によって表される)を吸収する。加工物によって放射的に放出されたより長い波長の放射を吸収することによって、冷却窓71は、加工物冷却を活発に促進し、加工物放出放射の、加工物へ戻る反射をなくす。このシステム30は、より高い制御を提供し、加工物の冷却を最大にする。放射の吸収後、水が窓からポンプされて、冷却をさらに向上する。これは、そのようなポンピングが、冷却窓71および内部の水が加熱されて放射を熱放出し始めるのを防止するからである。水によって吸収された放射は、加工物に戻らず、加工物で再吸収されることはない。対照的に、従来の高い反射性のシステム(放射を吸収しない室)は、ウェハによって放出されたそのような放射のほとんどをウェハに戻す。
【0121】
したがって、この実施形態では、冷却窓71は、冷却流体によって冷却される第1の光学的に透明なプレート(窓82)を含み、さらに、第1の光学的に透明なプレートから離隔された第2の光学的に透明なプレート(窓84)を含み、冷却流体が流れることができる経路(流体チャネル86)を画定する。
【0122】
この実施形態では、予熱装置32と加工物34の間に挿入される図2に示される石英窓65は、石英窓71と構造的に同様である。したがって、この実施形態では、窓65は、液体冷却石英窓であり、またはより詳細には水冷石英窓である。
【0123】
したがって、加工物34が半導体ウェハであるこの実施形態では、システム30は、半導体ウェハの第1の表面を加熱するための第1の熱源(予熱装置32と加熱装置36の一方)と、半導体ウェハの第2の表面を加熱するための第2の熱源(予熱装置32と加熱装置36の他方)と、第1の熱源と半導体ウェハとの間に挿入された第1の冷却窓(窓65と71の一方)とを含む半導体加熱装置として効果的に働く。この実施形態の装置はさらに、第2の熱源と半導体ウェハとの間に配置された第2の冷却窓(窓65と71の他方)を含む。第1および第2の冷却窓は、少なくとも毎秒100℃の速度で半導体ウェハを制御可能に冷却できるように、半導体ウェハによって熱放出された放射を吸収する。
【0124】
制御装置
図2を参照すると、この実施形態では、システム30がさらに、この実施形態では汎用コンピュータ74内に収容されているプロセッサ回路72を含む。プロセッサ回路72は、予熱装置32および加熱装置36と連絡している。さらに、温度インジケータ60および補正エネルギー源66が提供されるこの実施形態などの実施形態では、プロセッサ回路が、そのような装置とさらに連絡する。
【0125】
この実施形態(図2)では、コンピュータ74がさらに、プロセッサ回路72と連絡する記憶装置76を含む。より詳細には、記憶装置76は、ハード・ディスク・ドライブおよびランダム・アクセス・メモリを含む。コンピュータ74はさらに、この実施形態ではキーボードである入力装置78と、この実施形態ではカラー・モニタである出力装置80とを含む。しかし、別法として、他の記憶、入力および出力装置を代わりに使用することもできる。または、さらなる代替形態として、プロセッサ回路を完全に省く、または本明細書に例示した方法に従って予熱装置32および加熱装置36を制御するための任意の他の適切な手段に取り換えることもできる。
【0126】
動作
図2、3、および4を参照すると、この実施形態では、図2に示される記憶装置76が、図3で一般に参照番号90で示される熱処理ルーチンを実行するようにプロセッサ回路72に命令するためのコードのブロックを記憶する。熱処理ルーチンは、熱処理サイクルが開始されたことを示すユーザ入力装置78で受け取られるユーザ入力に応答して、プロセッサ回路によって実行される。
【0127】
一般に、この実施形態では、熱処理ルーチン90は、予熱装置32および加熱装置36を制御して、加工物34を中間温度まで予熱し、加工物34の表面を中間温度よりも高い所望の温度まで加熱するようにプロセッサ回路72を構成する。この実施形態では、加熱は、第1の期間後の、第1の期間よりも短い時間内に開始される。より詳細には、この実施形態では、加熱は、加工物が中間温度に到達すると実質的に即時に開始される。また、この実施形態では、所望の温度が、加工物の中間温度と初期温度の差の約5分の1以下の量だけ中間温度よりも大きい。
【0128】
一般に、熱処理ルーチン90の実行全体を通じて、放射吸収室48の壁50、52、54、および56は、加工物34によって反射され、熱放出された放射を吸収し、石英窓65および71は、同様に、加工物によって熱放出された放射を吸収し、それにより加工物の冷却を促進させる。冷却サブシステム58は、これらの壁および窓を冷却して、それらが、そのような吸収に応答して高温になり、そのような吸収されたエネルギーを黒体放射として再放出するのを防止する。しかし、別法として、そのような吸収および冷却は、熱処理ルーチンの実行中の加工物の温度均一性の犠牲を払って、かつより遅い冷却速度をもたらすより深いドーパント拡散のさらなる犠牲を払って、省くことができる。
【0129】
熱処理ルーチン90は、図3に示されるコードの第1のブロック100から始まり、このブロックは、加工物34を中間温度まで予熱するようにプロセッサ回路72に命令する。これを達成するために、ブロック100は、予熱装置32、より詳細には第1の照射源40を活動化するようにプロセッサ回路に命令して、加工物34の第1の側42を照射して、加工物を中間温度まで予熱する。より詳細には、ブロック100は、図2に示されるアーク・ランプ62を制御するようにプロセッサ回路に命令して、約1.4×10W/cmの一定の放射強度を用いて加工物の第1の側42を連続的に照射し、この強度は、適度に毎秒400℃を超えるランプ・レートで加工物を予熱するのに十分であることが判明している。したがって、この実施形態では、加工物の照射は、アーク・ランプによって生成された電磁放射に加工物を露出することを含む。
【0130】
また、ブロック100は、加熱装置36を初期化するようにプロセッサ回路72に命令し、この実施形態では、図2に示されるフラッシュ・ランプ68の電源システム69を充電することによって達成される。
【0131】
さらに、図2に示される補正エネルギー源66が使用される実施形態では、ブロック100はさらに、補正エネルギー源66を制御するようにプロセッサ回路72に命令して、上述した本願の所有者が所有する米国特許第6303411号でより詳細に説明されているように、予熱段階中に、加工物にわたる所望の空間温度分布を生成する。別法として、補正エネルギー源66を省くこともできる。
【0132】
次いで、ブロック110が、加工物で中間温度が達成されているかどうか判定するようにプロセッサ回路72に命令する。この実施形態では、ブロック110は、加工物34の温度を示す図2に示される温度インジケータ60から受け取られる信号を監視することによってこれを達成するようにプロセッサ回路に命令する。しかし、別法として、ブロック110は、温度インジケータとして作用するようにプロセッサ回路に命令して、加工物に入射する放射の強度に対応して予測される加熱速度に鑑みて、予熱装置がブロック100で活動化されてから経過した時間に基づいた加工物の温度の表示を生成して、中間温度が達成されているかどうか判定することができる。中間温度の大きさは適用例ごとに異なるが、この実施形態では中間温度が1000℃であり、したがってこの温度は、予熱装置32によって加工物を約2.5秒照射した後に加工物で達成される。したがって、実質上、ブロック100および110は、予熱装置32を制御するようにプロセッサ回路に命令して、加工物の熱伝導時間(10〜15ms程度)よりも長い期間にわたって加工物を予熱する。
【0133】
中間温度が加工物34で達成されていることをブロック110で判定した後に、ブロック120が、加工物34の表面38を中間温度よりも高い所望の温度まで加熱するようにプロセッサ回路72に命令する。この実施形態では、所望の温度が、加工物の中間温度と初期温度の差の約5分の1以下(特に約20分の1以下)の量だけ中間温度を上回っている。上述したように、この実施形態では、好ましい中間温度が約600℃〜1250℃の範囲にあり、好ましい所望の温度が約1050℃〜約1430℃の範囲にある(これは、シリコンの融点におおまかに対応する)。この実施形態では、この加熱段階は、第1の期間(加工物温度が中間温度まで増加している間)後の、第1の期間よりも短い時間内に開始される。より詳細には、ブロック110および120の実行により、加熱装置36は、加工物34の温度が少なくとも中間温度であるという温度インジケータ60からの表示に応答して加工物の表面38の加熱を開始するように動作可能であり、あるいは、例えば温度インジケータが省かれている場合は、加熱装置が、第1の期間(加工物の温度が中間温度まで増加している間)の終了時にそのような加熱を開始するように動作可能である。
【0134】
すなわち、この実施形態では、加熱装置36は、加工物34が中間温度に到達すると実質的に即時に表面38の加熱を開始するように動作可能である。この点で、この実施形態では、加熱装置は、加工物が中間温度に到達した後、1秒以内に表面の加熱を開始するように動作可能である。特に、加熱装置は、中間温度に到達した後、4分の1秒以内に表面の加熱を開始するように動作可能である。特に、この実施形態では、加熱装置が、加工物が中間温度に到達した後、100ミリ秒以内、特に10ミリ秒以内にそのような加熱を開始するように動作可能である。したがって、実質上、加工物を通る熱伝導時間が10〜15ms程度であるので、この実施形態では、加熱装置は、加工物が中間温度に到達した後の、加工物の熱伝導時間以下の持続時間を有する期間内に表面の加熱を開始するように動作可能である。この点で、いくつかの適用例では、予熱装置の非活動化のわずかに後まで加熱段階の開始を遅延させて、加工物の熱遅れ(10〜15ms程度)を見込むことが望ましい場合がある。しかし、この加熱段階を開始する際の、この10〜15msの加工物伝導時間よりも長い遅延は、加工物中のドーパント拡散を高める傾向がある。したがって、一般には、加熱段階の開始を全く遅延しないことが好ましいが、特定の適用例で遅延が望まれる場合は、初期温度から中間温度まで加工物を加熱するのにかかる時間よりも長い間、加工物温度を中間温度で「維持」するのは通常望ましくない。
【0135】
この実施形態での加熱段階を開始するために、ブロック120が、プロセッサ回路72に、(補正エネルギー源が提供される場合には補正エネルギー源66を含む)予熱装置32を非活動化するように、かつ加熱装置36を活動化して、加工物の表面38を所望の温度まで加熱するように命令する。より詳細には、この実施形態では、ブロック120は、第2の側を中間温度よりも高い所望の温度まで加熱するために加工物34の第2の側46を照射するように第2の照射源44を制御することによって、加熱段階を開始するようにプロセッサ回路72に命令する。プロセッサ回路は、図2に示されるフラッシュ・ランプ68に信号を送ることによってこれを達成するように命令され、フラッシュ・ランプ電源システム69を放電させて、短い持続時間の高エネルギー・アークをフラッシュ・ランプ内で生成し、このアークが、1ms程度の持続時間にわたって約5MWのパワーで加工物の表面38を照射する。したがって、この実施形態では、表面38の照射は、フラッシュ・ランプによって生成される電磁放射に表面を露出することを含む。
【0136】
このフラッシュは、この実施形態では1050℃である所望の温度まで加工物の表面38を加熱する。この高い温度および対応する高い運動エネルギーで、加工物の表面38に注入されるドーパント原子は、格子からシリコン原子を追い出して、シリコン原子によって前に占有されていた置換格子部位を占有する傾向がある。それにより、ドーパントは電気的に活性化される。除かれたシリコン原子は、加工物の表面38など格子間シンクに向けて移動する傾向があり、そこで、酸化など他のプロセスによって消費される傾向がある。
【0137】
次いで、熱処理ルーチン90が終了される。
【0138】
図4を参照すると、熱処理ルーチン90の前述した実行から得られる加工物34の表面38の温度時間プロフィルが、一般に参照番号130で示されている。温度時間プロフィル130は、4つの異なる段階、すなわちバルク予熱段階132、表面加熱段階134、表面冷却段階136、およびバルク冷却段階138を有する。
【0139】
図2、3、および4を参照すると、バルク予熱段階132は、ブロック100および110のプロセッサ回路72による実行から得られ、第1の期間133にわたって初期温度から中間温度まで温度を増加することによって加工物34を予熱する働きをする。より詳細には、この実施形態では、予熱装置32は、毎秒約400℃のランプ・レートで、初期温度(室温)から1000℃の中間温度まで加工物34全体の温度を増加する。このように中間温度まで、特に所望の温度に比較的近い中間温度まで加工物を予熱することは、後続の表面加熱段階134中に加工物内で生じる温度勾配の大きさを低減する働きをし、したがって、レーザ・アニーリングやマイクロ波アニーリングなどの技法に比べて、加工物の格子に対する熱応力損傷を低減する働きをする。しかし、バルク予熱段階132の比較的速いランプ・レート、およびそれに対応する、高温で加工物によって費やされる短い期間は、より遅いランプ・レートを使用し、後続の加熱段階前に中間温度で加工物を保つ他のサイクルよりも、加工物中ではるかに少ないドーパント拡散をもたらす。すなわち、この実施形態では、バルク予熱段階132の持続時間は、加工物の熱伝導時間よりも長いが、バルク予熱段階中に得られる温度で受け入れられない拡散が生じるのにかかる特徴的な時間に比べて短い。
【0140】
表面加熱段階134は、ブロック120で加熱装置36によって生成されるフラッシュによって生じ、加工物の表面38を中間温度から所望の温度まで加熱する働きをする。図4に示されるように、表面のそのような加熱は、第1の期間133後、第1の期間133よりも短い時間内に開始される。より詳細には、この実施形態では、加熱は、加工物34で中間温度が達成されるとすぐに、第1の期間133の終了後、実質的に即時に開始される。この実施形態では、フラッシュは、約1ミリ秒で、1000℃の中間温度から1050℃の所望のアニーリング温度まで表面38の温度を増加させる。フラッシュの短い持続時間(1ms程度)により、加熱装置36は、加工物の熱伝導時間(10〜15ms程度)よりも短い期間にわたって加工物の表面38を加熱するように動作可能である。したがって、加熱装置36は、熱が表面38から加工物内に伝導することができるよりもはるかに速く加工物の表面38を加熱し、その結果、加工物のバルクは、実質的に中間温度で保たれ、表面38は所望の温度まで加熱される。
【0141】
したがって、フラッシュのすぐ後に続く表面冷却段階136中、加工物34の比較的低温のバルクが、表面38に関するヒート・シンクとして働き、表面38が、加工物全体が所望の温度まで加熱されている場合に冷却されるよりも大幅に速い速度で冷却されるようにすることができる。この急冷は、表面38が、加工物34の残りの部分と同じ温度(ほぼ中間温度)に到達するまで続く。典型的には、この表面冷却段階136の持続時間は、表面加熱段階134の持続時間程度である。図示した例では、シリコン半導体ウェハの表面を、ウェハのバルクの(中間)温度に応じて、例えば毎秒10000℃の速度で冷却することができる。
【0142】
表面加熱および冷却段階134および136中のこの超高速加熱および冷却により、加工物の表面38は、加工物全体が所望の温度まで加熱されている場合よりも、中間温度と所望の温度との間の高温範囲内ではるかに短い時間を費やす。ほとんどの望ましくないドーパント拡散が、所望のアニーリング温度で、またはその前後で生じるので、この超高速加熱および冷却は、より小さなドーパント拡散をもたらし、従来のアーク・ランプまたはフィラメント・ランプ・アニーリング・システムよりも浅いp+/n接合の形成を可能にする。同時に、所望の温度が、中間温度と初期温度との差の約5分の1以下(または、より有利には、この実施形態では約20分の1以下)の量だけ中間温度を上回っているので、これら加熱および冷却段階中の加工物での温度勾配は、従来のレーザ・アニーリング技法で生じるものよりもはるかに小さく、結晶格子への熱応力損傷が小さくなる。
【0143】
表面38が加工物34のバルクと同じ温度(ほぼ中間温度)まで冷却されると、バルク冷却段階138が開始され、表面38が加工物34のバルクと共に冷却される。この実施形態では、そのような冷却は主に、高温の加工物によって熱放出される黒体放射により生じ、しかしまた、一部は、加工物の近傍でのガス(存在する場合)に関わる対流により生じる。そのようなバルク冷却の速度は、温度に大きく依存し、また、例えば室の吸収率または反射率など他の因子に依存する。この実施形態では、バルク冷却段階は、始めに約−180℃/sのランプ・レートで開始されるが、この速度は、加工物が冷却されるにつれていくぶん低減する。有利には、冷却促進システム47および放射吸収室48の放射吸収性質は、従来の反射室よりも速いバルク冷却速度を可能にする。
【0144】
図2Aに関連して上述したように、加工物34を中間温度から制御可能に冷却するための追加の手段が提供される。熱フラックス加熱は、フラッシュ・ランプ68の非活動化時に止まり、所望の温度から中間温度への第2の側46の冷却が、上述したように表面冷却段階136中に急速に生じるが、中間温度から室温(または、加工物がシステムから除去される中間温度よりも低い温度)への冷却は、補助なしでは急速には進まない。熱的な露出は、加工物が長期間(例えば0.3秒以上)中間温度に、またはそれに近い温度に保たれている場合に、望ましくなく大きくなる可能性がある。放射吸収室48の水冷壁50、52、54、および56と、加熱装置36および予熱装置32に関連する冷却窓71および65は、1.4μm以上の波長で加工物から放出される放射を吸収する。シリコン半導体ウェハの例では、これは、加工物から放出される放射の95%程度を表す。組み合わせると、放射吸収室48と冷却窓71および65は、それにより、加工物によって放出される放射を放射吸収室48から除去し、加工物上への放射の再反射を防止することによって加工物を制御可能に冷却する。そのような制御された冷却の一例が、図4のグラフのバルク冷却段階138勾配によって示されている。
【0145】
単一熱処理ルーチン90のみを例示のために上述したが、別法として、複数の異なる熱処理ルーチンを記憶装置76に格納して、異なる適用例に関する複数の異なる対応する熱処理サイクルを実行するためにシステム30を制御するようにプロセッサ回路72に命令することができる。例えば、加工物34を、異なる中間温度まで異なる時間にわたって、かつ/または異なる速度で予熱することができ、次いで、加工物の第2の側46を、特定の適用例に応じて、異なる所望の温度まで、異なる持続時間にわたって異なるパワー・レベルで加熱することができる。
【0146】
さらなる代替形態
望みであれば、アーク・ランプおよびフラッシュ・ランプ以外の予熱装置および加熱装置を代わりに使用することができる。
【0147】
例えば、図2および5を参照すると、本発明の第3の実施形態による加工物を熱処理するためのシステムが、図5に一般に参照番号200で示されている。この実施形態では、予熱装置32が代替照射源を含み、この実施形態では、少なくとも1つのフィラメント・ランプを含む。したがって、この実施形態では、加工物の照射は、少なくとも1つのフィラメント・ランプによって生成される電磁放射に加工物を露出することを含む。より詳細には、この実施形態では、予熱装置32が、石英窓204を通して電磁放射を放射するように動作可能なタングステン・フィラメント・ランプのディスク状アレイ202を含み、加工物34の第1の側42を照射して、加工物を中間温度まで予熱する。本明細書で前述したように、予熱装置32としてタングステン・フィラメント・ランプ・アレイではなくアーク・ランプを使用することにいくつかの利点があるが、特定の適用例での性能要件によっては、タングステン・フィラメント・ランプから生じる傾向があるより深いドーパント拡散は、全ての適用例に関して致命的なものであるわけではない。
【0148】
さらなる例として、図2および5をさらに参照すると、図5に示される代替実施形態200では、表面38を所望の温度まで急速に加熱するために、加熱装置36が断熱エネルギー源を含む。より詳細には、この実施形態では、加熱装置は、表面にわたってレーザ・ビーム208を移動することによって表面38を照射するように動作可能な、エキシマ・レーザまたは他の適切なレーザなどのレーザ206を含む。レーザ206は、表面38を所望の温度まで加熱するために、マイクロ秒またはナノ秒程度の持続時間で急速なレーザ・パルスを生成するように動作可能である。レーザ206は、加工物の格子に対する熱応力損傷の増大が重要でない適用例に十分なものである場合があるが、一般には、明細書で先に開示した理由から、図2に示されるフラッシュ・ランプ68が望ましいことに留意されたい。
【0149】
図2、6、および6Aを参照すると、本発明の第4の実施形態による加工物を熱処理するためのシステムが、図6で一般に参照番号160で示されている。この実施形態では、単一のアーク・ランプ162が、予熱装置32と加熱装置36の両方として機能する。アーク・ランプ162は、図2に示されるアーク・ランプ62と同様であり、加工物の第2の側46を照射するために実質的に均一な照射場を提供するための反射器164を含み、第2の側46は、この実施形態では、シリコン半導体ウェハの装置側である。しかし、アーク・ランプ162はさらに、図2に示されるフラッシュ・ランプ68の電源システム69と同様の電源システム166を含む。電源システム166は、電源システム69のものと同様のパルス放電ユニットを含み、これは、アーク・ランプ162の一定連続電源(図示せず)と並列に接続される。
【0150】
ここで、図2、3、4、および6を参照すると、アーク・ランプ162は、熱処理ルーチン90の変更されたブロック100のプロセッサ回路72による実行に従って、図4に示されるバルク予熱段階132中に、図2に示されるアーク・ランプ62と同様に動作することができる。加工物で中間温度が達成されると、変更されたブロック110は、プロセッサ回路72に、アーク・ランプ162への一定連続電源を切断するように、かつアーク・ランプ162へのパワーの突然のスパイクを提供するために電源システム166を放電するように命令し、図2に示されるフラッシュ・ランプ68によって生成されるのと同様の強度および持続時間のフラッシュを生成する。図6に示されるシステム160は、図2に示されるシステム30よりも安価である場合があるが、システム160は、この実施形態では加工物の装置側である第2の側46へ、加工物の加熱の100%を供給する。装置側は加工物の基板側(第1の側42)よりもはるかに不均質なので、装置側での装置による不均一な吸収は、システム30を使用して生じるよりも大きな横方向温度勾配、およびそれ対応する加工物の格子に対する熱応力損傷を生み出す傾向がある。この難点は、装置側のより低温の領域に追加の熱を供給するように配置することができる追加の補正エネルギー源168をシステム160に提供することによっていくぶん緩和することができ、その動作は、図2に示される補正エネルギー源66と同様である。
【0151】
図6および6Aを参照すると、この実施形態では、冷却窓170が、室壁間に延在して、アーク・ランプを加工物から隔離し、間に形成された流体チャネル176を有する、好ましくは石英から構成された、離隔された光学的に透明な窓172および174を含む。光学的に透明な窓は、好ましくは3〜10mmの範囲の厚さを有し、約2〜5mm、好ましくは3mm離隔されている。冷却流体、好ましくは水などの液体が、流体チャネル176を通してポンプされる。流体チャネル176を通してポンプされた水を有する冷却窓170は、(波線175によって表される)可視放射をアーク・ランプ162から加工物に容易に伝送し、しかしまた、(波線178によって表される)加工物から放出される1.4μmよりも大きな波長の赤外放射を吸収する。加工物によって放射放出された波長での放射を吸収することによって、冷却窓170は、加工物冷却を活発に促進し、加工物放出放射の、加工物へ戻る反射を制限する、またはなくす。このシステム160は、より高い制御を提供し、加工物の冷却を最大にする。しかし、別法として、望みであれば、窓170を省く、または他の適切な窓タイプに取り換えることができる。
【0152】
図5および6を参照すると、予熱装置32および加熱装置36の性質、位置、および組合せのさらなる変形が可能である。例えば、エキシマ・レーザ以外のレーザを、加熱装置36として作用するようにフラッシュ・ランプ68の代わりに使用することができる。あるいは、直線状タングステン・ランプ・アレイなど異なるタイプのタングステン・フィラメント・ランプ・アレイを、予熱装置32として作用するようにアーク・ランプ62の代わりに使用することができる。
【0153】
さらなる代替形態として、図2、7、および7Aを参照すると、本発明の第5の実施形態による加工物を熱処理するためのシステムが、図7で一般に参照番号220で示されている。この実施形態では、予熱装置32は、加工物を中間温度まで予熱するように配置することができる放射高温体222を含む。この実施形態では、高温体222は、ほぼ中間温度まで加熱された石英である。あるいは、炭化珪素、シリコン、耐熱性金属、グラファイト、またはそのような材料の組合せなど他の材料を代わりに使用することもできる。高温体222は、放射吸収室48内で、加工物34の下に、それに近接して配置され、放射熱伝達によって、かつ高温体222と加工物34の間のガスの薄層を通る対流および伝導によって、加工物を予熱するように動作可能である。バルク予熱および表面加熱段階132および134の後、高温体は、加工物を高温体から移動させて離すことによって、あるいは高温体を加工物から移動させて離すことによって効果的に「遮断」することができる。この実施形態では、これは、表面加熱段階の後、放射吸収室48の外に加工物34をスライドさせる電動機構224によって達成される。
【0154】
図7および7Aを参照すると、この実施形態では、冷却窓230が室壁間に延在して、加工物を保持する室から加熱装置36を隔離する。この実施形態では、冷却窓230は、間に形成される流体チャネル236を有する、好ましくは石英から構成された、離隔された光学的に透明な窓232および234を含む。光学的に透明な窓は、好ましくは3〜10mmの範囲の厚さを有し、約2〜5mm、好ましくは3mm離隔されている。冷却流体、好ましくは水などの液体が、流体チャネル236を通してポンプされる。流体チャネル236を通してポンプされた水を有する冷却窓230は、加熱装置36のランプから加工物へ(波線235によって表される)可視放射を容易に伝送し、しかしまた、(波線238によって表される)加工物から放出される1.4μmよりも大きな波長の赤外放射を吸収する。加工物によって放射放出される波長の放射を吸収することによって、冷却窓230は、加工物冷却を活発に促進し、加工物放出放射の、加工物に戻る反射を制限する、またはなくす。このシステム220は、より高い制御を提供し、かつ加工物の冷却を最大にする。しかし、別法として、望みであれば、窓230を省く、または他の適切な窓タイプに取り換えることができる。
【0155】
また、個別の予熱装置と加熱装置が提供される場合、予熱装置と加熱装置は、加工物の反対側にある必要はない。例えば、望みであれば、直線状タングステン・ランプ・アレイなどの予熱装置と、レーザなどの加熱装置とを共に、加工物の第2の側、または装置側46を照射するために加工物の表面38の上方に位置付けることができる(しかし、上述したように、予熱および加熱エネルギーの100%を装置側に供給することは、より高い温度勾配および熱応力損傷をもたらす傾向がある)。
【0156】
図8を参照すると、本発明の第6の実施形態による加工物を加熱するための装置が、一般に参照番号300で示されている。この実施形態では、加工物が半導体ウェハであり、装置300が、半導体ウェハを収容する室であって、放射反射面を有する1つまたは複数の壁を有する室を含む。より詳細には、この実施形態では、装置300の室が、加工物保持室306によって互いに離隔された、軸方向に配列された反射室302および304を含む。各反射室302、304は、アーク・ランプ源から放出され、かつ加工物から放出された波長範囲での放射を反射する反射コーティング308、310で被覆された内壁面を有する4つの側壁を有する。側壁は、垂直から約2〜6度、好ましくは垂直から約3度のテーパ角を有して、加工物保持室306に向けてわずかに内側にテーパが付けられている。前の実施形態(すなわち図2)における放射吸収室48の室壁50、52、54、および56と異なり、この第6の実施形態における室302、304の側壁は反射性のものであり、水冷することはできない。
【0157】
加工物保持室306内部で、加工物320は、その外側縁部によって支持リング322上に保持される。別法として、加工物を、ピン上に、または他の適切な手段によって支持することができる。図8に示されるように、加工物320は半導体ウェハである。加工物320は、矢印312によって示される室302、304の軸に概して垂直な方向で加工物保持室306内に装填され、そこから装填解除される。
【0158】
加工物保持室は、好ましくは光学的に透明な窓314、316によって室302、304から封止されているが、そのような窓は必須のものではない。プロセス・ガスおよび/または不活性ガスを、管路(図示せず)を通して加工物保持室内に導入することができる。半導体ウェハ・アニーリング・プロセスでは、通常、アルゴン、窒素、NH、NO、およびNOなどのガス、およびこれらのガスの混合物、またはこれらのガスの1つまたは複数と酸素との混合物が、室306内に導入される。アニーリングを真空内で行うこともできる。
【0159】
アーク・ランプ324、326、および関連する反射器アセンブリ328、330が、それぞれ反射室302および304に隣接して装置の上部および底部に提供される。反射器アセンブリは、トラフ形状を形成する一連のフラット・セグメントによって形成され、それにより各アーク・ランプと協働して概して均一な照射場を生成する。そのような反射器の例は、Vortek Industries Ltd.(Vancouver、Canada)によって製造されている。各アーク・ランプ324、326は、それぞれ関連する反射器328、330の焦点に配置される。各アーク・ランプ324、326、および関連する反射器アセンブリ328、330は、実質的に均一な照射場を用いて加工物320の一方の側を照射するように配置されている。図8に示されるように、アーク・ランプ324からの放射エネルギーが、加工物320の上面318を照射する。反射器328、330は、室302、304の反射側壁での放射の実質的な反射を伴わずに、放射エネルギーを加工物に向ける。光学的に透明な窓332、334が提供されて、アーク・ランプ324、326を室302、304からさらに隔離する。アーク・ランプは、各バルブ・ハウジング内の冷却チャネル336、338を通して導入される流体によって冷却される。
【0160】
アーク・ランプ324、326および関連する反射器アセンブリ328、330はそれぞれ、冷却窓340、342によって、隣接する室302、304から隔離される。冷却窓340、342はそれぞれ、互いに離隔され、液体、好ましくは水などの冷却流体が流れる空間内に画定された1つまたは複数のチャネル348および358を有する2つの光学的に透明なプレート344、346および354、356を含む。冷却液体は、矢印350によって示される経路内に流れ、矢印360によって示される経路から出る。プレートは、好ましくは石英から形成され、2〜10mmの範囲の厚さを有し、互いに約2〜5mm、好ましくは3mm離隔されている。
【0161】
冷却窓340、342は競合する目的を果たす。第1に、これらは、(一般に0.2〜1.4μmの範囲の波長で)アーク・ランプによって放出される可視および近可視放射を容易に伝送して、加熱の効率の実質的な減衰または減少を伴わずに加工物を加熱する。第2に、これらは、加工物から放出されるより長い波長放射(一般に1.4μm以上の波長)を室の外に活発に除去し、それにより反射放射が加工物に戻るのを防止し、これは、処理後に加工物を加工物保持室から取り外すことができる室温、または中間温度より低い温度に、中間温度から加工物を制御可能に冷却する働きをする。冷却窓を有さない反射キャビティ内での従来の加熱方法には、加工物を制御可能に冷却し、中間温度またはより高い温度での余剰熱露出を防止する手段がなかった。図8に示される実施形態の冷却窓340、342を用いて、シリコン半導体ウェハなどの加工物は、毎秒100℃〜200℃の範囲の速度で、好ましくは毎秒180℃以上の速度で冷却される。これは、水冷窓を有さない反射室に関する毎秒約90℃の冷却速度と比較される。
【0162】
上述した予熱および加熱装置またはそれと等価な装置の他の組合せまたは交換を提供することができる。例えば、アーク・ランプを予熱装置とし、レーザを加熱装置として提供することができ、または、タングステン・フィラメント・ランプ・アレイを予熱装置とし、フラッシュ・ランプを加熱装置として提供することができる。これら、およびその他のそのような変形は、本明細書を読めば当業者に明らかであり、頭記の特許請求の範囲に従って解釈される本発明の範囲を逸脱するものとはみなされない。
【0163】
本発明の特定の実施形態を説明し、例示してきたが、より一般的には、そのような実施形態は本発明の単なる例示とみなすべきであり、頭記の特許請求の範囲に従って解釈される本発明を限定するものではない。
【図面の簡単な説明】
【図1】
本発明の第1の実施形態による加工物を熱処理するためのシステムのブロック図である。
【図2】
(側壁を除去して示した)本発明の第2の実施形態による加工物を熱処理するためのシステムの概略側面図である。
【図2A】
図2に示される熱処理システムの加熱装置およびフィルター装置の側断面図である。
【図3】
図2に示されるシステムのプロセッサ回路によって実行される熱処理ルーチンの流れ図である。
【図4】
本発明の第2の実施形態による熱サイクル中の、図2に示される加工物の表面の温度時間プロフィルのグラフである。
【図5】
(側壁を除去して示した)本発明の第3の実施形態による加工物を熱処理するためのシステムの概略側面図である。
【図6】
(側壁を除去して示した)本発明の第4の実施形態による加工物を熱処理するためのシステムの概略側面図である。
【図6A】
図6に示される熱処理システムの加熱装置およびフィルター装置の側断面図である。
【図7】
(側壁を除去して示した)本発明の第5の実施形態による加工物を熱処理するためのシステムの概略側面図である。
【図7A】
図7に示される熱処理システムの加熱装置およびフィルター装置の側断面図である。
【図8】
(側壁を除去して示した)本発明の第6の実施形態による加工物を熱処理するためのシステムの概略側面図である。

Claims (120)

  1. ワークピースを熱処理する方法であり、
    中間温度までワークピースを予熱する段階と、
    中間温度より高い所望温度までワークピースの表面を加熱する段階と、
    ワークピースの冷却を促進する段階と、を有する方法。
  2. 促進する段階は、ワークピースが発する熱放射を吸収する段階を含む、請求項1に記載の方法。
  3. 前記吸収段階は、放射吸収表面で放射を吸収する段階を含む、請求項2に記載の方法。
  4. 前記吸収段階は、放射吸収室の壁で吸収する段階を含む、請求項3に記載の方法。
  5. 前記吸収は、選択的フィルターシステムで、ワークピースによる熱放射を吸収する段階を含む、請求項2に記載の方法。
  6. ワークピースを予熱する段階は、選択的フィルターシステムのフィルター装置を介してワークピースに、放射源が発する放射を伝達する段階を含む、請求項5に記載の方法。
  7. 伝達する段階は、ワークピースの第二表面に放射を伝達する段階を含む、請求項6に記載の方法。
  8. ワークピースの表面を加熱する段階は、選択的フィルターシステムのフィルター装置を介してワークピースの表面に、放射源が発する放射を伝達する段階を含む、請求項5に記載の方法。
  9. 更に、選択的フィルターシステムを冷却する段階を含む、請求項5に記載の方法。
  10. 選択的フィルターシステムを冷却する段階は、選択的フィルターシステムの窓の表面を横断して液体を流す段階を含む、請求項9に記載の方法。
  11. 選択的フィルターシステムを冷却する段階は、選択的フィルターシステムの間隔を置いた第一窓と第二窓との間に形成される空間に流体を流す段階を含む、請求項9に記載の方法。
  12. ワークピースの表面を加熱する段階は、熱流速又は断熱エネルギーの源を作動させる事により、所望温度まで表面を急速に加熱する段階を含む、請求項1に記載の方法。
  13. 更に、熱流速又は断熱エネルギーの源の作動を停止させる段階を含む、請求項12に記載の方法。
  14. 中間温度までワークピースを予熱する段階は、600℃−1250℃の温度範囲までワークピースを予熱する段階を含む、請求項1に記載の方法。
  15. 所望温度までワークピースの表面を加熱する段階は、1050℃−1430℃の温度範囲までワークピースの表面を加熱する段階を含む、請求項1に記載の方法。
  16. 予熱する段階は、ワークピースの熱伝導時間より長い時間、ワークピースを予熱する段階を含む、請求項1に記載の方法。
  17. ワークピースの表面を加熱する段階は、ワークピースの熱伝導時間より短い時間、ワークピースの表面を加熱する段階を含む、請求項1に記載の方法。
  18. ワークピースの表面を加熱する段階は、ワークピースが中間温度に到達するとほぼ即座に、加熱を開始する段階を含む、請求項1に記載の方法。
  19. 加熱を開始する段階は、ワークピースが中間温度に到達してから、ワークピースの熱伝導時間以下の時間間隔以内に、加熱を開始する段階を含む、請求項18に記載の方法。
  20. ワークピースは、半導体ウェハである、請求項1に記載の方法。
  21. 予熱する段階は、秒当り100℃以上の速度で、ワークピースを予熱する段階を含む、請求項1に記載の方法。
  22. 予熱する段階は、アークランプが発する電磁放射でワークピースを照射する段階を含む、請求項1に記載の方法。
  23. 加熱する段階は、フラッシュランプが発する電磁放射でワークピースを照射する段階を含む、請求項1に記載の方法。
  24. 冷却を促進する段階は、秒当り約100℃以上の速度で、ワークピースを冷却することを可能にする段階を含む、請求項1に記載の方法。
  25. ワークピースを熱処理するシステムであり、
    中間温度までワークピースを予熱するよう作動可能な予熱装置と、
    中間温度より高い所望温度までワークピースの表面を加熱するよう作動可能な加熱装置と、
    中間温度より低い温度までのワークピースの冷却を促進する冷却促進システムと、を有するワークピースを熱処理するシステム。
  26. 冷却促進システムは、ワークピースが発する熱放射を吸収するよう作動可能な吸収システムを含む、請求項25に記載のワークピースを熱処理するシステム。
  27. 前記吸収システムは、放射吸収表面を含む、請求項26に記載のワークピースを熱処理するシステム。
  28. 放射吸収表面は、放射吸収室の壁を含む、請求項27に記載のワークピースを熱処理するシステム。
  29. 前記吸収システムは、選択的フィルターシステムを含む、請求項26に記載のワークピースを熱処理するシステム。
  30. 選択的フィルターシステムは、予熱装置とワークピースとの間に挿入され、予熱装置が発する放射をワークピースに伝達するフィルター装置を含む、請求項29に記載のワークピースを熱処理するシステム。
  31. フィルター装置は、ワークピースの第二表面に放射を伝達する、請求項30に記載のワークピースを熱処理するシステム。
  32. 選択的フィルターシステムは、加熱装置とワークピースとの間に挿入され、加熱装置が発する放射をワークピースの表面に伝達するフィルター装置を含む、請求項29に記載のワークピースを熱処理するシステム。
  33. 選択的フィルターシステムを冷却する冷却サブシステムを更に有する、請求項29に記載のワークピースを熱処理するシステム。
  34. 選択的フィルターシステムは一つ以上の窓を備え、冷却サブシステムは、その窓の表面を横断して流体を流す液体冷却サブシステムを有する、請求項33に記載のワークピースを熱処理するシステム。
  35. 選択的フィルターシステムは間隔を置いた第一窓と第二窓とを備え、冷却サブシステムは、窓と窓との間に形成される空間に流体を流す液体冷却サブシステムを有する、請求項33に記載のワークピースを熱処理するシステム。
  36. 加熱装置は、所望温度まで表面を急速に加熱するよう作動可能な熱流速又は断熱エネルギーの源を有する、請求項25に記載のワークピースを熱処理するシステム。
  37. 予熱装置は、600℃−1250℃の温度範囲までワークピースを予熱するよう作動する、請求項25に記載のワークピースを熱処理するシステム。
  38. 加熱装置は、1050℃−1430℃の温度範囲までワークピースの表面を加熱するよう作動する、請求項25に記載のワークピースを熱処理するシステム。
  39. 予熱装置は、ワークピースの熱伝導時間より長い時間、ワークピースを予熱するよう作動する、請求項25に記載のワークピースを熱処理するシステム。
  40. 加熱装置は、ワークピースの熱伝導時間より短い時間、ワークピースの表面を加熱するよう作動する、請求項25に記載のワークピースを熱処理するシステム。
  41. 加熱装置は、ワークピースが中間温度に到達するとほぼ即座に、表面の加熱を開始するよう作動する、請求項25に記載のワークピースを熱処理するシステム。
  42. 加熱装置は、ワークピースが中間温度に到達してから、ワークピースの熱伝導時間以下の時間間隔以内に、表面の加熱を開始するよう作動する、請求項41に記載のワークピースを熱処理するシステム。
  43. ワークピースは、半導体ウェハである、請求項25に記載のワークピースを熱処理するシステム。
  44. 予熱装置は、秒当り100℃以上の速度で、ワークピースを予熱するよう作動する、請求項25に記載のワークピースを熱処理するシステム。
  45. 予熱装置は、ワークピースを電磁放射で照射するアークランプを備える、請求項25に記載のワークピースを熱処理するシステム。
  46. 加熱装置は、ワークピースを電磁放射で照射するフラッシュランプを備える、請求項25に記載のワークピースを熱処理するシステム。
  47. 冷却促進システムは、秒当り約100℃以上の速度で、ワークピースを冷却することを可能にする、請求項25に記載のワークピースを熱処理するシステム。
  48. ワークピースを熱処理するシステムであり、
    中間温度までワークピースを予熱する予熱手段と、
    中間温度より高い所望温度までワークピースの表面を加熱する加熱手段と、
    ワークピースの冷却を促進する手段と、を有するワークピースを熱処理するシステム。
  49. ワークピースの冷却を促進する手段は、ワークピースが発する熱放射を吸収する手段を備える、請求項48に記載のワークピースを熱処理するシステム。
  50. ワークピースを熱処理する際に使用される選択的フィルターシステムであり、
    中間温度までワークピースを予熱するよう予熱装置からワークピースに放射を伝達し、ワークピースが発する熱放射を吸収する第一フィルター装置と、
    中間温度より高い所望温度までワークピースの表面を加熱するよう加熱装置からワークピースの表面に放射を伝達し、ワークピースが発する熱放射を吸収する第二フィルター装置と、を有する選択的フィルターシステム。
  51. 更に、第一フィルター装置と第二フィルター装置とを冷却する冷却サブシステムを有する、請求項50に記載の選択的フィルターシステム。
  52. 第一フィルター装置と第二フィルター装置の少なくても一方は、液体冷却される窓を備える、請求項50に記載の選択的フィルターシステム。
  53. 液体冷却される窓は、水冷される石英窓を備える、請求項52に記載の選択的フィルターシステム。
  54. ワークピースを熱処理する方法であり、
    中間温度までワークピースを予熱する段階と、
    ワークピースが中間温度に到達するとほぼ即座に、中間温度より高い所望温度までのワークピースの表面の加熱を開始する段階と、を有する方法。
  55. ワークピースが中間温度に到達した後1秒未満内にワークピース表面の加熱を開始する、請求項54に記載の方法。
  56. ワークピースが中間温度に到達した後1/4秒未満内にワークピース表面の加熱を開始する、請求項54に記載の方法。
  57. ワークピースが中間温度に到達した後0.1秒未満内にワークピース表面の加熱を開始する、請求項54に記載の方法。
  58. ワークピースが中間温度に到達した後0.01秒未満内にワークピース表面の加熱を開始する、請求項54に記載の方法。
  59. ワークピースが中間温度に到達してから、ワークピースの熱伝導時間以下の時間間隔以内に、ワークピース表面の加熱を開始する、請求項54に記載の方法。
  60. ワークピースを予熱する段階においては、ワークピースの熱伝導時間より長い時間、ワークピースを予熱する、請求項54に記載の方法。
  61. ワークピースの表面を加熱する段階においては、ワークピースの熱伝導時間より短い時間、ワークピースの表面を加熱する、請求項54に記載の方法。
  62. ワークピースの表面を加熱する段階においては、ワークピースの温度が中間温度以上であることが示されたことに応答して、ワークピースの加熱を開始する、請求項54記載の方法。
  63. 更に、表示段階を有する、請求項54に記載の方法。
  64. ワークピースを予熱する段階においては、ワークピースを照射する段階を含む、請求項54に記載の方法。
  65. ワークピースを照射する段階は、アークランプが発する電磁放射にワークピースを曝す段階を含む、請求項64に記載の方法。
  66. ワークピースを照射する段階は、少なくても一つのフィラメントランプが発する電磁放射にワークピースを曝す段階を含む、請求項64に記載の方法。
  67. 予熱する段階は、秒当り100℃以上の速度で、ワークピースを予熱する段階を含む、請求項54に記載の方法。
  68. 予熱する段階は、秒当り400℃以上の速度で、ワークピースを予熱する段階を含む、請求項54に記載の方法。
  69. ワークピースの表面を加熱する段階は、表面を照射する段階を含む、請求項54に記載の方法。
  70. 表面を照射する段階は、フラッシュランプが発する電磁放射にワークピースを曝す段階を含む、請求項69記載の方法。
  71. 表面を照射する段階は、レーザービームが表面を横切って移動する段階を含む、請求項69に記載の方法。
  72. 更に、ワークピースが反射する並びにワークピースが熱的に発する放射を吸収する段階を含む、請求項54に記載の方法。
  73. 吸収する段階においては、放射吸収環境内で放射を吸収する、請求項72に記載の方法。
  74. 吸収する段階においては、少なくても一つの放射吸収表面内で放射を吸収する、請求項72に記載の方法。
  75. 更に、少なくても一つの放射吸収表面を冷却する段階を有する、請求項74に記載の方法。
  76. ワークピースを熱処理するシステムであり、
    中間温度までワークピースを予熱するよう作動可能な予熱装置と、
    ワークピースが中間温度に到達するとほぼ即座に、中間温度より高い所望温度までのワークピースの表面の加熱を開始するよう作動可能な加熱装置と、を有するワークピースを熱処理するシステム。
  77. 加熱装置は、ワークピースが中間温度に到達した後1秒未満内にワークピース表面の加熱を開始する、請求項76に記載のシステム。
  78. 加熱装置は、ワークピースが中間温度に到達した後1/4秒未満内にワークピース表面の加熱を開始する、請求項76に記載のシステム。
  79. 加熱装置は、ワークピースが中間温度に到達した後0.1秒未満内にワークピース表面の加熱を開始する、請求項76に記載のシステム。
  80. 加熱装置は、ワークピースが中間温度に到達した後0.01秒未満内にワークピース表面の加熱を開始する、請求項76に記載のシステム。
  81. 加熱装置は、ワークピースが中間温度に到達してから、ワークピースの熱伝導時間以下の時間間隔以内に、ワークピース表面の加熱を開始する、請求項76に記載のシステム。
  82. 予熱装置は、ワークピースの熱伝導時間より長い時間、ワークピースを予熱する、請求項76に記載のシステム。
  83. 加熱装置は、ワークピースの熱伝導時間より短い時間、ワークピースの表面を加熱する、請求項76に記載のシステム。
  84. 更に、ワークピースの温度を表示する温度表示装置を有する、請求項76に記載のシステム。
  85. 加熱装置は、ワークピースの温度が中間温度以上であることが温度表示装置から示されたことに応答して、ワークピースの加熱を開始する、請求項84に記載のシステム。
  86. 予熱装置は、ワークピースを照射する装置を備える、請求項76に記載のシステム。
  87. 予熱装置は、ワークピースを照射する照射源を備える、請求項76に記載のシステム。
  88. 照射源はアークランプを備える、請求項87に記載のシステム。
  89. 照射源は少なくても一つのフィラメントランプを備える、請求項87に記載のシステム。
  90. 予熱装置は、ワークピースを予熱するよう配置される温体を備える、請求項76に記載のシステム。
  91. 予熱装置は、秒当り100℃以上の速度で、ワークピースを予熱する、請求項76に記載のシステム。
  92. 予熱装置は、秒当り400℃以上の速度で、ワークピースを予熱する、請求項76に記載のシステム。
  93. 加熱装置は、表面を照射する装置を含む、請求項76に記載のシステム。
  94. 加熱装置は、表面を照射する照射源を含む、請求項76に記載のシステム。
  95. 照射源は、フラッシュランプを含む、請求項94に記載のシステム。
  96. 照射源は、レーザーを含む、請求項93に記載のシステム。
  97. 更に、ワークピースにより反射され且つ熱的に発せられる放射を吸収する放射吸収環境を有する、請求項76に記載のシステム。
  98. 更に、ワークピースにより反射され且つ熱的に発せられる放射を吸収する少なくても一つの放射吸収表面を有する、請求項76に記載のシステム。
  99. 更に、少なくても一つの放射吸収表面を冷却する冷却サブシステムを有する、請求項98に記載のシステム。
  100. ワークピースを熱処理するシステムであり、
    中間温度までワークピースを予熱する予熱手段と、
    中間温度より高い所望温度までワークピースの表面を加熱する手段であり、ワークピースが中間温度に到達するとほぼ即座に、中間温度より高い所望温度までのワークピースの表面の加熱を開始させる手段を含む、中間温度より高い所望温度までワークピースの表面を加熱する手段と、を有するワークピースを熱処理するシステム。
  101. 加熱を開始させる手段は、ワークピースが中間温度に到達した後1秒未満内にワークピース表面の加熱を開始させる、請求項100に記載のシステム。
  102. 加熱を開始させる手段は、ワークピースが中間温度に到達した後1/4秒未満内にワークピース表面の加熱を開始させる、請求項100に記載のシステム。
  103. 加熱を開始させる手段は、ワークピースが中間温度に到達した後0.01秒未満内にワークピース表面の加熱を開始させる、請求項100に記載のシステム。
  104. 加熱を開始させる手段は、ワークピースが中間温度に到達してから、ワークピースの熱伝導時間以下の時間間隔以内に、ワークピース表面の加熱を開始させる、請求項100に記載のシステム。
  105. 半導体加熱装置であり、
    半導体ウェハの第一表面を加熱する第一加熱源と、
    半導体ウェハの第二表面を加熱する第二加熱源と、
    第一加熱源と第二加熱源との間に配置される第一被冷却窓と、を有する半導体加熱装置。
  106. 第一被冷却窓は、冷却流体により冷却される第一透明板を有する、請求項105に記載の半導体加熱装置。
  107. 第一被冷却窓は更に、冷却流体が流れる通路を形成するよう第一透明板から離される第二透明板を有する、請求項106に記載の半導体加熱装置。
  108. 冷却流体は水である、請求項106に記載の半導体加熱装置。
  109. 第一透明板は石英で形成される、請求項106に記載の半導体加熱装置。
  110. 第二透明板は石英で形成される、請求項107に記載の半導体加熱装置。
  111. 更に、第二加熱源と半導体ウェハとの間に配置される第二被冷却窓を有する、請求項105に記載の半導体加熱装置。
  112. 第一被冷却窓は、半導体ウェハが発する熱放射を吸収する、請求項105に記載の半導体加熱装置。
  113. 秒当り100℃以上の速度で半導体ウェハを制御可能に冷却するよう、第一被冷却窓は、放射を吸収する、請求項112に記載の半導体加熱装置。
  114. 秒当り100℃以上の速度で半導体ウェハを制御可能に冷却するよう、第二被冷却窓は、放射を吸収する、請求項111に記載の半導体加熱装置。
  115. 第一加熱源はアークランプを含む、請求項105に記載の半導体加熱装置。
  116. 第二加熱源はアークランプを含む、請求項105に記載の半導体加熱装置。
  117. 第一加熱源はタングステンランプ或いは複数のタングステンランプの列を含む、請求項105に記載の半導体加熱装置。
  118. 更に、半導体ウェハを収容する室を有し、室の一つ以上の壁は放射吸収表面を含む、請求項105に記載の半導体加熱装置。
  119. 更に、半導体ウェハを収容する室を有し、室の一つ以上の壁は放射反射表面を含む、請求項105に記載の半導体加熱装置。
  120. 室の複数の壁は、直角から2度から6度までの角度で内方にテーパーになっている、請求項119に記載の半導体加熱装置。
JP2002548756A 2000-12-04 2001-12-04 熱処理方法およびシステム Expired - Lifetime JP4944353B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/729,747 US6594446B2 (en) 2000-12-04 2000-12-04 Heat-treating methods and systems
US09/729,747 2000-12-04
PCT/CA2001/000776 WO2002047143A1 (en) 2000-12-04 2001-05-30 Heat-treating methods and systems
CAPCT/CA01/00776 2001-05-30
PCT/CA2001/001706 WO2002047123A1 (en) 2000-12-04 2001-12-04 Heat-treating methods and systems

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009277663A Division JP2010093282A (ja) 2000-12-04 2009-12-07 熱処理方法およびシステム

Publications (3)

Publication Number Publication Date
JP2004515085A true JP2004515085A (ja) 2004-05-20
JP2004515085A5 JP2004515085A5 (ja) 2005-12-22
JP4944353B2 JP4944353B2 (ja) 2012-05-30

Family

ID=24932436

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002548756A Expired - Lifetime JP4944353B2 (ja) 2000-12-04 2001-12-04 熱処理方法およびシステム
JP2009277663A Pending JP2010093282A (ja) 2000-12-04 2009-12-07 熱処理方法およびシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009277663A Pending JP2010093282A (ja) 2000-12-04 2009-12-07 熱処理方法およびシステム

Country Status (4)

Country Link
US (4) US6594446B2 (ja)
JP (2) JP4944353B2 (ja)
AU (1) AU2001267174A1 (ja)
WO (1) WO2002047143A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184714A (ja) * 2000-12-12 2002-06-28 Denso Corp 炭化珪素半導体装置の製造方法
JP2005527972A (ja) * 2002-03-29 2005-09-15 マットソン、テクノロジー、インコーポレーテッド 加熱源の組み合わせを使用する半導体パルス加熱処理方法
JP2007519232A (ja) * 2003-12-19 2007-07-12 マトソン テクノロジー カナダ インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
JP2008546203A (ja) * 2005-06-01 2008-12-18 マットソン テクノロジー インコーポレイテッド パルス化された加熱処理の間に熱収支を最適化する方法
JP2009164525A (ja) * 2008-01-10 2009-07-23 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009188210A (ja) * 2008-02-06 2009-08-20 Panasonic Corp 不純物活性化熱処理方法及び熱処理装置
JP2009231662A (ja) * 2008-03-25 2009-10-08 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009272399A (ja) * 2008-05-02 2009-11-19 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2010283163A (ja) * 2009-06-04 2010-12-16 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2012256879A (ja) * 2011-06-07 2012-12-27 Ultratech Inc 集積回路の製造における、パターン密度効果を低減させた超高速レーザーアニーリング
JP2013030772A (ja) * 2003-12-19 2013-02-07 Mattson Technology Canada Inc 工作物の熱誘起運動を抑制する機器及び装置

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6594446B2 (en) 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
JP3798674B2 (ja) * 2001-10-29 2006-07-19 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US7255899B2 (en) * 2001-11-12 2007-08-14 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and heat treatment method of substrate
JP2005515425A (ja) * 2001-12-26 2005-05-26 ボルテック インダストリーズ リミテッド 温度測定および熱処理方法およびシステム
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6828204B2 (en) * 2002-10-16 2004-12-07 Varian Semiconductor Equipment Associates, Inc. Method and system for compensating for anneal non-uniformities
US7062161B2 (en) * 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
JP2006509367A (ja) * 2002-12-09 2006-03-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ コールドウォールcvdシステムのウェハ温度の変動を抑制するためのシステムおよび方法
CN1729554B (zh) 2002-12-20 2014-05-07 马特森技术有限公司 用来支撑工件和用来热处理工件的方法和系统
DE10260672A1 (de) 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
US20040235281A1 (en) * 2003-04-25 2004-11-25 Downey Daniel F. Apparatus and methods for junction formation using optical illumination
US7115837B2 (en) * 2003-07-28 2006-10-03 Mattson Technology, Inc. Selective reflectivity process chamber with customized wavelength response and method
US20050104072A1 (en) * 2003-08-14 2005-05-19 Slater David B.Jr. Localized annealing of metal-silicon carbide ohmic contacts and devices so formed
JP2005079110A (ja) * 2003-08-29 2005-03-24 Toshiba Corp 半導体装置およびその製造方法
EP1671087A4 (en) * 2003-09-24 2006-11-29 Squared Thermal Technologies L IMPULSE FORMING NETWORK AND IMPULSE GENERATOR
US7473656B2 (en) * 2003-10-23 2009-01-06 International Business Machines Corporation Method for fast and local anneal of anti-ferromagnetic (AF) exchange-biased magnetic stacks
TWI476858B (zh) * 2003-12-19 2015-03-11 Mattson Tech Inc 用於支撐工件及用於熱處理該工件的方法及系統
US7781947B2 (en) * 2004-02-12 2010-08-24 Mattson Technology Canada, Inc. Apparatus and methods for producing electromagnetic radiation
EP1569263B1 (de) * 2004-02-27 2011-11-23 OSRAM Opto Semiconductors GmbH Verfahren zum Verbinden zweier Wafer
US7846822B2 (en) * 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US20060035477A1 (en) * 2004-08-12 2006-02-16 Karen Mai Methods and systems for rapid thermal processing
US20140003800A1 (en) * 2004-09-24 2014-01-02 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20070037346A1 (en) * 2005-02-22 2007-02-15 Grant Robert W Rapid thermal annealing of targeted thin film layers
US20060220112A1 (en) * 2005-04-01 2006-10-05 International Business Machines Corporation Semiconductor device forming method and structure for retarding dopant-enhanced diffusion
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060291833A1 (en) * 2005-06-01 2006-12-28 Mattson Techonology, Inc. Switchable reflector wall concept
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
CN101288035B (zh) * 2005-09-14 2013-06-19 马特森技术有限公司 可重复热处理的方法和设备
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
US20070221640A1 (en) 2006-03-08 2007-09-27 Dean Jennings Apparatus for thermal processing structures formed on a substrate
US7795122B2 (en) * 2006-03-20 2010-09-14 Texas Instruments Incorporated Antimony ion implantation for semiconductor components
KR100775963B1 (ko) * 2006-07-12 2007-11-15 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
WO2008058397A1 (en) 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US7763869B2 (en) * 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
WO2008131513A1 (en) 2007-05-01 2008-11-06 Mattson Technology Canada, Inc. Irradiance pulse heat-treating methods and apparatus
US7851343B2 (en) * 2007-06-14 2010-12-14 Cree, Inc. Methods of forming ohmic layers through ablation capping layers
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
JP5396703B2 (ja) * 2007-10-09 2014-01-22 富士通セミコンダクター株式会社 熱処理装置及び方法、並びに半導体装置の製造方法
US7968440B2 (en) * 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
US8283607B2 (en) * 2008-04-09 2012-10-09 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
US8548311B2 (en) * 2008-04-09 2013-10-01 Applied Materials, Inc. Apparatus and method for improved control of heating and cooling of substrates
US8367983B2 (en) * 2008-04-09 2013-02-05 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
KR101610269B1 (ko) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
US8785814B1 (en) * 2009-05-05 2014-07-22 Lsp Technologies, Inc. Optic protection via stagnant liquid film
KR101151569B1 (ko) * 2009-10-26 2012-05-31 주식회사 경동나비엔 스테인리스 스틸 접합방법
KR101097348B1 (ko) * 2010-03-11 2011-12-23 삼성모바일디스플레이주식회사 결정화 장치, 결정화 방법, 박막 트랜지스터 제조 방법 및 유기 발광 표시 장치의 제조 방법
WO2011150058A2 (en) 2010-05-25 2011-12-01 Mossey Creek Solar, LLC Method of producing a semiconductor
US9536762B2 (en) 2010-05-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for thermal mapping and thermal process control
US20110295539A1 (en) * 2010-05-28 2011-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for measuring intra-die temperature
KR101733179B1 (ko) 2010-10-15 2017-05-08 맛선 테크놀러지, 인코포레이티드 워크피스를 노출할 조사 펄스의 형상을 결정하는 방법, 장치 및 매체
US8871670B2 (en) 2011-01-05 2014-10-28 The Board Of Trustees Of The University Of Illinois Defect engineering in metal oxides via surfaces
EP2683515A4 (en) 2011-03-10 2015-06-03 Mesocoat Inc METHOD AND APPARATUS FOR FORMING PLATED METAL PRODUCTS
TWI566300B (zh) * 2011-03-23 2017-01-11 斯克林集團公司 熱處理方法及熱處理裝置
AT510846B1 (de) * 2011-04-13 2012-07-15 Colop Stempelerzeugung Skopek Vorrichtung zum herstellen von stempel-klischees
JP5855353B2 (ja) * 2011-05-13 2016-02-09 株式会社Screenホールディングス 熱処理装置および熱処理方法
JP5861831B2 (ja) * 2011-07-28 2016-02-16 Jfeスチール株式会社 鋼板の加熱装置
JP5951241B2 (ja) * 2011-12-07 2016-07-13 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP6026748B2 (ja) * 2012-02-03 2016-11-16 株式会社Screenホールディングス 熱処理装置および熱処理方法
JP6026749B2 (ja) * 2012-02-03 2016-11-16 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9449825B2 (en) 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
JP5931477B2 (ja) * 2012-02-03 2016-06-08 株式会社Screenホールディングス 熱処理装置および熱処理方法
JP5960846B2 (ja) 2012-02-24 2016-08-02 マトソン テクノロジー、インコーポレイテッド 電磁放射を発生させるための装置及び方法
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
TWI494174B (zh) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
TWI624862B (zh) * 2012-06-11 2018-05-21 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
KR101503117B1 (ko) * 2012-08-31 2015-03-16 엘지디스플레이 주식회사 경화장치
US9059079B1 (en) 2012-09-26 2015-06-16 Ut-Battelle, Llc Processing of insulators and semiconductors
US9048268B2 (en) * 2013-03-05 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue after dry etch
CA2906892C (en) 2013-03-15 2021-01-05 Mesocoat, Inc. Ternary ceramic thermal spraying powder and coating method
US9832816B2 (en) * 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
US9129918B2 (en) * 2013-10-30 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
KR20150144585A (ko) * 2014-06-17 2015-12-28 엘지전자 주식회사 태양 전지의 후처리 장치
US10240236B2 (en) * 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
CN108028200B (zh) * 2015-12-30 2022-05-27 玛特森技术公司 用于改善毫秒退火系统中的处理均匀性的方法
US10966286B2 (en) 2015-12-30 2021-03-30 Mattson Technology, Inc. Nitrogen injection for ARC lamps
WO2017116740A1 (en) * 2015-12-30 2017-07-06 Mattson Technology, Inc. Electrode tip for arc lamp
US20170194162A1 (en) * 2016-01-05 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing equipment and method for treating wafer
TWI612259B (zh) * 2016-02-26 2018-01-21 財團法人工業技術研究院 加熱設備以及加熱方法
DE102016112836A1 (de) * 2016-06-14 2017-12-14 Leander Kilian Gross Verfahren und Vorrichtung zur thermischen Behandlung eines Substrats
JP6068738B1 (ja) 2016-09-16 2017-01-25 キヤノンアネルバ株式会社 加熱装置、基板加熱装置および半導体デバイスの製造方法
WO2019036269A1 (en) * 2017-08-16 2019-02-21 Mattson Technology, Inc. THERMAL TREATMENT OF CLOSED SHAPE WORKPIECES
US11195732B2 (en) * 2018-04-12 2021-12-07 Mattson Technology, Inc. Low thermal budget annealing
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
CN114402426A (zh) * 2020-08-18 2022-04-26 玛特森技术公司 具有冷却系统的快速热处理系统
CN114402425A (zh) * 2020-08-18 2022-04-26 玛特森技术公司 具有冷却系统的快速热处理系统
CN112467508B (zh) * 2021-01-28 2021-06-08 四川光天下激光科技有限公司 一种窄脉宽激光器

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102098A (en) * 1870-04-19 Improved french bedstead
USRE24296E (en) 1957-03-26 Apparatus for infra-red cooking
US2981819A (en) 1961-04-25 Heater construction for kiln or other apparatus
US1587023A (en) 1922-02-17 1926-06-01 Mecky Company A Multiple-reflector single-unit combined toaster and cooker
US1759720A (en) * 1929-04-22 1930-05-20 George W Stitzer Headlight
US2341658A (en) * 1942-03-04 1944-02-15 Salani Ettore Projector
NL122356C (ja) 1954-05-18 1900-01-01
US2877341A (en) * 1955-06-28 1959-03-10 Harold E Edgerton Liquid cooled flash-producing apparatus
US3108713A (en) 1959-05-05 1963-10-29 Pneumafil Corp Dispensing equipment
NL251614A (ja) 1959-05-28 1900-01-01
US3160517A (en) 1961-11-13 1964-12-08 Union Carbide Corp Method of depositing metals and metallic compounds throughout the pores of a porous body
US3240915A (en) 1962-09-19 1966-03-15 Fostoria Corp Infra-red heater
US3188459A (en) 1962-11-02 1965-06-08 Northrop Corp Lamp holder
US3227065A (en) 1963-06-07 1966-01-04 Alan L Litman Waterless egg cooker
US3239651A (en) 1963-08-21 1966-03-08 Ekco Products Company Heating unit
US3502516A (en) 1964-11-06 1970-03-24 Siemens Ag Method for producing pure semiconductor material for electronic purposes
US3366815A (en) * 1965-12-29 1968-01-30 Union Carbide Corp High pressure arc cooled by a thin film of liquid on the wall of the envelope
US3460510A (en) 1966-05-12 1969-08-12 Dow Corning Large volume semiconductor coating reactor
US3627590A (en) 1968-12-02 1971-12-14 Western Electric Co Method for heat treatment of workpieces
DE1900116C3 (de) 1969-01-02 1978-10-19 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen hxxochreiner, aus Silicium bestehender einkristalliner Schichten
US3692572A (en) 1969-08-12 1972-09-19 Wolfgang Strehlow Epitaxial film process and products thereof
US3623712A (en) 1969-10-15 1971-11-30 Applied Materials Tech Epitaxial radiation heated reactor and process
US3651358A (en) * 1970-05-04 1972-03-21 Union Carbide Corp Method and apparatus for extending the useful life of an arc radiation source
US3913872A (en) 1973-01-18 1975-10-21 Bell & Howell Co Light tunnel for uniformly illuminating an object
US3836751A (en) 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
GB1485908A (en) 1974-05-21 1977-09-14 Nath G Apparatus for applying light radiation
US4027185A (en) * 1974-06-13 1977-05-31 Canadian Patents And Development Limited High intensity radiation source
US4151008A (en) * 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4081313A (en) 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
US4041278A (en) 1975-05-19 1977-08-09 General Electric Company Heating apparatus for temperature gradient zone melting
US4005601A (en) * 1975-08-29 1977-02-01 Amac, Inc. Apparatus for detecting rail discontinuities
US4115163A (en) 1976-01-08 1978-09-19 Yulia Ivanovna Gorina Method of growing epitaxial semiconductor films utilizing radiant heating
US4224096A (en) 1976-03-25 1980-09-23 W. R. Grace & Co. Laser sealing of thermoplastic material
US4101759A (en) 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4097226A (en) 1976-10-26 1978-06-27 General Electric Company Furnace for practising temperature gradient zone melting
JPS54103174A (en) 1978-01-31 1979-08-14 Tokyo Shibaura Electric Co Cooking instrument
JPS583478B2 (ja) 1978-03-03 1983-01-21 株式会社日立製作所 レ−ザ加熱方法および装置
US4164643A (en) 1978-03-06 1979-08-14 Dewitt David P Energy-efficient bi-radiant oven system
FR2435818A1 (fr) 1978-09-08 1980-04-04 Ibm France Procede pour accroitre l'effet de piegeage interne des corps semi-conducteurs
JPS55115327A (en) 1979-02-28 1980-09-05 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacturing method of semiconductor device
US4325006A (en) 1979-08-01 1982-04-13 Jersey Nuclear-Avco Isotopes, Inc. High pulse repetition rate coaxial flashlamp
JPS5648128A (en) 1979-09-27 1981-05-01 Pioneer Electronic Corp Heating treatment
US4370175A (en) 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
JPS56100412A (en) 1979-12-17 1981-08-12 Sony Corp Manufacture of semiconductor device
JPS56100426A (en) 1980-01-14 1981-08-12 Ushio Inc Device and method for annealing
US4331485A (en) 1980-03-03 1982-05-25 Arnon Gat Method for heat treating semiconductor material using high intensity CW lamps
JPS56142630A (en) 1980-04-09 1981-11-07 Fujitsu Ltd Manufacture of semiconductor device
US4308078A (en) 1980-06-06 1981-12-29 Cook Melvin S Method of producing single-crystal semiconductor films by laser treatment
JPS5750427A (en) * 1980-09-12 1982-03-24 Ushio Inc Annealing device and annealing method
JPS5780729A (en) 1980-11-10 1982-05-20 Tokyo Denki Daigaku Annealing device for semiconductor
JPS57208146A (en) 1981-06-17 1982-12-21 Nec Corp Forming method for insulating film to compound semiconductor
US4379727A (en) 1981-07-08 1983-04-12 International Business Machines Corporation Method of laser annealing of subsurface ion implanted regions
US4431459A (en) 1981-07-17 1984-02-14 National Semiconductor Corporation Fabrication of MOSFETs by laser annealing through anti-reflective coating
US4421048A (en) 1981-10-22 1983-12-20 The United States Of America As Represented By The Secretary Of The Navy Situ incineration/detoxification system for antifouling coatings
JPS5870536A (ja) 1981-10-22 1983-04-27 Fujitsu Ltd レ−ザアニ−ル方法
JPS58106836A (ja) 1981-12-18 1983-06-25 Hitachi Ltd レ−ザ−アニ−ル装置
JPS59928A (ja) 1982-06-25 1984-01-06 Ushio Inc 光加熱装置
FR2532783A1 (fr) * 1982-09-07 1984-03-09 Vu Duy Phach Machine de traitement thermique pour semiconducteurs
JPS5959876A (ja) 1982-09-30 1984-04-05 Ushio Inc 光照射炉の運転方法
EP0105230A3 (en) 1982-09-30 1986-01-15 General Electric Company Triggering and cooling apparatus for laser flashlamps
JPS5977289A (ja) 1982-10-26 1984-05-02 ウシオ電機株式会社 光照射炉
GB2136937A (en) 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
JPS59193024A (ja) 1983-03-29 1984-11-01 Ushio Inc 閃光照射装置
JPS59211221A (ja) 1983-05-17 1984-11-30 Nippon Denso Co Ltd イオン注入した半導体の熱処理方法
US4539431A (en) 1983-06-06 1985-09-03 Sera Solar Corporation Pulse anneal method for solar cell
US4680447A (en) 1983-08-11 1987-07-14 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4550684A (en) 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
KR910004158B1 (en) * 1983-08-15 1991-06-22 Sinagawa Sirotenga Co Ltd Thermal deformation measuring system of ceranics and the like
US4649261A (en) 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4698486A (en) 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
JPS60258928A (ja) * 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド 半導体ウエ−ハの加熱装置および方法
US4649241A (en) * 1984-11-09 1987-03-10 Siemens-Allis, Inc. Solenoid actuated high speed, high current making switch with a movable contact ring
US4661177A (en) * 1985-10-08 1987-04-28 Varian Associates, Inc. Method for doping semiconductor wafers by rapid thermal processing of solid planar diffusion sources
GB2199693B (en) 1986-12-02 1990-08-15 Noblelight Ltd Improvements in and relating to flash lamps
US4818327A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Wafer processing apparatus
US4826269A (en) * 1987-10-16 1989-05-02 Spectra Diode Laboratories, Inc. Diode laser arrangement forming bright image
JP2605090B2 (ja) 1988-03-28 1997-04-30 東京エレクトロン株式会社 ビームアニール装置
US5188458A (en) * 1988-04-27 1993-02-23 A G Processing Technologies, Inc. Pyrometer apparatus and method
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
JPH0262036A (ja) * 1988-08-29 1990-03-01 Fujitsu Ltd 半導体デバイス製造装置
US4891499A (en) * 1988-09-09 1990-01-02 Texas Instruments Incorporated Method and apparatus for real-time wafer temperature uniformity control and slip-free heating in lamp heated single-wafer rapid thermal processing systems
SG108807A1 (en) 1989-02-14 2005-02-28 Seiko Epson Corp A semiconductor device and its manufacturing method
US4984902A (en) * 1989-04-13 1991-01-15 Peak Systems, Inc. Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing
US5011794A (en) 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
JP3190653B2 (ja) 1989-05-09 2001-07-23 ソニー株式会社 アニール方法およびアニール装置
US5002630A (en) * 1989-06-06 1991-03-26 Rapro Technology Method for high temperature thermal processing with reduced convective heat loss
JP2923008B2 (ja) * 1989-12-11 1999-07-26 株式会社日立製作所 成膜方法及び成膜装置
US5282017A (en) * 1990-01-05 1994-01-25 Quantum Logic Corporation Reflectance probe
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5310260A (en) * 1990-04-10 1994-05-10 Luxtron Corporation Non-contact optical techniques for measuring surface conditions
JPH04152518A (ja) * 1990-10-16 1992-05-26 Toshiba Corp 半導体装置の製造方法
US5317429A (en) * 1990-11-28 1994-05-31 Fujitsu Limited Trilayer nematic liquid crystal optical switching device
US5293216A (en) * 1990-12-31 1994-03-08 Texas Instruments Incorporated Sensor for semiconductor device manufacturing process control
JPH04243123A (ja) * 1991-01-17 1992-08-31 Mitsubishi Electric Corp 半導体製造装置
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
DE4109956A1 (de) * 1991-03-26 1992-10-01 Siemens Ag Verfahren zum kurzzeittempern einer halbleiterscheibe durch bestrahlung
JPH04355911A (ja) 1991-03-27 1992-12-09 Fujitsu Ltd 半導体装置の製造装置
US5446825A (en) 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5508934A (en) * 1991-05-17 1996-04-16 Texas Instruments Incorporated Multi-point semiconductor wafer fabrication process temperature control system
JP3466633B2 (ja) * 1991-06-12 2003-11-17 ソニー株式会社 多結晶半導体層のアニール方法
US5359693A (en) 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
US5387557A (en) 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5336641A (en) 1992-03-17 1994-08-09 Aktis Corporation Rapid thermal annealing using thermally conductive overcoat
US5313044A (en) * 1992-04-28 1994-05-17 Duke University Method and apparatus for real-time wafer temperature and thin film growth measurement and control in a lamp-heated rapid thermal processor
JP3211394B2 (ja) 1992-08-13 2001-09-25 ソニー株式会社 半導体装置の製造方法
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5308161A (en) * 1993-02-11 1994-05-03 Quantum Logic Corporation Pyrometer apparatus for use in rapid thermal processing of semiconductor wafers
US5350236A (en) * 1993-03-08 1994-09-27 Micron Semiconductor, Inc. Method for repeatable temperature measurement using surface reflectivity
US5305417A (en) * 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
JPH06295915A (ja) * 1993-04-09 1994-10-21 F T L:Kk 半導体装置の製造装置及び半導体装置の製造方法
US5501637A (en) * 1993-08-10 1996-03-26 Texas Instruments Incorporated Temperature sensor and method
TW266230B (ja) * 1993-09-09 1995-12-21 Tokyo Electron Co Ltd
JPH07245274A (ja) 1994-03-02 1995-09-19 Tokyo Electron Ltd 熱処理装置
DE4414391C2 (de) 1994-04-26 2001-02-01 Steag Rtp Systems Gmbh Verfahren für wellenvektorselektive Pyrometrie in Schnellheizsystemen
KR100327086B1 (ko) * 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
JPH0855810A (ja) * 1994-08-16 1996-02-27 Nec Kyushu Ltd 拡散炉
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
DE4432315A1 (de) * 1994-09-12 1996-03-14 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Quecksilberdampf-Kurzbogenlampe
US5604592A (en) * 1994-09-19 1997-02-18 Textron Defense Systems, Division Of Avco Corporation Laser ultrasonics-based material analysis system and method using matched filter processing
JP3440579B2 (ja) 1994-10-05 2003-08-25 ソニー株式会社 加熱処理方法
US5601366A (en) * 1994-10-25 1997-02-11 Texas Instruments Incorporated Method for temperature measurement in rapid thermal process systems
US5703436A (en) * 1994-12-13 1997-12-30 The Trustees Of Princeton University Transparent contacts for organic devices
US5738440A (en) * 1994-12-23 1998-04-14 International Business Machines Corp. Combined emissivity and radiance measurement for the determination of the temperature of a radiant object
DE19513749B4 (de) 1995-04-11 2004-07-01 Infineon Technologies Ag Verfahren und Vorrichtung zur Bestimmung des Emissionsfaktors von Halbleitermaterialien durch Bestrahlung mit elektromagnetischen Wellen
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5597237A (en) * 1995-05-30 1997-01-28 Quantum Logic Corp Apparatus for measuring the emissivity of a semiconductor wafer
KR100274293B1 (ko) 1995-06-26 2001-01-15 야스카와 히데아키 결정성 반도체막 형성방법, 박막 트랜지스터 제조방법, 태양 전지 제조 방법 및 액티브 매트릭스형 액정 장치
JP3348334B2 (ja) * 1995-09-19 2002-11-20 ソニー株式会社 薄膜半導体装置の製造方法
US5971565A (en) * 1995-10-20 1999-10-26 Regents Of The University Of California Lamp system with conditioned water coolant and diffuse reflector of polytetrafluorethylene(PTFE)
US6051483A (en) 1996-11-12 2000-04-18 International Business Machines Corporation Formation of ultra-shallow semiconductor junction using microwave annealing
US5777437A (en) 1996-07-01 1998-07-07 Lumenx Technologies Inc. Annular chamber flashlamp including a surrounding, packed powder reflective material
US5756369A (en) * 1996-07-11 1998-05-26 Lsi Logic Corporation Rapid thermal processing using a narrowband infrared source and feedback
US6536131B2 (en) * 1996-07-15 2003-03-25 Semitool, Inc. Wafer handling system
US6214034B1 (en) * 1996-09-04 2001-04-10 Radiancy, Inc. Method of selective photothermolysis
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
JP2000505961A (ja) * 1996-12-20 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 急速熱処理用炉
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5898270A (en) * 1997-04-11 1999-04-27 Ilc Technology, Inc. Monocoque structure and large electrode beaded rob re-entrant seals for flashlamp-pumped solid-state laser flashlamps
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5841110A (en) 1997-08-27 1998-11-24 Steag-Ast Gmbh Method and apparatus for improved temperature control in rapid thermal processing (RTP) systems
KR20010006155A (ko) 1998-02-13 2001-01-26 야스카와 히데아키 반도체장치의 제조방법 및 열처리장치
US6316786B1 (en) * 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
US6217034B1 (en) * 1998-09-24 2001-04-17 Kla-Tencor Corporation Edge handling wafer chuck
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6183127B1 (en) * 1999-03-29 2001-02-06 Eaton Corporation System and method for the real time determination of the in situ emissivity of a workpiece during processing
US6293696B1 (en) 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers
US6303411B1 (en) * 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6349270B1 (en) * 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6541924B1 (en) * 2000-04-14 2003-04-01 Macquarie Research Ltd. Methods and systems for providing emission of incoherent radiation and uses therefor
US6376806B2 (en) * 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6594446B2 (en) 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
US7071714B2 (en) * 2001-11-02 2006-07-04 Formfactor, Inc. Method and system for compensating for thermally induced motion of probe cards
JP2005515425A (ja) * 2001-12-26 2005-05-26 ボルテック インダストリーズ リミテッド 温度測定および熱処理方法およびシステム
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US6885815B2 (en) * 2002-07-17 2005-04-26 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus performing irradiating a substrate with light
US7062161B2 (en) 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
KR100549452B1 (ko) 2002-12-05 2006-02-06 다이닛뽕스크린 세이조오 가부시키가이샤 광조사형 열처리장치 및 방법
JP4675579B2 (ja) * 2003-06-30 2011-04-27 大日本スクリーン製造株式会社 光エネルギー吸収比率の測定方法、光エネルギー吸収比率の測定装置および熱処理装置
JP4618705B2 (ja) * 2003-09-18 2011-01-26 大日本スクリーン製造株式会社 熱処理装置
US6855916B1 (en) * 2003-12-10 2005-02-15 Axcelis Technologies, Inc. Wafer temperature trajectory control method for high temperature ramp rate applications using dynamic predictive thermal modeling
CN101288035B (zh) * 2005-09-14 2013-06-19 马特森技术有限公司 可重复热处理的方法和设备
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184714A (ja) * 2000-12-12 2002-06-28 Denso Corp 炭化珪素半導体装置の製造方法
JP4581240B2 (ja) * 2000-12-12 2010-11-17 株式会社デンソー 炭化珪素半導体装置の製造方法
JP2005527972A (ja) * 2002-03-29 2005-09-15 マットソン、テクノロジー、インコーポレーテッド 加熱源の組み合わせを使用する半導体パルス加熱処理方法
JP2007519232A (ja) * 2003-12-19 2007-07-12 マトソン テクノロジー カナダ インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
JP2013030772A (ja) * 2003-12-19 2013-02-07 Mattson Technology Canada Inc 工作物の熱誘起運動を抑制する機器及び装置
JP2008546203A (ja) * 2005-06-01 2008-12-18 マットソン テクノロジー インコーポレイテッド パルス化された加熱処理の間に熱収支を最適化する方法
JP2009164525A (ja) * 2008-01-10 2009-07-23 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009188210A (ja) * 2008-02-06 2009-08-20 Panasonic Corp 不純物活性化熱処理方法及び熱処理装置
JP2009231662A (ja) * 2008-03-25 2009-10-08 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009272399A (ja) * 2008-05-02 2009-11-19 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2010283163A (ja) * 2009-06-04 2010-12-16 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2012256879A (ja) * 2011-06-07 2012-12-27 Ultratech Inc 集積回路の製造における、パターン密度効果を低減させた超高速レーザーアニーリング

Also Published As

Publication number Publication date
US20030206732A1 (en) 2003-11-06
AU2001267174A1 (en) 2002-06-18
JP4944353B2 (ja) 2012-05-30
US6594446B2 (en) 2003-07-15
US6963692B2 (en) 2005-11-08
US20020067918A1 (en) 2002-06-06
US20020102098A1 (en) 2002-08-01
US6941063B2 (en) 2005-09-06
US20050062388A1 (en) 2005-03-24
JP2010093282A (ja) 2010-04-22
WO2002047143A1 (en) 2002-06-13

Similar Documents

Publication Publication Date Title
JP4944353B2 (ja) 熱処理方法およびシステム
US8000587B2 (en) Pulsed processing semiconductor heating methods and associated system using combinations of heating sources
JP7051965B2 (ja) ミリ秒アニールシステムのための予熱方法
TWI566300B (zh) 熱處理方法及熱處理裝置
JP6837871B2 (ja) 熱処理方法
JP2009260018A (ja) 熱処理方法および熱処理装置
TW201903903A (zh) 熱處理方法
JP5507227B2 (ja) 熱処理方法および熱処理装置
JP2008042127A (ja) 熱処理装置および熱処理用サセプタ
US8802550B2 (en) Heat treatment method for heating substrate by irradiating substrate with flash of light
WO2002047123A1 (en) Heat-treating methods and systems
KR102182797B1 (ko) 열처리 방법
JP2009038230A (ja) 光照射式加熱処理装置
JP2002324764A (ja) 基板の熱処理装置
JP2010073787A (ja) 熱処理装置
GB2406711A (en) Heat treatment methods and systems
JP2023027614A (ja) 熱処理装置
JP2008186940A (ja) 熱処理用サセプタおよび熱処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041202

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041213

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080704

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081006

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081014

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081204

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081211

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090105

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090807

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100106

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20100312

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110516

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110519

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20111130

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20111202

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120302

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4944353

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150309

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150309

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313114

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term