JP2005527972A - 加熱源の組み合わせを使用する半導体パルス加熱処理方法 - Google Patents

加熱源の組み合わせを使用する半導体パルス加熱処理方法 Download PDF

Info

Publication number
JP2005527972A
JP2005527972A JP2003582488A JP2003582488A JP2005527972A JP 2005527972 A JP2005527972 A JP 2005527972A JP 2003582488 A JP2003582488 A JP 2003582488A JP 2003582488 A JP2003582488 A JP 2003582488A JP 2005527972 A JP2005527972 A JP 2005527972A
Authority
JP
Japan
Prior art keywords
pulse
heating
temperature
energy
pulses
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003582488A
Other languages
English (en)
Inventor
ポール、ジェイ.ティマンス
ナーラシンハ、アチャリヤ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of JP2005527972A publication Critical patent/JP2005527972A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing

Abstract

半導体基板のような物体を加熱するパルス処理方法およびシステムは、単一の基板のマルチパルス処理、または異なった物理特性を有する異なった基板の単一パルス処理またはマルチパルス処理のプロセス制御を特徴とする。熱は、バックグラウンド加熱モードの間に、物体(36)へ制御可能な方法で加えられ、それによって選択的に物体(36)を加熱して、バックグラウンド加熱の間に物体の全体の温度上昇を少なくともおおまかに生成する。物体(36)の第1表面は、少なくとも第1のエネルギー・パルスへ物体を曝すことによって、パルス加熱モードで加熱される。バックグラウンド加熱は、第1のパルスとの時間関係で制御される。第1のエネルギー・パルスに対する物体の第1の温度応答は、少なくとも第2のエネルギー・パルスについて少なくとも第2のパルス・パラメータのセットを確立し、少なくとも部分的に目標条件を生成するためにセンスおよび使用される。

Description

本願は、たとえば、限定を意味することなくタングステン・ハロゲンランプまたはアークランプのようなバックグラウンド加熱源と組み合わせて、短くて強烈なパルスで半導体ウェーハを加熱処置する方法およびシステムに関する。
マイクロプロセッサおよび他のコンピュータ・チップのような電気デバイスを作るためには、シリコン・ウェーハのような半導体ウェーハがイオン打ち込みで処理される。イオン打ち込みプロセスは、ウェーハのデバイス側の表面領域へ不純物原子またはドーパントを導入する。イオン打ち込みプロセスは、ウェーハの表面領域の結晶格子構造を損傷し、打ち込まれたドーパント原子を格子間位置に残す。ドーパント原子は置換位置で電気的に不活性である。格子の中の格子間位置へドーパント原子を移動して電気的に活性にし、イオン打ち込みの間に生じる結晶格子構造の損傷を修復するため、ウェーハのデバイス側の表面領域は、高温へ加熱することによってアニールされる。
アニールに関して、3種の半導体ウェーハ加熱方法が技術分野で知られている。即ち、
断熱法 − この場合、エネルギーは、10×10−9から100×10−9秒の非常に短い持続時間の間、パルス・エネルギー源(たとえば、レーザ、イオン・ビーム、電子ビーム)によって提供される。この強烈な短い持続時間のエネルギーは、半導体表面を約1〜2ミクロンの深さへ融解する。
熱フラックス法 − この場合、エネルギーは、5×10−6から2×10−2秒の間与えられる。熱フラックス加熱は、ウェーハの表面の下に2ミクロンを超えて延びる充分な温度勾配を生成するが、ウェーハの厚さの全体を均一に加熱するには至らない。
等温法 − この場合、エネルギーは1から100秒の間与えられ、ウェーハの温度は、任意の所与の領域で、その厚さの全体にわたって十分に均一になる。たとえば、米国特許第4,649,261号公報の欄3の行65から欄4の行13を参照されたい。
残念ながら、半導体ウェーハのデバイス側をアニールするために必要な高温は、既存の技術を使用すると望ましくない効果を生じる。たとえば、ドーパント原子は、高温では、はるかに高いレートでシリコン・ウェーハの中へ拡散し、拡散の大部分は、ドーパントを活性化するために必要な高いアニール温度に近い温度で起こる。半導体ウェーハの性能要求が増加し、デバイス・サイズが減少するにつれて、それだけ浅くて階段を画定された接合を作り出すことが必要である。
従来の急速熱処理(RTP)システムは、近等温方式で半導体ウェーハを加熱したので、全体のウェーハは高温へ加熱された。急速熱アニール・プロセスにおいて、所望される目標は、ウェーハを非常に高いレートで加熱し、できるだけ短い時間の間、所望のピーク温度にウェーハを保持することである。加熱に続いて、できるだけ急速に冷却が行われる。これは、ウェーハ・バルク内の過剰ドーパント拡散のような所望されない副作用を最小にしながら、必要なアニールが起こることを可能にする。急速な熱アニールを実行するため、加熱は、一般的に、ウェーハのデバイス側の上に配置されたタングステン・ハロゲンランプのアレーを活性化することによって行われる。加熱レートは、半導体ウェーハの熱質量によって制限される。したがって、所望のピーク加熱温度へ達するためには、非常に大きなランプ・パワーが加えられなければならない。これは、加熱上昇の間に非常に大きなパワー・サージを生じる。更に、ランプ・フィラメントの熱質量は、放射加熱をどれだけ早くオフへ切り替えられるかを制限し、したがってウェーハがピーク温度またはその近くの温度で費す時間を延長する。典型的なタングステン・ハロゲンランプの時定数は比較的長く、0.3秒の水準である。したがって、フィラメントは熱いままに保たれ、パワーがカットオフされた後もウェーハを照射し続ける。
アニール・サイクルの最高温度範囲で、大きなドーパント拡散が起こる。アニール温度を低くすると、ドーパントの活性化はかなり少なくなり、したがってウェーハのシート抵抗は高くなる。このシート抵抗は、先進的処理デバイスの現在および/または将来の許容シート抵抗の限度を超過する。したがって、アニール温度を低くすることは、ドーパント拡散問題の解決にならない。
デバイス製造の最新技術が、より減少した接合の深さを有するデバイスへと移るにつれて、半導体ウェーハを処理するためパルス加熱方法およびシステムを使用して加熱処置を向上させることができるかも知れないという認識が生じてきた。1980年代末期の少なくとも1つのアプローチは、低温バックグラウンド加熱段階に続くパルス・アニール段階を含んだ。低温バックグラウンド加熱段階は、典型的には、たとえば、タングステン・ハロゲンランプを使用して、600℃のような中間範囲の温度へウェーハを加熱し、続いて400マイクロ秒のような非常に短い持続時間の間、フラッシュ・ランプからのパルスによって温度を1100℃へ急速に増加することを含んだ。ウェーハは、放射による冷却を許された。パルス加熱を使用するプロセス(これは、単に等温アニールの終わりにフラッシュ・ランプを点灯する)の反復可能性、およびウェーハからウェーハへの反復可能性を制御する手法は提供されなかった。更に、反復可能性プロセスの制御に関して、バックグラウンド加熱の簡単なサーモスタット制御が使用された。たとえば、半導体科学技術3,437(1988)におけるJ. R. Loganらの「急速等温および過渡的アニールによるアモルファス・シリコン膜の再結晶化」、およびソリッドステート・エレクトロニクス33,659(1990)におけるJ. L. Altripらの「ヒ素を打ち込まれたシリコンの高温ミリ秒アニール」を参照。更に、注記する価値があることとして、これらの参照文献の双方は、パルス露出の間、バックグラウンド加熱の簡単なサーモスタット制御を利用するが、Loganの参照文献は、更に、そのような制御の実現の例証に制限を有し、処置を受けている基板の温度は、間接的に監視されるだけである。即ち、処置されている基板は、支持用基板によって支持される。実際に処置を受けている基板ではなく、支持用基板の温度が監視される。残念ながら、この配列は、実際に処置されている物体の温度に関して不確実性を導入することによって、サーモスタット制御に関する問題を更に悪化させる可能性がある。
米国特許第4,649,261号および第4,698,486号は、1つの代替の実施形態において、等温加熱および熱フラックス加熱を組み合わせることによって、半導体ウェーハを加熱する方法を開示する(たとえば図11)。ウェーハの全体は、たとえば持続波ランプを使用する等温加熱を介して第1の中間温度へ加熱される。次に、ウェーハの前面が、熱フラックス(高パワー・パルス・ランプ・アレーのようなパルス手段)を介して加熱される。加熱方法は、ウェーハおよび加熱源が、ウェーハへ向って放射エネルギーを反射および再反射する反射内面を有する一体化光パイプまたはカレードスコープの中に保持される間に実行される。これらの特許は、マルチパルス加熱モードを説明しておらず、多数のパルスまたはウェーハからウェーハへの加熱の反復可能性を制御する手法は提供されていない。
従来技術によって実行されるパルス・モード加熱は、利点は認められるが、その成功は限られていると言わなければならない。なぜなら、これから更に説明するように、その使用に伴う或る困難性は、適切に対処されていないからである。
米国特許第4,504,323号は、半導体ウェーハが炉の中で400℃へ前もって加熱され、次に800ミクロン秒のパルスの間、フラッシュ放出ランプのアレーからの放射へ曝されるアニール方法を説明している。前もって加熱される温度は、所望されるアニール温度より下であり、ドーパント拡散は起こらない。この特許は、マルチパルス加熱モードを開示しておらず、多数のパルスまたはウェーハからウェーハによる加熱の反復可能性を制御する手法は提供されていない。
米国特許第4,615,765号は、レーザまたは粒子線の源を使用する熱処理を開示する。この特許は、レーザから半導体ウェーハの特定の領域へパワーを選択的に引き渡して、他の領域を加熱することなく所望の領域を加熱する方法に焦点を当てている。この方法は、2つの領域の吸収特性を調整して、所定のパルス・エネルギー、パルス持続時間、およびパルス間隔を有するパルスから、異なった温度上昇を起こすことに基礎を有している。多数のパルスまたはウェーハからウェーハによる加熱反復可能性を制御する手法は提供されていない。
米国特許第5,841,110号は、RTPの分野における更に新しいアプローチを提供する。具体的には、スペクトル的に統合された反射率だけに基づいて、システム・パラメータが調整される。更に、この参照文献は、少なくとも、パルス源の使用を直接教示していないという理由によって、本発明との関連が幾分少ない。システムは効果的であり、当時の従来技術をかなり改善したが、これから分かるように、本発明は更なる利点を提供すると言わなければならない。
パルス加熱の間、半導体ウェーハの表面温度は、(a)バックグラウンドの温度分布、(b)パルス・エネルギーのタイプ、形状、および持続時間、および(c)ウェーハの光学特性を含む幾つかの要因によって影響を受ける。レーザ処理において、ウェーハ表面の反射率のバリエーションは、異なったウェーハ、または同一ウェーハ上の異なった位置でも、パワー・カップリングに有意な変化を起こす。ランプ放射はレーザ放射よりも広いスペクトルを有するが、光学特性のバリエーションはタングステン・ハロゲンランプを使用する急速熱処理の間に、ウェーハ表面の到達温度にインパクトを与えることが知られている。したがって、コーティングのバリエーションは、反射率のバリエーションを生じ、1つのウェーハの表面、または同じ表面特性を有することが意図される2つのウェーハの表面で、吸収されるエネルギーを変化させる。
図2は、異なった表面特性を有する2つの半導体ウェーハへ放射を加えたときの温度対時間曲線をプロットしたグラフである。各々のウェーハへ印加された放射パルスは同じエネルギーを有するが、放射反射性が高いウェーハは、放射吸収性が高いウェーハ(1300℃)よりも、低いピーク温度(1000℃)に達した。同じ放射パルスが印加されたので、反射性の高いウェーハの温度対時間曲線12は、他の時点では吸収性の高いウェーハの温度対時間曲線14と共通している。したがって、より反射的なウェーハ上で、放射源からの同じパルスまたは一連のパルスによって誘導された温度上昇は、より吸収的なウェーハ上で誘導された温度上昇よりも低い。
異なったウェーハ反射率によって生じる加熱温度のバリエーションに加えて、所望されないバリエーションが多数の放射パルスの使用から生じる。図3は、ウェーハの表面温度22と裏面温度24の温度対時間曲線をプロットし、バックグラウンド・ヒータのパワー対時間26をプロットしたグラフである。このグラフで示された加熱方法を使用すると、バックグラウンド・ヒータは、全体のウェーハ(表面および裏面)を約800℃の第1の温度へ加熱するように活性化される。次に、ヒータは定常状態へ切り替えられ、パルス源(たとえばアークランプまたはレーザ)からの2つの急速なパルスが、ウェーハ表面を所望のアニール温度(即ち1300℃)へ加熱するように印加される。ウェーハの裏面温度は第1の温度に近い温度に維持され、所望されないドーパント拡散を排除する。エネルギー・パルスからの熱がウェーハのバルクへ拡散するにつれて、ウェーハ裏面の温度は上昇しようとする。図3は、第1の温度からの裏面温度の50℃から100℃への上昇を示す。第1のパルスに続いて、ウェーハの表面温度は、熱がウェーハのバルクへ伝導されるにつれて降下し、ウェーハはほとんど等温条件へ達する。表面温度の降下は、パルスに起因する温度上昇ほど急速ではないので、第2のパルスが活性化されたとき、ウェーハ表面は依然として第1の温度より上にある。この場合、第2のパルスは、第1のパルスよりも大きなピーク温度(1300℃よりも上)を生成し、プロセス制御を困難にする。
本発明は、前記の問題および困難性を解決し、同時に更なる利点を提供する。
(要約)
本願は、半導体ウェーハまたは基板のような物体を加熱する方法およびシステムに関する。
第1の態様において、この方法は、(a)第1の加熱源を使用して第1の温度へ基板を加熱し、(b)パルス・エネルギー源から第1のエネルギー・パルスを印加して基板のデバイス側の表面を加熱する直前、または丁度そのときに、第1の加熱源へのパワーを非活性化またはオフにし、(c)第2の加熱源からの第1のエネルギー・パルスによって、第1の温度よりも大きな第2の温度へ基板の第1の表面またはデバイス側を急速に加熱し、ここで第2の温度は、たとえば、ドーパントを打ち込まれる半導体ウェーハのアニール温度であることを含む。オプションとして、急速加熱ステップ(c)は、非活性化ステップ(b)に先行してよい。更に、加熱方法は、第2の加熱源からの第1のパルスが印加された後、第1の加熱源のパワーを再活性化または再びオンにする更なるステップ(d)を含んでよい。更に、加熱ステップ(a)および急速加熱ステップ(c)が、単一の加熱源を使用して達成されることも可能である。
パルスがパルス源から印加される前、または丁度そのときに、第1の加熱源を非活性化し、第1の温度へ基板のバルクを加熱することによって、ウェーハのバルクは第1の温度またはその近くの温度に維持され、主として基板の第1の表面のみが、第2のはるかに高い温度へ急速に加熱される。エネルギー・パルスからの熱が基板のバルクへ拡散するにつれて、基板の平均温度は上昇しようとする。もし第1の加熱源へのパワーが活性化されたままであれば、基板の裏面は、基板のバルクと同じように、第1の温度を超えて温度を増加する。この基板温度の漸増は、多くの場合、所望されないドーパント拡散を導き、続いて印加される同等エネルギーのパルスによって、基板の前面を所望の高温よりも高く加熱するか、他の意図されない効果を生じる。第1の加熱源の閉ループ・フィードバック制御は、基板のバルクを、第1の温度またはその近くの温度に維持し、また第2の処置またはアニール温度より下に維持する。
シリコン半導体ウェーハをアニールするためには、第1の温度は、好ましくは1000℃まで、または200℃から1100℃の範囲、最も好ましくは600℃から1000℃の範囲である。第2の温度(または処置またはアニール温度)は、好ましくは600℃から1400℃の範囲、最も好ましくは1050℃から1400℃である。第1の温度への加熱は、好ましくは、少なくとも1秒当たり100℃のレートで実行される。好ましくは、タングステン・ハロゲンランプ、アークランプ、またはそのようなランプのアレーが、基板を第1の温度へ加熱するために使用される。好ましい実施形態において、これらの加熱源は基板の裏面の近くに配置される。代替的に、ヒートプレートまたはサセプタを使用して、基板を第1の温度へ加熱してよい。
パルス加熱は、好ましくは、アークランプ、フラッシュ・ランプ、またはエキシマレーザのようなレーザによって生成された放射で基板の第1表面を照射することを含む。好ましい実施形態において、パルス加熱源の1つまたはアレーが基板の前面またはデバイス側の近くに配置される。
更なる実施形態において、加熱方法は、(a)第1の加熱源を使用して半導体ウェーハのような基板を第1の温度へ加熱し、(b)基板表面が丁度第1の温度に達したとき第2の加熱源を使用してエネルギー・パルスを印加して基板表面を所望の処置温度へ急速に加熱し、(c)第1および第2の加熱源を非活性化することを含む。この方法は、オプションとして、パルス加熱源によって放出される一連のエネルギー・パルスを含み、基板表面が丁度第1の温度へ達したときに第1のエネルギー・パルスが活性化される。
更なる実施形態において、単一の加熱源が使用され、基板を第1の温度へ加熱し、またパルス加熱を行う。そのような場合、加熱方法は、(a)加熱源を使用して半導体ウェーハのような基板を第1の温度へ加熱し、(b)基板表面が丁度第1の温度へ達したとき、同じ加熱源を使用して追加のエネルギー・パルスを印加し、表面を所望の処置温度へ急速に加熱し、(c)加熱源を非活性化することを含む。
他の実施形態において、パルス加熱は、パルス加熱源によって放出された一連のパルスを使用して実行される。第2の加熱源からエネルギー・パルスを印加する前に、第1の加熱源を非活性化するため制御が適用される。基板の裏面の温度は、光学センサまたはパイロメータまたは一連の光学センサおよび/またはパイロメータを介して測定される。第1の加熱源の制御を使用して、裏面の温度は、処置またはアニール温度の下の第1の温度、またはその近くの温度に維持される。
一連のパルスが使用されるとき、フラッシュ・ランプまたはアークランプの第1のパルスは、10マイクロ秒から50ミリ秒の持続時間を有し、第2のパルスは10マイクロ秒から50ミリ秒の持続時間を有する。ここで、第1および第2のパルスは、各々のパルスの間で1ミリ秒から100秒のギャップを置いて順次に印加される。レーザからの一連のパルスが使用されるとき、第1のパルスは1ナノ秒から10ミリ秒の持続時間を有し、第1および第2のパルスは、各々のパルスの間に1マイクロ秒から100秒のギャップを置いて順次に印加される。望まれる処理結果に依存して、任意数のパルスが印加されてよい。パルス加熱源は、好ましくは、ウェーハ表面で1nJ/cmから100J/cmの範囲のエネルギー密度を有するパルスを放出する。
他の実施形態において、パルス加熱は、パルス加熱源によって放出された一連のパルスを使用して実行される。閉ループ・フィードバック制御が適用され、基板の前面またはデバイス側を加熱するために印加される各々のパルスについてパルス・パラメータを調整し、所望の処置またはアニール温度の上の温度へ基板の前面を加熱するエネルギー・パルスを印加しないようにする。言い換えれば、所望の温度へ丁度達するようにする。したがって、プロセス制御は、基板の裏面のために加熱源へのパワーを非活性化および再活性化するのではなく、パルス・パラメータ(エネルギー、持続時間、パルス間の時間)を調整することによって行われる。基板の前面の温度は、1つの光学センサまたはパイロメータまたは一連の光学センサおよび/またはパイロメータによって測定される。
更に他の実施形態において、半導体基板はパルス・エネルギーを使用して加熱され、パルスのパラメータは、最初に、第1のエネルギー・テスト・パルス(または事前パルス)が印加された後、基板の吸収率を推定することによって決定される。この方法において、基板は、所望の処置またはアニール温度の下の第1の温度へ加熱される。次に、第1のエネルギー・パルス(テスト・パルスまたは事前パルス)印加され、第1の温度よりも大きい第2の温度へ基板を加熱する。好ましくは、この第2の温度も、所望の処置温度より下にある。もっとも、より少ないテスト・パルスからではなく、第1のエネルギー処置パルスの後で得られたデータから較正を実行することができる。テスト・パルスの間、1つまたは複数の光学センサによってパルス・エネルギー・データが収集されるか、代替的または組み合わせて、1つまたは複数のパイロメータによって基板放射がセンスされる。基板の吸収率は、センスされたデータから、幾つかの方法の1つによって推定される。1つの方法において、1つの光学センサが基板から反射されたパルス・エネルギーを検出し、第2のセンサが基板を透過したパルス・エネルギーを検出する。基板の吸収率は、これらの2つの測定から推定される。第2の方法において、パイロメータが、基板の前面から放出された放射をセンスし、前面温度を追尾する手段を提供する。この場合、テスト・パルスの間の前面の温度上昇が、基板の吸収率を決定するために使用される。第3の方法において、パイロメータは、基板の前面または裏面から放出された放射をセンスする。テスト・パルスの印加に続いて、基板の温度は、厚さの全体で平衡する。テスト・パルスの印加から生じるこのバルク温度の上昇は、前面または裏面を観察するパイロメータによって測定され、この測定は基板の吸収率を決定するために使用される。これらの方法の1つによって推定および決定された吸収率から、後続のエネルギー・パルスのパルス・パラメータ(エネルギー、持続時間、パルス間の時間)が決定され、次のパルスが印加されて、前面または第1の表面を所望の処置またはアニール温度へ加熱する。好ましくは、もしテスト・パルスが使用されるならば、テスト・パルスは、1nJ/cmから10J/cmの範囲のエネルギー密度(これらは基板におけるエネルギー密度である)で1ナノ秒から50ミリ秒の持続時間の間放出される。in−situの吸収率推定に基づいてパルス・パラメータを調整することによって、このアプローチは、基板の光学(実際の物理的)特性に関わらず、同一の温度・時間プロフィールを使用して半導体基板を処理することを可能にする。
この代替の実施形態において、基板は、最初に、所望の処置温度の下の中間温度または第1の温度へ加熱される。他の実施形態と同じように、第1の温度へ基板を加熱する加熱源は、好ましくは、タングステン・ハロゲン・ランプ、アークランプ、またはそのようなランプのアレーを含む。代替の加熱源は、ヒートプレートまたはサセプタを含む。更に、基板の裏面は第1の温度またはその近くの温度に維持されてよく、その間に第1の加熱源からのエネルギー・パルスが前面または第1の表面を加熱するために印加される。裏面の温度は、たとえば、パルス加熱源が活性化されたときに加熱源へのパワーを制御する(加熱源を非活性化する)加熱源の閉ループ・フィードバック制御によって維持されてよい。
本発明に従って半導体基板を加熱するシステムは、(a)第1の温度へ基板を加熱する第1の加熱源であって、タングステン・ハロゲン・ランプ、アークランプ、またはこれらのランプのアレーであってよい加熱源、(b)基板の第1の表面へ第1のエネルギー・パルスを印加して、第1の温度よりも大きい第2の温度へ第1の表面を加熱するパルス加熱源、(c)オプションとして、パルス加熱源に関連づけられたフィルタであって、パルス加熱源によって放出された放射の選択された波長を排除するフィルタ、(d)第1のエネルギー・パルスが印加された後、基板によって反射された放射をサンプリングするセンサ、および(e)パルス加熱源によって印加される追加のエネルギー・パルスのパルス・パラメータを調整する手段を含む。
好ましくは、パルス加熱源は、アークランプ、またはフラッシュ・ランプ、またはそのようなランプのアレー、またはレーザである。好ましくは、フィルタは、パルス加熱源から基板を隔離する水冷窓または高OH石英窓である。最も好ましくは、パルス加熱源がアークランプまたはフラッシュ・ランプまたはそのようなランプのアレーであるとき、フィルタは、各々の電球を個々に取り巻く1つまたは複数のエンベロープを含む。好ましくは、センサは光学センサである。最も好ましくは、パルス加熱源によって放出された入射パルス放射、および基板を透過したパルス放射をサンプリングするため、追加の光学センサが設けられる。好ましくは、(a)基板の第1の表面によって放出された放射エネルギーを測定して、基板の第1の表面の温度を監視するため、および(b)基板の裏面によって放出された放射エネルギーを測定して、裏面の温度を監視するため、パイロメータが設けられる。
本発明の継続した態様において、第1および第2の表面を含む対向する主面を有する物体が処理される。システムは、バックグラウンド加熱モードの間、加熱配列を使用して、制御された方式で物体へ熱を加え、それによって物体を選択的に加熱し、物体の全体で少なくともおおまかに温度上昇を生成する。次に、物体の第1の表面は、或るパルス持続時間を有する少なくとも第1のエネルギー・パルスへ第1の表面を曝すことによって、バックグラウンド加熱モードと協力しながら、パルス加熱モードで、加熱配列を使用して加熱される。バックグラウンド加熱モードは、第1のパルスとの時間関係で有利に制御される。
本発明の他の更なる態様において、第1および第2の対向する表面を含む主面を有する物体が、処置システムを使用して処理される。処置システムは、加熱配列を使用して、バックグラウンド加熱モードの間、制御可能な方法で物体へ熱を加え、それによって物体を選択的に加熱し、物体の全体へ第1の温度を少なくともおおまかに生成する。次に、少なくとも第1のエネルギー・パルスへ第1の表面を曝すことによって、物体の第1の表面が加熱配列を使用してパルス加熱モードで加熱され、第1の温度よりも大きい第2の温度へ加熱される。第1の表面は、第1のパルスの印加に続いて冷却時間の間に冷却することを許され、それによって物体の第1の表面が第2の温度の下まで降下し、少なくとも制限された範囲へ等しくなるようにされる。冷却時間の後に、第2のエネルギー・パルスが物体の第1の表面へ印加され、第1の表面を再加熱する。少なくとも第1のパルス、冷却時間、および第2のパルスを含むパルス加熱モードの間、物体の第2の表面は、ほぼ第1の温度に維持される。1つの特徴において、物体の第2の表面は、第1のパルスおよび第2のパルスの少なくとも1つの印加との時間関係でバックグラウンド加熱モードを制御することによって、第1の温度に維持される。
本発明の更なる態様において、物体は、一連のパルスのパルス・エネルギーを使用するシステムの中で処理される。パルスの各々は、パルス・パラメータのセットによって特徴づけられる。物体は、第1および第2の対向する主面を含む。第1の表面は、パルス・パラメータの第1のセットを有する第1のエネルギー・パルスへ露出され、物体の第1の温度応答を生成する。物体の第1の温度応答がセンスされる。パルス・パラメータの第1のセットと組み合わせた第1の温度応答を使用して、パルス・パラメータの少なくとも第2のセットが、少なくとも第2のエネルギー・パルスの印加のために確立される。次に、第1の表面が、少なくとも第2のエネルギー・パルスへ露出され、基板の目標条件を少なくとも部分的に生成する。
本発明の他の態様において、第1および第2の対向する主面を有する半導体基板が、パルス・パラメータのセットによって特徴づけられるエネルギー・パルスへ基板を露出することによって半導体基板の中に温度上昇を誘導するシステムで処理される。半導体基板の温度上昇は、センス配列を使用してセンスされる。パルス・パラメータのセットと組み合わされた温度上昇に基づいて、半導体基板の吸収率が決定される。1つの特徴において、決定された吸収率は、半導体基板の処置を継続するため処置パラメータのセットを確立する値として使用される。たとえば、吸収率は、少なくとも1つの追加エネルギー・パルスのために処置パラメータのセットを確立するために使用されてよい。他の特徴において、エネルギー・パルスは、測定目的のために印加されるように、目標条件に関して半導体基板の中に無視できる変化を生成するように構成される。更に他の特徴において、エネルギー・パルスは、半導体基板を目標条件へ少なくとも部分的に変換するように印加される。
本発明の更なる態様において、物体はシステムの中で熱を使用して処理される。したがって、加熱源は、第1の作業モードで第1の温度へ物体を加熱し、それによってバックグラウンド加熱を実行する。加熱源は、更に、第2のパルス加熱作業モードで物体の第1の表面へ少なくとも第1のエネルギー・パルスを印加し、第1の温度よりも大きい第2の温度へ第1の表面を加熱するように構成される。物体は、加熱源に応答して放射エネルギーを生成する。物体からの放射エネルギーをサンプリングすることによって測定を生成するため、センサが使用される。少なくとも1つの追加エネルギー・パルスのパルス・パラメータは、少なくとも部分的に測定に基づいて調整される。1つの構成において、加熱源は、別々になったバックグラウンド加熱セクションおよびパルス加熱セクションを含む。他の構成において、加熱源は、たとえばアークランプのようなマルチモード源であって、バックグラウンド加熱モードで第1の作業モードとして動作し、パルス加熱モードで第2の作業モードとして動作するように構成される。
(本発明の詳細な説明)
(装置)
先ず図1を参照すると、パルス処理システム30は、処理室34を画定するハウジング32を含む。処理室34の内部には、支持体38の上に保持された半導体ウェーハのような基板36が配置される。石英窓40および42は、ハウジング32の内部に配置された加熱源44および46から基板36および支持体38を隔離し、基板36の上および下に配置されている。加熱源44および46はコンピュータ/制御配列47によって制御される。制御配列47は、バックグラウンド加熱源44およびパルス加熱源46の各々へ電力レベルを選択的に印加し、両方の源の正確な制御を達成するように構成される。注意すべきこととして、制御配列47は、この全体的な開示の観点からマルチモード源を制御するように容易に適合可能であり、バックグラウンド加熱作用およびパルス供給を組み合わせる単一源から加熱プロフィールを供給する。石英窓40および42は、更に、窓表面の少なくとも1つに沿って水を流す1つまたは複数の溝(図示されていない)を設けることによって、水で冷やされてよい。処理室34のハウジング壁32は、好ましくは反射性内面を有する。
支持体38と部分的に接触する基板36の表面は、半導体ウェーハの場合、しばしば裏面と呼ばれ、基板の対向面は、しばしば前面またはデバイス側と呼ばれる。この開示および請求項の関連では、前面は第1表面と呼ばれ、裏面は第2表面と呼ばれる。更に重要なこととして、本発明は、処置を施されている基板のような物体の、いずれかの主面、または双方の主面のパルス加熱を想定していることを理解しなければならない。
タングステン・ハロゲン・ランプ44は、基板の裏面の下に平行アレーとして配置される。ランプは、図示されるように、コンピュータ制御によってパワーを制御されてよい。ランプ44は、1秒当たり少なくとも約20℃、好ましくは1秒当たり200℃から300℃のレートで、基板36の温度を上昇させることができる。このレートは、最大瞬間上昇レートであると考えられる。言い換えれば、時間に対してプロットされた加熱プロフィールの傾斜または微分係数は、バックグラウンド加熱に応答して少なくとも1つの時点に対して1秒当たり少なくとも20℃の値を示す。ランプは空気で冷やされてよい(図示されていない)。たとえば、ウシオ・アメリカ社 (Ushio America Inc.) からのランプ・モデルJ208V−2000WB1は、バックグラウンド加熱に使用できる2kWタングステン・ハロゲン・ランプであり、基板の裏面と対向して配置される。更に理解すべきことは、タングステン・ハロゲン・ランプ44の機能的同等物として、任意適切な形式のランプまたは加熱デバイスが使用されてよいこと、使用される加熱デバイスの物理的配列または個数に何の制限もないことである。1つの例として、バックグラウンド加熱は、ホットプレートおよび/またはサセプタを使用して達成されてよい。
アークランプ46は、基板36の前面またはデバイス側の上に平行アレーとして設けられる。ランプ46は、非常に急速に、たとえば1秒当たり1000℃よりも大きなレートで、基板36の前面を加熱するエネルギー・パルスを生成することができる。ランプ46は、単一またはグループで活性化され、基板の前面の上に所望のパルス加熱プロフィールを生成する。ランプは空気または水で冷却されてよい(図示されていない)。アーク/フラッシュ・ランプは、異なったサイズで作られ、数ワットから数キロワットまでの放射パワーを放出することができる。たとえば、パーキンエルマー・オプトエレクトロニクス社 (PerkinElmer Optoelectronics) のランプ・モデル10F10は、13kJのエネルギーまで上げることができ、16kWの平均電力までパワーアップすることができる。
ランプ46はフィルタ48によって囲まれる。それは、ランプ46によって放出されたエネルギーからパイロメータ波長放射(後で説明する)を選択的にフィルタするためである。代替的に、水ジャケット(図示されていない)をランプの石英エンベロープの上に配置し、パイロメータ波長を選択的にフィルタしてよい。
理解すべきは、本発明が、パルス・モードで印加できる任意適切な形態のエネルギーの使用を想定していることである。1つの例として、パルス電子ビームの使用が想定される。
第1のセンサ50が、ランプ46の上でハウジング32に設けられ、アークランプ46から入射する放射(矢印52によって表される)を監視する。第2のセンサ54が、ランプ46の上でハウジング32に設けられ、基板36から反射した放射(矢印56によって表される)を監視する。第3のセンサ58が、ランプ44の下でハウジング32に設けられ、基板36によって透過された放射(矢印60によって表される)を監視する。
ランプ46の上およびランプ44の下でハウジング32に設けられたパイロメータ62および64は、それぞれ基板の前面および裏面の温度を測定するために使用される。たとえば、ウェーハの裏面はラクストロン社 (Luxtron) のリップル・パイロメータによって監視することができ、ウェーハの前面(これはフラッシュ・ランプによって照射される)は、イージー・アンド・ジー・ジャドソン社 (EG & G Judson) のヒ化インジウム・センサ、モデル番号J12TE4−3CN−RO2Mのような高速応答センサを有するパイロメータによって監視されてよい。ランプの強度は、ソール・ラボ社 (Thor Labs) のヒ化インジウムガリウム・センサ、モデル番号PDA400のようなセンサを使用して、閉ループ目的のために監視されてよい。
(パルス加熱方法)
多数の加熱源を使用する反復可能半導体ウェーハ加熱処置のためには、ウェーハ・タイプのバリエーションに関わらず、バックグラウンド加熱および前面加熱の組み合わせが、処理される全てのウェーハの全ての地点で類似の熱サイクルを使用して適用されるべきである。ウェーハ表面の反射率のバリエーションは、異なったウェーハの上で、または同一ウェーハの異なった位置で、パワー・カップリングの顕著な変化を生じる。光学特性のバリエーションは、急速熱処理中にウェーハ上で達する温度にインパクトを与える。ウェーハの前面またはデバイス側またはウェーハの裏面の過剰加熱を防止するため、加熱サイクルの全体でバックグラウンド加熱を制御することが、マルチパルス加熱方法に望まれる。
図1と組み合わせて図4を参照すると、本発明に従った1つの例示的マルチパルス加熱方法のレシピがグラフで示され、パルス処理システム30を使用して実現される。注意すべきは、例示される加熱およびパワーの様々な図面プロットは、いかなる意味でも限定を意味するものではなく、軸に関して一定の比率ではなく、読者による本発明の理解を促進すると思われる方法で提示された。基板の前面の温度は曲線66によって示される。基板の裏面の温度は曲線68によって示される。曲線68は、パルス加熱源からの印加パルスの間を除いて曲線66を追尾し、曲線68は所望の処置またはアニール温度の下の第1温度またはその近くの温度に維持される。この例示的方法に従った実際の加熱実行で考慮すべき具体的設計は、後で取り上げられる。
図4の加熱レシピを実行する場合、先ず、図1のバックグラウンド加熱源44が1秒当たり約200℃のレートで基板を加熱する。ランプ配列へのパワーは曲線70によって示される。パワーを上げ、温度を上げた後、第1の温度800℃に基板を維持するためパワーは定常状態へ低減される。この温度は、所望の最大処置温度よりも低い。
図4で示されるように、約1300℃の最大または所望の処置またはアニール温度へ基板の前面を加熱するため、パルス加熱源46からの最初のパルスが印加される。バックグラウンド・ランプ44は、パルスの印加との時間関係で制御される。このパルスは、たとえば、パルスの時点tpにおけるイニシエーションから測定された時間間隔71の中で印加される。この例では、パルス加熱源が活性化されるとき、またはその直前に、第1加熱源へのパワーが非活性化またはオフにされる。裏面の温度は、パルスからの放射エネルギーが基板を通って拡散しても、パルスの間で800℃またはその近くの温度に維持される。この一定またはほとんど一定の温度は、パルスに続く基板前面の冷却が遅延しても得られる。第1またはバックグラウンド加熱源へのパワーは、パルスの直後に元へ切り替えられ、裏面温度を所望の一定の800℃に維持することを助ける。再び、パワーは、制御された方式でパルスとの時間関係でバックグラウンド加熱源44へ再印加される。1つの修正において、バックグラウンド加熱は、パルス加熱が定常状態バックグラウンド加熱時間の終了との時間関係で実行されるように、「NS」として示される負進行ステップによって終了されてよい。
もし第2のパルスまたは一連の追加パルスが、基板の前面を処置するために印加されるならば、第1の加熱源のフィードバック制御のプロセスが反復される。図4で示されるように、第1の加熱源へのパワーは、第2のパルスの開始の直前または開始時に再び低減または非活性化される。再び、バックグラウンド加熱は、たとえば、第2のパルスのイニシエーションから測定された時間間隔71(第1のパルスについて示される)の中で制御されてよい。これは、パルス加熱モードの一部分として印加される追加パルスについても同様である。第2のパルスは基板の前面を所望の処置温度である1300℃へ加熱するが、裏面温度は、より低い初期温度(この例では800℃)またはその近くの温度に維持される。
理解すべきは、本発明の時間関係コンセプトを使用するバックグラウンド加熱の制御は、特にパルス・モード加熱との関連で、従来技術で見られる単なるサーモスタット制御よりも高度に利点があることである。定義によれば、パルス・モード加熱は、非常に短い時間増分の間に起こる非常に高いレートで供給される。本発明は、そのような環境でサーモスタット温度制御が一般的に有効でないことを認識する。即ち、パルス加熱が使用される場合、サーモスタット加熱は「事実の後」に応答する顕著な傾向を示す。たとえば、基板の1つの主面へパルス・モード・エネルギーを入力すると、対向する主面の温度に急速で顕著な増加が生じる。対向する表面の温度がバックグラウンド加熱を制御するために監視および使用される場合、そのような温度増加を防止することはできない。なぜなら、対向する表面の応答はパルスに遅れるからである。温度は、バックグラウンド加熱へのパワーが低減して上昇を続けることができる。この点に関して強調されることは、対向表面における温度の応答または増加は、温度増加を生じるパルスの後で起こることである。処置パラメータ、特に最大温度の限界を超過すると、デバイスの劣化または破壊を生じなければ、サーモスタット制御は、パルス・モード加熱を使用するとき特に問題であることが明らかであろう。
対照的に、本願で教示されるバックグラウンド加熱の時間関係制御は、この困難性を解消するのに役立つ。なぜなら、制御はパルスを予測して行われるからである。もちろん、そのような高度に有利なシステムおよび方法の実現は、平凡でも自明でもないことを認識すべきである。
或る場合には、早期パルスを印加して基板を前もって加熱し、後続のパルスが、中間温度よりも高い温度へ基板前面を加熱することが望まれてよい。したがって、たとえば、処理レシピが一定温度またはそのすぐ近くの温度に基板の裏面を維持することを要求するときにのみ、第1の加熱源へのパワーを制御するためフィードバック制御を選択的に使用してよい。
他の場合には、印加されたエネルギー・パルスからのスパイク加熱が大きすぎて、実際のパルス時間に第1加熱源へのパワーを制御することだけでは補償され得ないかも知れない。そのような場合、パルス・パラメータ(エネルギー、パルスの持続時間、パルス間の時間)は、バックグラウンド加熱と協力するように後続パルスのために調整されてよい。代替的に、バックグラウンド加熱パワーは、パルスによって生じる熱効果を予測して、パルス・エネルギーの印加との時間関係で調整されてよい。独立的または同時に、パルス・パラメータは目標処置温度を達成するように調整されてよい。1つの実現形態において、第2のパルスおよび後続パルスのパルス・パラメータは、第1表面が、目標値を顕著に超過すること、または到達できないことがないように、目標温度T2に達するように調整されてよい。ピーク温度に関する情報は、後続パルスのパラメータを確立するときに使用される少なくとも1つのフィードバック・パラメータを含んでよい。
図5から図7のグラフで示される或る実施形態では、低エネルギーの事前パルスが、パルス・エネルギー源によって放出され、基板の前面を加熱する。反射エネルギー・センサは、基板からの反射光をサンプリングし、パルス・エネルギー・センサはパルス源からの光をサンプリングする。これらのサンプリング測定は基板表面の反射率を推定するために使用される。したがって、後続のパルスは、基板表面の反射率を考慮に入れながら、基板の前面を加熱するために活性化される。
図5を参照すると、事前パルスは、事前パルス応答Pを生じ、第1の定常状態温度よりも約50℃だけ大きく基板の表面を加熱する。パルス・エネルギー72および反射エネルギー74の相対的大きさを示す曲線も図5で提供される。事前パルスのエネルギー密度は、1nJ/cmから10J/cmの範囲であってよい。アニールのためには、半導体ウェーハ(第1の温度である)のバルクは、好ましくは、400℃から950℃の範囲に維持される。他の応用では、第1の温度は室温(約25℃)から1400℃の範囲であってよい。後で更に説明されるように、本発明の事前パルス手法は、少なくとも、事前パルス(または、他のパルスに先行する任意のパルス)が、処置されている物体の後続パルスに対する予測応答を決定するために使用されてよいという理由によって、高度に利点があると考えられる。予測される応答は、単一の追加パルスまたは複数の追加パルスを使用して物体の中に目標条件を生成することに基づかせてよい。その場合、目標条件は連続した追加パルスを使用して増分的に接近される。後者の実現形態では、各々の追加パルスのパラメータは、処置物体の中に目標条件を少なくとも部分的に生成することが意図されるように、この予測方式で確立される。
図6で示される加熱レシピにおいて、事前パルスPは、第1の加熱源についてフィードバックループ・プロセス制御なしに印加される。したがって、第1の加熱源へのパワーは、事前パルスPが印加されるとき非活性化されず、基板の裏面の温度は、第1の温度(800℃)を少し超える幾分定常状態の新しい温度へ上昇する。
対照的に、図7で示される活性化レシピでは、フィードバック制御ループが第1の加熱源へのパワーを制御するように活性化され、そのパワーは、事前パルスPが基板の前面またはデバイス側を加熱するために印加されるとき、またはその前に、オフにされる。したがって、基板の裏面温度は、パルス加熱の事前パルスおよび他のパルスの印加中に第1の温度(即ち、800℃)またはその非常に近い温度に維持される。
代替的に、事前パルスPではなく、第1のパルスがマルチパルス処理レジームで基板の前面またはデバイス側を加熱したことによって得られたセンサ・データから、基板表面の反射率が推定されてよい。
図8は、定常状態加熱時間が望まれない、より厳しいサーマルバジェットに適している加熱プロフィールを示す。第1の加熱源は、半導体ウェーハのような基板を第1の温度T1(たとえば800℃)へ加熱する。図8の傾斜76は、第1の加熱源による1つの例示的な加熱プロフィールを表す。図8で示される単一上昇ステップ、または幾つかのステップ、または他の加熱プロフィールが、この実施形態で使用されてよい。可変の上昇レートが使用されてよい。基板が第1の温度T1へ達するか、それを超えたばかりの時点で、基板をその温度で充分な時間の間保持することなく、パルス・エネルギーEpを加えるためパルス加熱源が活性化され、第1の温度よりも高い第2の温度T2(たとえば、T2=1300℃)へ基板表面の前面を加熱する。スパイク78は、パルス加熱源によるパルス加熱を表す。スパイク78は、ウェーハの表面温度が800℃に達した時点で始まる。図8において、第1の加熱源およびパルス加熱源は、基板の冷却を可能にするため単一のパルスの後で非活性化される。もっとも、本願の教示の観点から、他のレジームも使用されてよいことを理解すべきである。第1の加熱源およびパルス加熱源は別々の源を含んでよいが、そのような加熱プロフィールは、単一の加熱源を使用しても達成される。1つの例として、図1のランプ46は、たとえば、マルチモード・アークランプのようなマルチモード加熱源で置換されてよい。そのような修正において、バックグラウンドおよびパルス加熱モードの双方でマルチモード源に直接対向している物体の前面または第1表面へ熱を加えることによって、加熱が達成されることを理解すべきである。他の修正として、マルチモード源は、たとえば移動可能ミラー配列(図示されていない)を使用して、物体の第2表面または裏面へバックグラウンド加熱を加えるように構成されてよい。本願は、「マルチモード」の用語が、比較的長い持続時間の間、低いバックグラウンド加熱レートを表すレートで熱を選択的に供給し、比較的短い供給時間の間、高いパルス加熱レートで熱を選択的に供給し、それによって従来技術のバックグラウンドおよびパルス加熱装置をエミュレートすることができる任意の加熱源を包含する加熱源を意味するものと想定している。
さらに図8を参照して、パルス78の印加は、到達温度T1との時間関係で実行されてよいことを理解すべきである。同時に、バックグラウンド加熱は、到達温度T1、または時点tpにおけるパルス78のイニシエーションとの時間関係、たとえば時間間隔71の中で制御されてよい。この制御は、予測的意味を含む非常に大きな柔軟性で実現されてよいことを理解すべきである。たとえば、バックグラウンド加熱はT1への到達に先だって低減または完全に終了されてよく、たとえば、バックグラウンド加熱源の時定数の結果として生じる残留出力に起因して、温度がT1へ上昇し続けるようにしてよい。したがって、パルス78の印加は、T1への到達(遅延の後を含む)に応答して実行されるか、予測的意味、たとえば、バックグラウンド加熱の低減とT1への到達との間で定義される時間の中で実行されてよい。更に他の代替では、T1に達すると、バックグラウンド加熱が低減され、定義された温度へ冷却されることに応答してパルスの発射が起こるようにされてよい。注意する価値があることとして、定常状態の時間を有しない図8の加熱プロフィールを実現することによって、処置されている物体は連続的温度変化へ曝される。
好ましくは、第1の加熱源によって供給されるパワーは、パルスの1秒前から1秒後までの時間間隔の或る時点で0から90%の間へ大きさを低減される。好ましくは、第1の加熱源へのパワーは、約50%以下、および最も好ましくは約10%以下へ大きさを低減される。もし単一の加熱源が使用されるならば、その単一の加熱源によって供給されるバックグラウンド加熱パワーは、パルスの1秒前から1秒後までの時間間隔の或る時点で、0から90%、更に好ましくは50%よりも小さい大きさ、最も好ましくは10%よりも小さい大きさへ低減される。
1つの実施形態では、T1>800℃であり、最大瞬時上昇レートは≧10℃/秒、好ましくは≧20℃/秒である。第2の実施形態では、T1>900℃であり、最大瞬時上昇レートは≧20℃/秒、好ましくは≧50℃/秒である。第3の実施形態では、T1>950℃であり、最大瞬時上昇レートは≧50℃/秒、好ましくは100℃/秒である。第4の実施形態では、T1>1000℃であり、最大瞬時上昇レートは≧75℃/秒、好ましくは150℃/秒である。
一般的に、図8で示される実施形態および本願で説明される任意のパルス加熱アプローチでは、第2の温度T2は800℃〜1450℃の範囲であってよい。パルス・エネルギーEpは、好ましくは、T2が基板の融点より下であるように選択される。代替的に、Epは基板の前面で融解された表面を作り出すように選択されてよい。エネルギー・パルスのパルス幅は、1ナノ秒から50ミリ秒の範囲であってよい。
パルス・モード加熱の関連で温度の制約および範囲を簡単に考察すると、イオン打ち込みアニールのような高温プロセスでは、プロセス温度は通常950℃よりも大きい。この温度では、ドーパントの拡散は急速で、温度での時間は最小にされなければならない。拡散の強い(指数的)温度依存性のために、時間制約は950℃よりも1000℃ではるかに大きく、許容時間対温度の「スライディングスケール」(これは「サーマルバジェット」であり、その限界はデバイス技術が進歩するにつれて低減する)が強制される。この点で、上昇加熱レートおよび冷却レートが非常に関連する。1050℃で休止時間が本質的にゼロであり、上昇および冷却レートが、たとえば約75℃/秒よりも大きい限り(これは、T>1000℃で費やされる約1.4秒のトータル時間よりも少ない合計になる)、かなり高い温度、たとえば約1050℃が最新技術のデバイスについて許容される。これは、図8で示される上昇+パルス型のアプローチおよび類似の実現形態に期待される条件の種類に対する理解を読者に与える。もちろん、次世代のデバイスについては、許される限界が減少し、したがってこれらの限界は、それに従って調整されなければならない。注意すべきこととして、実際的には、100℃/秒で950℃(1000℃ではなく)へ上昇させ、パルスを発射し、次に冷却させる(たとえば、50℃/秒よりも大きいレートで)ことが望まれるかも知れない。余分の50℃は、拡散問題に対して非常に大きい差異となり、比較的小さな温度変化である(パルスが所望のプロセス温度への温度上昇を生成するために必要な余分のエネルギーはどれくらいかに関して)。
これらの立論は、イオン打ち込みアニールの応用については比較的簡単であるが、ここで言及される他のプロセスについては、「規則」は全く異なったものとなる。
パルス・モード加熱を使用してウェーハを処理するため、或るバックグラウンド温度へ事前に加熱することが、通常、2つの理由によって望まれる。第1の理由は、それがパルスで必要なエネルギーを低減することである。第2の理由は、もしウェーハ温度が約500℃よりも小さければ、強い熱衝撃でシリコン・ウェーハを処理すると、破壊を起こす可能性が非常に高いことである。したがって、ピーク・プロセス温度が900℃よりも大きいとき、バックグラウンド温度は少なくとも500℃であろう。前述したように、バックグラウンド温度は、許容されるサーマルバジェットによって強く影響される。先進的イオン打ち込みアニール・プロセスでは、たとえば、図5で示されるように、もし「ソーク+パルス」アプローチが考慮されるならば、バックグラウンド温度は950℃よりも下であろう。低エネルギー打ち込みを使用して先進的デバイス構造を作り出すとき、拡散効果への「完全な」免疫性を得るためには、約800℃以下であることが一般的に望まれる。
この全体的説明との関連における他の有意な温度は1410℃である。なぜなら、この温度はシリコンの融点だからである。一般的に、シリコンの融解は望ましくなく、したがって大部分のシリコン応用に対して上限が強制される。しかし、将来を見ると、非常に高い温度での処理を必要とする幾つかの物質が存在する。たとえば、SiC、GaN、およびダイアモンドは、幾つかの特殊デバイスの半導体として役立つ。これらの物質の或るものは、本願での開示を使用して、1700℃以上の高温でアニールすることができる。
図8の実施形態は、後で更に説明するように、フィードバック制御の目的で事前パルス(またはテスト・パルス)によって先行されてよい。更に、パルス78は、1つまたは複数の追加パルスのパラメータを定式化するために使用される処置パルスを含んでよい。それは、更に、この後で説明する図9〜図11のプロセスと一緒に、本願に含まれる任意の図面に従って任意のマルチパルス・モードで使用されてよい。
添付の流れ図および下記の式の値は、表1で定義される。
Figure 2005527972
Figure 2005527972
ここで図9〜図11を参照すると、プロセスの流れ図は、本発明に従ったパルス加熱方法の様々な閉ループ・フィードバック制御を示す。これらの方法は、ウェーハ光学特性のin−situ推定に有用である。この推定は、ウェーハ表面を所望の処置温度T2へ上昇させるのに必要なパルス・エネルギーの正確な推定を可能にする。図9では、フィードバックは、目標または所望の処置温度に対して比較された基板前面温度の測定に基づく。図10では、フィードバックは、エネルギー・パルスが印加された後の定義された時間で比較された基板温度(いずれの表面も使用できる)の増分変化に基づく。図11では、フィードバックは、測定された基板の反射率および透過率に基づく。
図9〜図11の各々で測定されたパラメータは、モデルを介してランプ・エネルギーEpへ関連づけられる。モデル計算は、次のパルスについて必要なパルス・パラメータ(EpおよびΩ)の推定を提供する。パルス・パラメータをパルスからパルスへと操作することは、パルス処理の間にウェーハの温度上昇をフィードバックで制御するメカニズムを提供する。
多数のパルスを使用して処理が実行されるとき、エネルギー吸収によって、基板温度はパルスの間で増加する。たとえば、もし基板が第1の温度T1へ加熱され、次にエネルギー・パルスが前面へ印加されると、前面の温度は処理温度T2へ急速に増加するが、裏面は、パルスの間、T1へ近いままである。次に、前面の温度は、下方の基板への伝導冷却によって急速に降下し、基板は厚さの全体で基板温度を等しくしようとする。このプロセスにおいて、パルス加熱の間に吸収されたエネルギーによって、基板は中間温度Tmへ到達し、中間温度は放射冷却によって更に低減する。次のパルスを印加する前に、Tmを測定して、次のパルスに必要なエネルギーの改善された推定を提供することができる。
代替の配列において、パルス・パラメータは、前もってプログラムされたルックアップ・テーブルまたは経験的に決定された表面当て嵌めから推定されてよい。1つのオプションとして、一連の実験がアプリオリに(即ち、所望のウェーハ基板を加熱処置する前に)実行される。ウェーハの温度応答は、T1、Tβ、Ω、Epの異なった組み合わせについて記録される。これらの結果は、ルックアップ・テーブルへ組み込まれ、コンピュータの中に記憶される。特定の処理実行の間、T1およびΩはレシピの中にプリセットされ、Tβは測定される。次に、コンピュータはルックアップ・テーブルへアクセスし、必要なT2についてEpを検索する。もしT2の正確な値がルックアップ・テーブルで得られなければ、T2を囲む値の間で補間が実行される。このオプションは、図9〜図11で「オプション1」として示される。
代替的に、「オプション1」において、実験的に生成されたデータを、表面当て嵌めの形式で記憶することができる。この場合、当て嵌めは、次の形式を取る。
Figure 2005527972
上記の式において、RHSの全ての変数は、レシピの中のプリセット値または測定を介して知られる。したがって、Epは関数関係から計算可能である。このアプローチは、図9〜図11で示されたフローチャートの方法の全てに応用可能である。
(パルスの間に上面で測定された基板(ウェーハ)温度)
次に図9を参照すると、フィードバック制御は、目標または所望の処置温度に対して比較された前面温度に基づく。80で、ウェーハが処理室へ取り入れられた後、加熱のための入力パラメータがステップ81で識別される。裏面加熱温度T1および前面加熱温度T2は、前もって決定された値である。事前パルス・エネルギーEprおよびパルス幅ωも、所望の加熱レシピに従って、前もって決定された値である。82で、ウェーハは第1の温度T1へ事前に加熱される。T1に達すると、84で事前パルスが事前パルス・エネルギーEprに従って印加される。事前パルスの結果として、ウェーハの前面のピーク温度上昇Tαが、86で放射高温測定手法を介して決定され、基板の温度応答として考えられてよい。事前パルスに応答して得られたTα温度の知識および事前パルス・パラメータは、ウェーハの吸収率αを決定するために使用される。後続パルスのために、ステップ87で、パルス・エネルギーがルックアップ・テーブルまたは曲線当て嵌めから決定され(「オプション1」)、またはステップ88で、T1、Tα、T2の関数として計算される(「オプション2」)。
もし放射損がパルスの間に無視されるならば、加熱レートは次式によって与えられるパワーへ関連づけることができる。
Figure 2005527972
ここで、γはパルス幅に対応する熱拡散の厚さである。事前パルスについては、γ=γprであり、パルス加熱については、γ=γpである。これらは次式によって与えられる。
Figure 2005527972
ψはフラッシュ・ランプの光変換効率である。事前パルスが印加されるときψ=ψprであり、パルス加熱については、ψ=ψpである。ψprおよびψpはフラッシュ・ランプの特性であり、アプリオリに決定され、処理で使用するために記憶される。
ウェーハ上面の温度上昇は、パワー密度Ppr(エネルギーEpr)の事前パルスの間に測定される。これは次式を生じる。
Figure 2005527972
上記の式から、吸収率αを決定することができる。
Figure 2005527972
ここで、
Figure 2005527972
もしウェーハの吸収率が一定であれば、所与の温度上昇(T2−Tm)に必要なパルス・エネルギーは、次のように推定される。
Figure 2005527972
ここで、
Figure 2005527972
決定または計算された値を使用して、90でパルス・エネルギーがフラッシュ・ランプへ放出され、ランプはウェーハの前面を加熱するパルスを放出する。このパルスに続いて、92でウェーハの前面の温度が放射高温測定手法を介して決定される。ウェーハの吸収率は、表面温度の測定を使用して再計算される。もし次のパルスが印加されるのであれば、システムは、T1、Tα、T2の関数として次のパルスのパルス・エネルギーを88で計算するためループを戻る。一度、所望の加熱処理が完了すると、96でウェーハは処理室から取り出されてよい。本質的に、この手法は、誘導された温度上昇に依存する。処理を受けている基板または他の物体の応答は、温度の増加としてセンスされる。このセンスされた温度増加は、たとえば、処置されている物体の後続の処理で使用されるパルス・パラメータのような処置パラメータを確立する基礎を形成する。吸収率のような処置物体の特性は、この高度に有利な手順の間に容易に決定される。
(パルスの「Sp」秒の後で上面または下面で測定されたウェーハ温度)
ここで図10を参照すると、このフィードバック制御方法は、パルス・エネルギーの吸収の結果としてのバルク・ウェーハ温度上昇の測定に依存する。このために、温度上昇は、特にウェーハ上面または下面でウェーハ温度を測定することによって決定することができる。この方法のステップが図9の方法のステップと同じである限り、同様の参照番号が使用された。
この例におけるフィードバックは、パルスの前に測定された温度と、エネルギー・パルスが印加された後の定義された時間で決定されたパルス後の温度とを比較することによって決定されるウェーハ温度の増分変化に基づく。80でウェーハが処理室の中へ取り入れられた後、加熱の処置パラメータが識別される。裏面の加熱温度T1、目標の前面加熱温度T2、事前パルスのエネルギーEpr、事前パルスのパルス幅ω、サンプリング時間Spが定義される。82でウェーハは第1の温度T1へ事前に加熱される。84で、事前パルスは既知の事前パルス・エネルギーEprおよびパルス幅ωで印加される。100で、(前面または裏面の)ウェーハ温度の上昇Tλは、事前パルスの後の或る時間(Sp秒)で放射高温測定手法によって測定される。事前パルスのパラメータおよびTλを使用して、ウェーハの吸収率が計算される。パルス・エネルギーは、後続パルスのために、101でルックアップ・テーブルまたは曲線当て嵌めから決定されるか(「オプション1」)、102でT1、Tα、T2の関数として計算される(「オプション2」)。
もし放射損がパルスの間に無視されるならば、ウェーハによってパルスから吸収されたトータルのエネルギーは、次式によってウェーハの加熱へ関連づけることができる。
Figure 2005527972
上記の式のRHS(右辺)におけるウェーハ吸収率αは、エネルギーEprを有する事前パルスを印加することによって決定される。
Figure 2005527972
もし熱物理特性が、パルス幅の水準のタイムスケールで有意に変化しないならば、必要な温度上昇を生成するためのパルス・エネルギーは、
Figure 2005527972
ここで、
Figure 2005527972
決定または計算された値を使用して、104でパルス・エネルギーEpはフラッシュ・ランプへ露出され、ランプはパルスを放出して、ウェーハの前面を加熱する。106で(前面または裏面の)ウェーハ温度がパルスの後の時間Sp秒で放射高温測定手法を介して決定され、ウェーハの吸収率が再計算される。もし後続パルスが印加されるのであれば、図10で示されるように、必要なパルス・エネルギーはルックアップ・テーブルまたは曲線当て嵌め(「オプション1」)またはモデル(「オプション2」)から再計算される。一度、加熱処理が完了すると、96でウェーハは処理室から取り出されてよい。図9に関して説明したばかりの手順と同じく、この手順は誘導された温度上昇に依存する。処理を受けている基板または他の物体の応答は、温度増加としてセンスされるが、パルスの間ではなくパルスの印加後の或る時点である。再び、このセンスされた温度増加は、たとえば、処置されている物体の後続処理で使用されるパルス・パラメータのような処置パラメータを確立する基礎を形成する。更に、吸収率を含む処置物体の特性は、この実行の間に容易に決定される。
温度応答がいつセンスされるかに関係なく、重要な注意点は、少なくとも、誘導された温度上昇が、後続パルスの印加に影響を及ぼす基板の任意数の物理特性に応答するという理由から、誘導された温度上昇は高度に有利であると考えられることである。これらの物理特性は、反射率、吸収率、比熱、熱伝導率、物質の密度および構造(たとえば、多層構造は光および熱のインパクトを有する)を含むが、これらに限定されない。したがって、当業者は、そのような物理特性が、可能な温度応答影響条件のサブセットを含むにすぎない光学特性に限定されないことを理解するであろう。更に、これらの条件の任意の組み合わせは、どの物理特性が温度応答のどの部分を生成するかを識別する必要なしに、高度に有利な集合的応答を生成するであろう。本質的に、事前パルスまたは任意適切なパルスは、後続の処置の経験的基礎を生成するために使用される。
この時点で、事前パルス(または任意適切なパルス)の使用は、加熱装置に関して特別の利点を伴うことを注意しておくことが適切である。具体的には、事前パルスを印加するためには、処置パルスと同じ加熱装置が使用されてよい。このようにして、診断的な事前パルスと処理/処置パルスとでは、たとえば加熱配列のランプとウェーハとの間の幾何学的関係は、同一ではないにしても、非常に類似している。たとえば、吸収率を推定するとき、ウェーハにおける加熱放射の入射角分布のような幾何学的要因が重要である。本発明によって教示されるように、幾何学配列を一定に保持することは、余分な特性化および外挿ステップを導入することなく必要なパルス・エネルギーの予測が正確になるので高度に有利である。
(測定された反射率および透過率)
次に図11を参照すると、フィードバックは、エネルギー・パルスを印加する間に測定されたウェーハ反射率rおよび透過率τに基づく。80でウェーハが処理室へ取り入れられた後、加熱の処置パラメータが識別される。裏面加熱温度T1、目標前面加熱温度T2、事前パルス・エネルギーEpr、パルス幅ω、および他のパラメータが定義される。82でウェーハは第1または温度T1へ事前に加熱される。84で事前パルスが既知の事前パルス・エネルギーEprおよびパルス幅ωで印加される。110でウェーハの反射率および透過率が事前パルスの間にセンサによって測定される。注意すべきは、このステップが、後続の処置の基礎として役立つ任意の光学測定の使用を想定していることである。パルス・エネルギーは、後続パルスのために、111でルックアップ・テーブルまたは曲線当て嵌めから決定されるか(「オプション1」)、112でT1およびT2の関数として計算される(「オプション2」)。
もし放射損がパルスの間で無視されるならば、加熱レートは次式によって与えられるパワーへ関連づけることができる。
Figure 2005527972
ここで、恒等式α=(1−r−τ)が使用される。ここで、γはパルス幅に対応する拡散の厚さである。事前パルスについては、γ=γprであり、パルス加熱については、γ=γpである。これらは次式によって与えられる。
Figure 2005527972
ψはフラッシュ・ランプの光変換効率である。事前パルスが印加されるときψ=ψprであり、パルス加熱についてはψ=ψpである。ψprおよびψpは、フラッシュ・ランプの特性であり、アプリオリに決定されて、処理で使用するために記憶される。
パワー密度Ppr(エネルギーEpr)の事前パルスがウェーハへ印加され、事前パルスの間に、ウェーハの反射率および透過率が測定される。これらの値は後続の使用のために記憶される。後続のエネルギー・パルスが印加されたとき、ウェーハにおけるエネルギー平衡は次式を生じる。
Figure 2005527972
もしウェーハの反射率および透過率が一定であれば、所与の温度上昇のために必要なパルス・エネルギー(T2−Tm)は、次式のように推定される。
Figure 2005527972
ここで、
Figure 2005527972
パルス・エネルギーの決定または計算された値を使用して、114でパルス・エネルギーがフラッシュ・ランプへ放出され、ランプはウェーハの前面を加熱するパルスを放出する。116でウェーハの前面のピーク温度Tβがパルスの間に放射高温測定手法を介して決定される。ウェーハの反射率および透過率が再び測定される。もし更なるパルスが印加されるのであれば、パルス・エネルギーが再び決定または計算される。一度、処理が完了すると、96でウェーハは処理室から取り出されてよい。
多数パルス処理の場合、各々のパルスの前に図9〜図11の方法のフィードバック制御でこれらの計算を実行することは、処理の過程で生じるウェーハ特性の変化が、パルス・エネルギーの計算で自動的に補償されることを確実にする。理解すべきは、図9〜図11で示される方法および個々のステップが、特に一連のパルスを使用する処置に関連して、任意適切な様式に再配列されてよいことである。この関連において、理解すべきは、続いて印加されるパルスのパルス・パラメータが、処置物体の複数の物理特性に基づいて決定されてよいことである。たとえば、一連の処置パルスが印加される異なった点では、異なったパラメータが異なった重要性を有するかも知れない。更に、様々なパラメータの重要性の優先順位は、処理が進行するにつれて変化してよい。更に、或る物理特性の最終値が重要であるかも知れない。この場合、そのようなパラメータは、異なった物理特性の決定に関連するときでも、追加パルスの全体のセットを介して追尾することができる。たとえば、温度上昇は反射率の監視の関連で使用されてよい。この点に関して、特定のパラメータが処置の完了時に或る目標値を有することが望まれる場合、全体的処理スキームの中で比較的早くその値を追尾することが望ましいかも知れない。その特定のパラメータは、他のパラメータ表示と一緒に、または他のパラメータ表示があっても、処理を終了する表示として役立つかも知れない。同様に、異なった物理パラメータが交互に依存されるか、1つのパラメータで指定された目標値への到達が、異なったパラメータの監視または依存を引き起こしてよい。この点に関して、理解すべきは、無限の範囲の可能な構成が想定され、これらの全ては本発明の範囲の中にあると考えられることである。
これまでの説明は、当業者が、本発明を、その様々な特徴を含ませて利用できるように行われたが、理解すべきは、これらの特徴が、ほとんど無限の様式で組み合わせられてよいことである。したがって、この時点で、これまで教示された幾つかのコンセプトの使用を例示する多数の代替の加熱プロフィールを説明する。それは、これらのコンセプト、およびそれらコンセプトが使用される多様な方式を更に完全に理解させるためである。
図12を参照すると、本発明に従って実行される第1の代替加熱プロフィールが、全般的に参照番号200によって示される。プロフィール200は、基板の第1表面温度を示し、図面の左の垂直温度目盛りに対してプロットされており、図8に関して説明した加熱プロフィールと類似するが、幾つかの相違を詳細に説明する。図8のプロフィールと同じように、加熱プロフィール200は、加熱スパイク204によって終了される上昇部分202を含む。加熱スパイク204は、基板の第1表面をエネルギー・パルスへ露出させた結果である。理解すべきは、この加熱プロフィールは(ここで説明する全ての加熱プロフィールと同じく)、別々になったバックグラウンド加熱源およびパルス加熱源、または代替的に、パルスおよびバックグラウンドの2つの加熱モードで動作することのできるマルチモード源を含む任意適切な加熱配列によって適用されてよい。しかし、説明を明瞭にする目的で、この例は、別々になったバックグラウンド加熱配列およびパルス加熱配列の使用を考慮する。したがって、バックグラウンド加熱プロット206は、図面の右で任意の単位を使用する垂直ヒータ・パワー目盛りに対してプロットされ、上昇部分202を生成するためにバックグラウンド加熱源へ適用される。バックグラウンド加熱は、たとえばtpの時間間隔71の中でスパイク204を生成するパルスの印加との時間関係で制御される。この例において、バックグラウンド加熱は、スパイク204を生成するパルスの印加と一緒に終了する。その後で、基板は冷却される。理解すべきは、この全体の開示において、パルスのイニシエーションがバックグラウンド加熱との時間関係で実行されることを等しく考慮してよいことである。即ち、T1への到達事象(バックグラウンド加熱の直接の結果として)、またはその予測は、パルス加熱をイニシエートしてバックグラウンド加熱を低減または終了するために使用されてよい。
図12の参照を続けて、プロフィール200は、更に、事前パルス・スパイク208を生成するように、上昇部分202の間にパルス加熱配列によって第1表面へ事前パルスを印加した結果を示す。この例において、事前パルスは、事前パルスを受け取る基板の処置を達成する、または少なくとも部分的に達成するのではなく、測定目的のために印加される。少し異なったように言い換えると、事前パルスは、処理の完了時に基板の所望または目標条件に関して無視できる結果を生成するように印加される。しかし、これから説明するように、これは要件ではない。更に注意すべきは、事前パルスによって生成された温度Tppは、現在、事前パルスの位置に起因してT1よりも低い。バックグラウンド加熱は、本発明に従って、事前パルスの印加との時間関係で高度に有利に制御される。この例では、バックグラウンド・パワーは、事前パルス加熱スパイク208のミラー像とほぼ類似するように事前パルス加熱の開始時に負のスパイク210として低減され、事前パルス加熱スパイクが生じなかったかのように、加熱サイクルの上昇部分が事前パルス加熱スパイクの完了時に進行できるように補償する。更に、注意すべき重要なことは、負のスパイク210は、バックグラウンド加熱を完全にオフにすることを含んで任意適切な量だけバックグラウンド加熱を低減してよいことである。しかし、この例では、加熱プロフィール200で見られる所望の応答を達成するのに充分な約3分の1だけバックグラウンド加熱を低減する。
図13を参照すると、本発明に従って実行される第2の代替加熱プロフィールが概略的に参照番号220によって示される。プロフィール220は、再び、図面の左の温度目盛りに対してプロットされた基板の第1表面の温度を示す。図12のプロフィールと同じように、上昇部分202が存在し、それは加熱スパイク204によって終了する。しかし、この場合、中間安定時間222が上昇時間へ挿入される。中間安定時間の間に、基板温度は、選択された中間温度Tintで安定することを許される。この例では、中間温度は約650℃として選択される。基板温度が安定すると、安定時間の選択された時点で、事前パルス加熱スパイク208を生成するように事前パルスが印加される。
さらに図13を参照すると、図面の右にある任意のヒータ・パワー目盛りに対してプロットされたバックグラウンド加熱プロフィール226が示される。このプロフィールは、事前パルスおよび後続の処置パルスの印加と協力する。再び、バックグラウンド加熱は、本発明に従って、事前パルスの印加と高度に有利な時間関係で制御される。この例において、バックグラウンド・パワーは、事前パルス加熱スパイク208のミラー像と少なくとも概略的に類似するように事前パルス加熱の開始時に負のスパイク228として低減され、少なくとも基板の第2表面に関して温度安定時間で熱安定を維持する。次に、温度安定時間の終了と一緒に上昇加熱が再開される。理解すべきは、図12および図13の事前パルスのコンセプトが、そのようなバックグラウンド加熱パワーの操作がないときでも有用であることである。
図14は、本発明に従って実行される第3の代替加熱プロフィールを示す。このプロフィールは、全般的に参照番号230で示され、単一のマルチモード加熱源を使用して実行され、図面の左に現れる温度目盛りに対してプロットされている。この場合、処理は、処置を受けているウェーハまたは物体に必要な温度・時間サイクルを生成するように、加熱源から放出されたパワーを変調することによって実行される。加熱源によって供給された放射パワーは入射パワー・プロットによって示される。入射パワー・プロットは、参照番号232によって示され、図面の右に現れるヒータ・パワー目盛りに対してプロットされる。注意すべきは、このプロットは、本願の全ての加熱源プロットと同じく、ウェーハに入射する放射エネルギーを表すことである。したがって、実際の入力パワー・レベルは、使用される特定の源の応答特性を説明するように調整されなければならない。注意すべきこととして、ヒータ・パワーはバックグラウンド・モードおよびパルス・エネルギー・モードからの入力の組み合わせとして示されるが、この組み合わせは、別々になったバックグラウンド源およびパルス・エネルギー源が使用されるときと本質的に同じに現れる。温度プロフィール230の上昇時間234において、入射パワー・プロット232で分かるように、ヒータによって供給されるパワーは、ウェーハを温度T1へ加熱するように、本質的に等温様式でP1へ増加される。ウェーハは、定常状態時間236で温度T1に維持されるが、ウェーハ表面から失われる熱と平衡するには、P2で示される低減パワー・レベルで十分である。定常状態時間236の間に、マルチモード加熱源によって事前パルス238が印加される。事前パルス238が印加されると、基板は、第1表面の温度を温度T2として取る加熱プロフィール230の中で、事前パルス温度スパイク240の形式で温度応答を示す。この追加の加熱が消散するにつれて、基板の第1表面は再びT1へ冷却される。
加熱レシピの前もって決定された時点で、追加エネルギーの処置パルス242がヒータへ供給され、ヒータによって放出されたパワーを短い時間の間P3へ押し上げる。それによってウェーハは急速に加熱され、ウェーハの表面温度はT3へ上げられる。このパルスに続いて、ヒータへのパワーはレベルP4へ低減され、ウェーハの冷却を可能にする。パワー・パルス242のパルス・パラメータは、たとえば、事前パルス温度スパイク240における基板の応答に基づいて決定される。理解すべき重要なこととして、マルチモード源は、別々になったバックグラウンド加熱源およびパルス加熱源を使用して得られる任意の作用を本質的にエミュレートすることができる。更に、処置は、本願の任意の図面によって例示されるように、任意適切な方式で継続することができる。
図12〜図14を全般的に参照すると、事前パルスおよび処置/パワー・パルスは、無限の方式で印加されてよいことが分かる。これらの方式の全ては、本願の全体的な開示の観点から、また後ですぐ説明するように、添付の請求項の範囲の中にあるものと考えられる。
図15は、図12で図示および説明された加熱プロフィール200の全ての特徴および利点を共有する加熱プロフィール250を示す。プロフィール250は、多数の上昇加熱レートを示す上昇時間254を生成して更なる処理制御を提供するバックグラウンド加熱プロフィール252を含む点で、更なる利点が看取される。
図12および図15の加熱プロフィールと同じく、図16の加熱プロフィール260は、処置パルスによって後続される事前パルスを含み、したがって類似の利点を提供する。しかし、図16の実現形態は、バックグラウンド加熱パワー時間262が、ウェーハのT1への到達に応答する低減パワー階段264を含む理由によって異なる。T1は定常状態時間266をイニシエートする。処置パルスは、処置スパイク204を生成するように、T1へ達してから指定された時間270内に印加される。
前述したように、事前パルスは測定目的のためだけに印加されてよい。代替的に、事前パルスは、測定目的に使用されることに加えて、処置物体に所望の処置結果を部分的に生じさせるように印加されてよい。この点に関して、事前パルスのコンセプトは、基板または他の処置物体へ印加される一連のパルスに関連して高度に柔軟性を有することを理解すべきである。たとえば、一連の処置パルスの最初のパルスは、その最初のパルスによって誘導される温度上昇を測定する効力によって、事前パルスとして使用されてよい。したがって、一連のパルスの中の1つまたは複数の後続パルスのパルス・パラメータは、その誘導された温度上昇を勘案して調整されてよい。
ここで図17を参照すると、一連の追加パルスによって後続される事前パルスによって生成された加熱プロフィール280が示される。結果の処置加熱スパイクは、参照番号204a〜204cによって示される。一定勾配の上昇時間202は、基板が温度T1に達する時点でP1として示されるレベルへ、バックグラウンド加熱パワーを増加することによって生成される。事前パルス加熱スパイク282は、定常状態時間の間にT1への到達に応答して生成され、それによって基板温度は、一連の追加パルスに先立って瞬間的にT2へ増加される。次に、最初の追加パルス204aが、事前パルスに続いて温度T1への基板の戻りとの時間関係で印加される。その後で、パルス204bおよび204cがパルス204aに続いて等しい時間増分で印加されるが、これは要件ではない。これらのパルスを分離する増分は、少なくとも部分的に、基板が温度T1へ戻ることを許すように決定される。バックグラウンド加熱プロフィール284は、事前パルスおよび後続処置パルスの印加との時間関係でバックグラウンド加熱を制御するために使用される。
バックグラウンド加熱プロフィール284は、負進行パルス286を含む。パルス286は、事前パルスとの時間関係で印加され、P3として示されるレベルへバックグラウンド加熱パワーを低減する。更に、各々の処置パルス204a〜204cに応答して、負進行パルス288がバックグラウンド加熱プロフィールで与えられる。理解すべきは、処置パルス204a〜204cの各々が、前述した教示に従って、たとえば、基板の予測された応答に基づいて印加されてよいことである。更に、追加パルスは、多数の異なった方式で、基板の中に目標条件を生成するように構成されてよい。即ち、事前パルスを含む各々のパルスは、同じ程度または異なった程度で少なくとも部分的に目標条件を生成してよい。更に、前述したように、追加パルスのパルス・パラメータはパルスからパルスへと変化してよいことを理解することが重要である。どのような一連のパルスについても、任意適切な物理特性を監視するため測定が追加パルスの間で実行されてよい。その場合、異なったパラメータは、一連の追加パルスの間の異なった時点で監視されてよい。たとえば、パルス・パラメータは、パルス204aの印加に続いて、基板の温度応答ではなく光学特性の測定によって決定されてよい。この特徴は、一連のパルスの最後のパルスに続いて、システムが光学特性の或る目標値に基づいて追加パルスをイニシエートする場合、特に有用である。更に前述したように、光学特性は、温度応答の監視と平行して監視されてよい。強調したいことは、非常に大きな柔軟性が、開示された特徴によって提供されることである。
図18を参照すると、一連の追加処置パルス204a〜204eが、図17のプロフィール280の利点を共有する加熱プロフィール300の一部分を形成する他の実現形態が示される。この例において、前述した図13のバックグラウンド加熱プロフィール226が利用される。一連の処置パルスは、基板がT1へ達したことに応答して適切な方式でイニシエートされる。しかし、この例において、バックグラウンド加熱は、パルス204aを使用して一連の追加パルスをイニシエートする時間との関係で終了される。続いて、パルス204b〜204cの各々が、第1表面が温度T1へ戻ったとき第1表面へ印加される。再び、一連の追加パルスは、基板をその目標条件へ協力して変換するように構成され、基板の特性は、本願の教示と一致した任意適切な方式で監視されてよい。更に、追加パルスは、一連のパルスの間でバックグラウンド加熱の必要性を除く頻度で反復される。
加熱プロフィールの実現形態は、これまで単一の事前パルスの使用を例示したが、各々の基板を処置するときに利用される事前パルスの数に制限はない。更に、前述したように、どのようなパルスも、2つの機能、即ち(1)パルスに続いて温度応答の測定を実行するための事前パルス機能、(2)処置パルス機能を果たしてよい。
図19は、一連の処置パルスの各々の1つに先だって事前パルスを利用する加熱プロフィール320を示す。プロフィール320は、最初の処置パルス204aの完了までは、図17のプロフィール280と同じである。しかし、その後で、測定目的のために、事前パルス282bおよび282cが、それぞれ処置パルス204bおよび204cの前に挿入される。この構成は、基板の中の目標条件を正確に追尾する。本発明によれば、バックグラウンド加熱プロフィール322は、挿入された一連の事前パルスおよびパルスとの時間関係で制御され、事前パルス加熱スパイク282a〜282cに関連づけられた負の事前パルス・スパイク286a〜286c、および処置パルス加熱スパイク204a〜204cに関連づけられた負の加熱スパイク288a〜288cを有する。
ここで図20を参照すると、間欠的に挿入された事前パルスを利用する加熱プロフィール340が示される。バックグラウンド・パワー加熱プロフィール342は、パルス加熱と協力してプロフィール340を生成する。プロフィール340は、連続した事前パルスの間に一連のパルスが存在することを除いて図19のプロフィール320と同じであり、バックグラウンド加熱プロフィール342は、図19のバックグラウンド加熱プロフィール322と類似している。したがって、プロフィール340および342の同じ特徴の詳細な説明は、簡明にするため反復されない。連続した事前パルスの間で一連の処置パルスを使用することに関して注意すべきは、一連のパルスの使用に関する本願の教示の全ては、図20に関連して等しく応用できることである。
注意すべきは、一連のパルスは、図面では同一に見えるパルスを含むように示されたが、これは要件ではなく、個々のパルスのパラメータは、処置目的を達成するため任意適切な方法で調整されてよいことを理解すべきである。
本発明は、パルス・エネルギー源の代替として走査エネルギー源の使用を想定する。即ち、エネルギー・パルスは、たとえばレーザ・ビームを使用してウェーハ表面の上でエネルギー・ビームを走査することによって、シーケンシャル方式でウェーハ上の各々のロケーションへ供給されてよい。エネルギー・ビームは、それ自身パルス形式である必要はなく、もし所望されるならば、持続波(CW)源を使用することができる。この走査モードにおいて、有効パルス持続時間は、走査速度によって除算されたエネルギーのサイズに関連すると考えてよい。エネルギー・ビームは、たとえばラスタスキャンによって、ウェーハの全領域をカバーするパターンで表面を走査することができる。もし所望されるならば、幾つかの走査をオーバーラップさせて、処理の均一性を改善するか、任意の1つのロケーションで処理時間を延長することができる(後者は、多数のパルスを印加することに等しい)。有用な他のアプローチは、エネルギー源を線の形状に形成し、ウェーハを横切って線の形状を掃引することである。もし線の形状がウェーハの直径よりも短い長さを含むならば、ウェーハの全体をカバーするように多数の掃引を使用することができる。もちろん、ウェーハ上の選択されたロケーションで多数の掃引を実行して、有効処理時間を所望の値へ増加することができる。ウェーハの直径と少なくともマッチするエネルギー・ビームが有利である。なぜなら、ビームは、1つのパスでウェーハ全体を横切って掃引することができ、少なくと可能性として処理時間を最小にするからである。この走査アプローチに関連して、本発明は、走査モードでの使用に適合可能なエネルギーの任意の形態または源の使用を想定していることを理解することが重要である。たとえば、アークランプからのエネルギーは、所望の線または点の形状へ形成されてよい。更に、電子ビームおよびマイクロ波(たとえばジァイロトロン)ビームは、他の適切なエネルギー形態として使用できる。
走査ビームのアプローチから生じる1つの利点は、ビーム・サイズを小さくすることによって、ウェーハの表面に非常に高い温度上昇が生成され、非常に大きなエネルギー・パルスを供給する必要がない事実にある。パルス・エネルギーがウェーハの全体へ同時に供給される場合と比較して、ウェーハの全体を処理する処理時間は増加するが、エネルギーを供給するハードウェアは小さくなり費用効果的になる。
注意すべきこととして、走査処理モードは、バックグラウンド加熱と有用に組み合わせることができる。そのようなバックグラウンド加熱は、必要なパワーを更に低減するように働き、走査エネルギー源によって引き起こされる熱応力を低減するように働く。熱応力の低減は、他方では、ウェーハの破壊または過剰応力からの欠陥導入の可能性を低減する。たとえば、ウェーハの全体をエネルギーの線で掃引することによって、図8で紹介されて他の様々な図面で見ることのできるバックグラウンド加熱熱スパイクが走査モードで使用されてよい。そのような実現形態は特に魅力がある。なぜなら、この場合、処理時間を最小にすることができ、サーマルバジェットが低くなり、ウェーハのスループットが高くなる利点が得られるからである。選択された温度へウェーハが達したときエネルギー掃引を実行する加熱サイクルを設計することができ、走査掃引とバックグラウンド加熱とを時間関係で制御するコンセプトが、ここで有用となる。しかし、掃引は、通常、パルス加熱モードで考えられるミリ秒持続パルスよりも長い時間を取るので、ウェーハ温度は、走査持続時間に対応する時間間隔、たとえば、エネルギー・ビームがウェーハ表面を走査する間に少なくとも0.5秒の時間間隔に対応して、固定された温度に止まるかも知れない。
これまでの説明で教示されたように、事前パルスの高度に有利な使用は、走査モード実現形態の領域で更なる応用を享受する。たとえば、エネルギー源は処置物体の表面を走査することができ、その効果はパルス加熱モードについて前に考慮された幾つかの方法の1つによって監視される。適用された処理エネルギーと同じパワー・レベル、ビーム・サイズ、および走査速度を使用して事前パルスを実現することができ、これらのパラメータの任意のものを事前パルスのために変更して、たとえば、事前パルスがウェーハを処理することなく単なる測定目的に使用されるように保証することができる。
1つの事前パルス走査モード実現形態において、ビームがウェーハに衝突する
表面で走査ビームによって誘導された温度上昇をセンスするため、光学センサが使用される。
代替的に、掃引が表面の上で実行され、続いてウェーハで取得された(即ち、掃引が完了した後の)温度が測定されてよい。このタイプの測定は、前面または裏面で実行されることができる。しかし、この場合、エネルギーを供給するために取られる時間は、パルスがウェーハの表面全体へ同時に供給されるパルス加熱モードよりもかなり長いこと、およびエネルギーは必ずしも空間的に同質な様式で供給されないことを認識することが重要である。所与の時点では、ビームの比較的小さなサイズ(ウェーハ・サイズに対して)と組み合わせたビーム走査アクションの結果として、ウェーハ表面に大きな側面温度勾配が存在するであろう。この心配を解決する1つの方法は、事前パルスの間の走査速度を増加することである。これは2つの有用な目的に役立つ。第1に、それは1つのロケーションへ供給されるエネルギーを低くし、その結果、各々のロケーションの温度上昇は低くなる。それと両立して、事前パルスは、望ましくない変化をウェーハの状態に生成しない。第2に、走査速度の増加は、より短い時間でエネルギーが走査領域の全体へ供給されることを意味する。したがって、そのエネルギーが走査の間にウェーハ表面から失われる(たとえば、放射によって)時間が少なくなり、その結果、走査終了時のウェーハの温度上昇の測定は、走査の間に供給されるエネルギーと密接にリンクし、それによってパワー・カップリングの推定が正確になり、所望の結果を取得するために必要な処理条件の予測が信頼性のあるものになる。
走査処理モードで事前パルスのコンセプトを使用する第3の方法は、ウェーハ表面をエネルギー・ビームで走査し、走査の間の反射および/または透過された放射をセンスすることである。反射および透過エネルギーの測定を使用して、どれくらいのエネルギーがウェーハへ吸収されたかを推定し、それに従って処理条件を調整することができる。
エネルギー・ビームのパワー、走査速度、ビームのサイズまたは形状のような処置パラメータを調整するため、前記のアプローチの任意のものを使用することができる。バックグラウンド加熱も調整可能である。
走査処理モードにおいて、更に複雑な補正が実行されてよい。その場合、処置パラメータはウェーハ上の走査エネルギー源の位置に関して調整される。この実現形態は、ウェーハがパターン化され、ウェーハの異なった部分が異なった物理特性を有する場合に有用である。たとえば、もし赤外線カメラのようなセンサが、処理の間のウェーハ表面を観察するために使用されるならば、その観察の結果は、事前パルス走査の間に加熱ビームによって誘導された温度上昇の空間分布を推定するために使用されてよい。誘導された温度上昇のマップを形成することによって、アプリオリの補正を処理条件へ適用し、より均一な温度上昇をウェーハの全体に生成することができる。もちろん、そのようなシステムを処理自身の間に使用して、リアルタイムのフィードバックをエネルギー源へ提供することができる。もっとも、所望の結果を保証するため、制御問題によって厳密な監視が要求されるであろう。
ウェーハからの反射または透過光を観察するカメラを使用することによって、処理条件を空間的に制御する類似のアプローチを適用することができる。この場合、所望の情報は、文字通り同じエネルギー源ではなくても処理エネルギー源とスペクトル的に類似したエネルギーでウェーハを照射することによって取得されることが想定される。たとえば、処理の前にウェーハを照射するため、低いパワーの光源を使用することできる。しかし、処理ビーム自身によって反射または透過されたエネルギーをセンスすることに幾つかの利点が存在する。たとえば、幾何学的照明条件は処理モードで使用される条件と同じであり、情報は実際の条件を良好に表している。再び、事前パルスのアプローチは、ウェーハを過剰な処理へ露出することなく必要な情報を収集できる点で有用である。
本発明は、所望されない拡散効果を除去するのに十分短いタイムスケールでイオン打ち込み損傷をアニールし、同時に非常に高い温度の使用を許容して欠陥を除去し、ドーパントを活性化する点で、高度に有利であると考えられる。高温アニールの極めて短い持続時間と組み合わせられた非常に高い加熱レートおよび冷却レートは、イオン打ち込みのアニールを最適化する新しいレジームへのアクセスを可能にすることを理解すべきである。この点に関して、本発明の幾つかの例示的態様は魅力的である。
(a)過渡的増速拡散(TED)の除去: 1つの魅力的な応用は、通常のRTPの間にTEDによって影響される打ち込みのアニールに存在する。このアニールは、最も激しい「スパイク・アニール」を含む。TEDの効果を最小にするためには、超高加熱レートを使用できること、パルス加熱レジームが、加熱および冷却レートに必要な要件、およびTEDに責任がある欠陥を除去するために必要な極めて高いピーク温度の供給に必要な要件を満足させることが暗示されている。
(b)ドーパント活性化の最大化およびドーパント拡散の最小化: デバイスをスケールダウンする場合の大きな問題の1つは、十分に高い電気活性を有する浅い接合の作成である。スパイク・アニールRTPを含む従来の大部分の処理は、1020/cmよりもずっと大きい電気キャリア濃度を生成することが困難である。打ち込まれたドーパントの濃度は、はるかに高くなる。この限界は、MOSデバイスのソース領域とドレイン領域との間で望ましくない高い抵抗を生じる。この限界は、アニール温度におけるドーパントの固溶度限界にリンクするものと思われる。パルス・アニール方法を適用し、従来のRTPで実用されるピーク温度よりも有意に高いピーク温度を生成するアニールを使用することによって、より高いドーパント活性化を達成することが可能である。その場合、ドーパントの個溶度は有意に大きくなる。たとえば、過剰なドーパント拡散、表面損傷、およびスリップのような応力関連の欠陥を導入することなく、1150℃よりも高い温度でウェーハを等温モードでアニールすることは非常に困難であろう。しかし、これらの温度へ10ミリ秒より少なく露出することは、これらの望ましくない副作用を生じる可能性がなく、同時にドーパントの活性化を起こすことができる。特に、打ち込みエネルギーが非常に低いために、TEDが拡散の決定に有意の要因とならない場合、ドーパントの活性化および損傷のアニールを所望の程度に達成することのできる最短可能加熱サイクルを使用することによって、接合の最小の深さを達成することができる。これは、最高可能温度、最短加熱および冷却時間、およびピーク温度での最小休止時間の使用を暗示する。パルス加熱は、これらの要件の全てを満足させる。なぜなら、加熱時間は非常に短いからである。ウェーハ表面へ供給されるエネルギー密度は非常に高いので、冷却は非常に速い。なぜなら、熱伝導は、ウェーハ表面からウェーハのバルクへ熱を除去する非常に速いメカニズムを提供するからである。更に、パルス・ランプは非常に速いダイナミック応答を有するから、休止時間は短い。
本発明は、たとえば、次の種および近似的エネルギー、即ち、エネルギー(E)<2keVを有するB、E<5keVを有するBF2、E<8keVを有するAs、およびE<4keVを有するPを使用する低エネルギー・イオン打ち込みと組み合わせられるとき、特に効果的であることが分かるであろう。プレアモルファ化GeまたはSiイオンの打ち込みをBドーピングと組み合わせることも、良好に働くようである。典型的には、Geイオンの打ち込みは、2〜10keVの範囲のエネルギーで行われ、ドーズは〜1015/cmであろう。プレアモルファス化のアプローチは、更にP打ち込みのとき有用であろう。
有用であると期待される1つのコンセプトは、低温アニールを使用して、イオン打ち込みプロセスの間に作られたアモルファス・シリコン膜を再結晶化し、次に高温パルスを印加することを含む。これは、単一段階のアニールよりも幾つかの利点を有する。なぜなら、アモルファス層の高温アニールは、望ましくない多結晶形成を導くからである。代替方法は、膜を結晶化する1つのパルス・アニール(比較的低いピーク温度<〜1000℃を使用して)を実行し、次にアニール・プロセスを完了する比較的高いピーク温度(>1000℃)で第2のパルス・プロセスを実行することである。アモルファス層が打ち込みプロセスの間に形成されるとき、膜の固相エピタキシャル(SPE)結晶化は、更なる高温アニールを行わなくても、ドーパントの非常に高い電気活性化を生じることが観察されている。そのようなプロセスは、500℃の低い温度で実行することができる。観察された1つの問題は、打ち込まれたドーパント自身のような高い濃度の不純物が存在すると、結晶化プロセスの成長速度が低減され、この成長速度の低減は欠陥構造の形成に関連づけられることである。この現象は、プロセス温度が上昇するにつれて低減されるが、従来のRTPシステムにおいて、可能加熱レートの限界(<500℃/秒)は、ウェーハが〜800℃の温度に達する前に大部分の打ち込まれた膜が結晶化することを意味する。結果として、800℃を超える温度でSPEプロセスを実行するのは非常に困難である。パルス加熱アプローチは、SPEプロセスが任意所望の温度で実行されることを可能にする。そのような温度は、再成長がドーピング効果によってそれほど影響されない900℃のような高い温度を含む。
他の関心事は、アモルファス層を越えるウェーハ部分に欠陥が存在することに起因して生じる。これらの欠陥は、低温SPEプロセスではアニールによって除かれず、それらの欠陥は、過剰p−n接合漏れの導入を含む問題をデバイス構造に生じる。解決法として、固相結晶化プロセスが高い温度で実行されてよく、ドーパントを活性化しながら、これらの欠陥の影響を同時に低減する。更に、比較的低い温度の結晶化プロセスをパルス・アニールと組み合わせることが望ましい。その場合、パルス・アニールは欠陥に影響を及ぼし、SPEプロセスはドーパントを活性化することができる。この利点は、SPEプロセスの前または後で高温パルス・アニールを実行し、パルス・パラメータを適切に調整することによって、少なくとも可能性として取得される。
(c)高K誘電膜の形成後にソース/ドレイン・アニールを実行する: デバイスの寸法がスケールダウンされるにつれて明らかになったことは、従来の二酸化シリコン・ゲート絶縁体を、より高い誘電率の物質で置換することの重要性である。幾つかの物質が提案されたが、多くの場合、それらの物質は熱的に安定でなく、ソース/ドレインの打ち込みを活性化するために必要なアニールに耐えることができないという1つの重要な問題が生じる。これは、「ゲート置換」法のような代替の製造スキームを導くが、従来の製造シーケンスからのそのような逸脱は望ましくない。この変化を避ける1つの方法は、ゲート誘電体の品質を低下させることなく、効果的なアニールおよびドーパント活性化が可能であるようにソース/ドレインのアニールを実行することである。ここで、本発明のパルス・アニール法は利点があると考えられる。なぜなら、アニールの熱プロセスは、非常に短い時間で実行可能であり、望ましくない反応または結晶変換を誘電体が経験する機会は存在しないからである。これは、ソース・ドレイン打ち込みが実行される前にゲート物質が形成されることを可能にし、プロセスを単純化する。たとえば、限定的にではなくZrまたはHfの酸化物、ケイ酸塩、またはアルミン酸塩、酸化チタン、五酸化タンタル、酸化アルミニウム、酸化ランタン、酸化イッテルビウム、バリウムまたはストロンチウムのチタン酸塩、または他の高K物質をゲートまたはキャパシタ構造が含むウェーハ上で、パルス・アニールを実行することができる。
(d)気相種からのドーパントの供給を促進する: B2H6、PH3、またはAsH3のような気相化合物を分解することによってウェーハ表面にドーパント種を堆積することが可能である。このアプローチは、原理的に、イオン打ち込みを不要にする。ドーパント種がウェーハ表面に堆積された後、表面を融解するか、ソリッドステート拡散を介してドーパントを注入するため、高エネルギー・パルスが使用される。このアプローチは、パルス・レーザ処置で提案されたが、パルス・ランプ・アプローチを使用して、そのようなプロセスを実行することも可能である。実際、或る関連づけられた利点が存在するかも知れない。たとえば、化合物の分解は、紫外線放射に化合物を露出することが必要であり、紫外線放射はパルス・ランプから得られる。代替的に、種を分解するために必要な紫外光を生成するためにはエキシマ・ランプまたはレーザを使用することができ、熱プロセスにはパルス・ランプを使用することができる。
広いカテゴリーとして、本発明は、ゲートおよびキャパシタ用の誘電膜の分野で使用されるとき、応用性を享受すると考えられる。この点に関して、本発明の幾つかの例示的態様は魅力的である。
(a)薄い酸化膜のパルスごとの成長: パルス加熱は、乾燥酸素および水蒸気を含む環境の双方で、従来のスキームよりも非常に高い温度で二酸化シリコンを成長させる機会を提供する。高温で形成された酸化膜は、たとえば、応力緩和に耐える能力の結果として、良好な電気的品質を示すので、ウェーハをパルス加熱へ露出することによって非常に薄い酸化インタフェース層を作成できることは利点である。これは、限定的にではなく酸素、NO、N2Oを含む多くの環境、および水蒸気を有する環境で達成されることができる。本願で教示されるパルス法は、サーマルバジェットを最小にしながら、反応レートが高速であるにも拘わらず、薄膜成長への厳格なプロセス制御を提供する。
(b)薄い酸化物へのチッ素の組み込み: チッ素を含む気体(特に、NH3、NO、およびN2O)へ酸化膜を露出する能力は、酸化膜のチッ化を可能にする。これは、MOSデバイスに有利であることが示された。高温を使用する能力は、過剰なサーマルバジェットを導入することなくチッ素組み込みの効率を改善する。ウェーハ表面を選択的に加熱しながら、反応室の中の気体の大部分を比較的冷たく保持する能力は、更に、気相化学が含まれると思われるプロセスに機会を提供する。たとえば、ウェーハを加熱し、気相を比較的冷たく保つことによって、N2O酸化のようなプロセスは異なった様式で起こるかも知れない。
(c)シリコンのチッ化: 通常、シリコンはN2またはNH3と非常に遅く反応する。パルス加熱を使用することによって、シリコンの表面に非常に高い温度を生成し、チッ化シリコンまたはオキシチッ化シリコンの薄膜を直接形成することができる。
(d)高K物質のアニール: 誘電体として提案された新しい物質の多くは、それらの化学量を改善するためのアニールを必要とする。しかし、これらのアニールは、過剰なサーマルバジェットを導入せず、酸化シリコンの過剰な成長を導かず、高K物質の反応または結晶化を生じないように実行される必要がある。パルス・アプローチは、これらのアニールに有用な高温処理を可能にする。
(e)表面準備: 短いエネルギー・パルスは、たとえば、薄い誘電体コーティングの形成に先立って表面を準備するのに適切である。たとえば、シリコン表面を洗浄する1つの良く知られた手法は、>1200℃の熱をフラッシュすることである。これは、通常のウェーハ処理では実用的ではない。なぜなら、1200℃を超える長い(1秒よりも大きい)サイクルは、欠陥、拡散、および表面損傷を導入する可能性があるからである。他方では、本発明に従って実行されるパルス・サイクルの短い持続時間は、これらの有害効果を及ぼさない。同様に、他の表面準備方法は、パルス加熱を使用して、ウェーハ表面から有機物質の除去、または金属不純物の除去を助けることができる。有機物質については、酸素またはオゾンと熱処置の組み合わせが有利である。金属不純物については、ハロゲン保有化合物との組み合わせが有用である。これらの表面準備アプローチにおいて、紫外線放射のかなりの量を含むパルス・ランプからの全スペクトル光を使用することが有用であろう。紫外線放射は、酸素保有気体からオゾンおよび酸素基を生成するのに有用であり、ハロゲン保有種からハロゲン基を生成するのに有用である。
更に他の広いカテゴリーとして、本発明は、シリサイドの処理および形成の分野で使用されるとき応用性を享受するものと考えられる。この点に関して、本発明の幾つかの例示的態様が魅力的である。
ケイ化チタンの形成: 先進的デバイス構造の中でケイ化チタンを使用することには問題がある。なぜなら、物質のC49相は、それが狭い線の形態であるとき、所望のC54相へ変換するのが困難だからである。高速の加熱レートは、この問題の助けとなることが報告されている。この関連において、パルス加熱スキームで可能となる非常に高い加熱レートおよびピーク温度は、この問題を迂回する方法を提供する。
シリサイドの処理問題: 一般的に、チタン、コバルト、ニッケル、およびプラチナのシリサイド膜の形成で、パルス処理の利点が期待される。たとえば、温度を高めてプロセスに取られる時間を減少することによって、シリコン、またはGeまたはSiGeのような他の物質と金属との反応を促進することができる。これらのアプローチは、グレインの核化および成長に影響を与え、処理の柔軟性を増加させる。パルス加熱は、シリサイド(および他の金属または金属化合物)膜の処理に幾つかの興味ある利点を提供する。
パルス・ランプのスペクトルは、従来のWハロゲン・ランプよりも短い波長であり、金属化された表面と、より効果的に結合する。金属化された表面は、通常、より長い波長で、より反射性となる。
低いバックグラウンド温度および高温への非常に短い露出は、プロセスに対する酸素または水蒸気汚染の効果を減少させる。
本発明によって可能となる低いバックグラウンド温度は、ウェーハについて上昇および冷却降下時間の多くを除去することによって、極めて良好なスループットを実現すると思われる。冷却降下の態様は特に重要である。なぜなら、ウェーハを取り出す間、金属膜および酸素または水蒸気不純物の反応のリスクを最小にすることができるからである。
更に他の広いカテゴリーとして、本発明は、銅膜と一緒に使用されるとき有利であると考えられる。この点に関して、本発明の幾つかの例示的態様は魅力的である。
銅膜のアニールにおいて、プロセス要件は、温度制御に関しては特に重要ではないと思われるが、スループットおよびコストに関連する問題が最も大きい。パルス処理は、RTPのスループット制限を完全に変えるかも知れない。RTPでは、これまで、スループットが加熱レートおよび特に冷却レートによって強く影響を受けた。これらのレートは、ウェーハの熱質量によって強く影響を受ける。シリサイドに関する前記の説明で列挙された利点は、特にCu膜の処理にも関連がある。
ウェーハに堆積した銅のリフローを助けるため加熱パルスを使用することもできる。このプロセスは、たとえば、スパッタリング・プロセスを介して堆積された銅でトレンチを充填するために使用することができる。エネルギー・パルスは、銅が拡散してトレンチを充填するのを助けるか、銅膜が融解してトレンチの中へ流れるようにする。パルスの短い持続時間によって、プロセスは、存在する他の物質に損傷を与えるか望まれないドーパント拡散を生じる過剰の熱露出を導入することなく、ウェーハ表面で起こることができる。
他の広いカテゴリーとして、本発明は、化学気相成長法の分野で使用されたとき応用性を享受すると考えられる。本発明のパルス方法と、化学気相成長法(CVD)による膜の堆積とを組み合わせることが想定される。ここで、パルス・アプローチの使用は、動機付けの幾つかの可能性を与える。たとえば、ウェーハ温度は、はるかに低い温度に保たれることができ、石英部品、スリップフリー・リング、またはシャワーヘッドのようなシステムの他の部品に蓄積される熱を低減する。これらの構成部品は、冷たく維持され、寄生CVD堆積によって汚染物を形成することが少ない。短い高温サイクルの使用は、更に、膜の成長速度および微細構造を変化させる新しい機会を与えるであろう。更に、それは気相または表面核化に関連した態様を変えることができる。たとえば、気相を冷たく保つことによって、気相における粒子形成を減らすことができるかも知れない。更に、プロセス制御の改善の可能性が存在する。たとえば、in−situセンサは、エネルギー・パルスが印加される間、または印加された後でも、基板の上に成長した膜の量を検出することができ、プロセス条件は、次のパルスが膜の成長に関して所望の効果を導くように変更されることができる。このフィードバックは、パルスの持続時間、形成エネルギー、時間間隔、または「バックグラウンド加熱」条件のような要因、またはガス流、室圧などの他の要因を調整するために使用可能である。CVD関連の他のコンセプトは、温度パルスを使用して、成長膜へのドーパントまたは他の不純物の組み込みを制御することである。高温への非常に短い露出は、急激または整形ドーピング・プロフィールに関して新しい可能性を与えるであろう。
CVDの応用は、たとえば、シリコン、二酸化シリコン、チッ化シリコン、高および低K物質、金属、および金属化合物の堆積を含む広範な分野をカバーすることができる。
他のアニール・プロセスも、本発明の使用から利点を得ることができる。たとえば、応力または微細構造を制御するため、または「キュアリング」目的のために、堆積膜をアニールすることを含むアニール・プロセスの全範囲へ、パルス手法を適用することができる。後者は低K膜に有用であろう。
更に、本発明との関連で、ウェーハごとの反復可能性およびウェーハの中の均一性を改善するため、バックグラウンド温度の調整を使用することが認識される。ウェーハ上のパルス加熱の効果を観察するセンサを使用し、パルス効果のin−situ測定に基づいて調整を行うか、ウェーハ上のプロセス結果を評価し、続いてバックグラウンド加熱条件を調整して、反復可能性および/または均一性を改善することができる。
たとえば、もしパルス処理条件の結果によって、あまりに高いプロセス温度になれば、バックグラウンド加熱温度を低減して、後続パルスの結果によって低いピーク温度となるようにし、それによって加熱パルス条件を変更することへの代替とすることができる。更に、ウェーハの間、または個々のウェーハの処理の間でも、バックグラウンド加熱条件を変えることができる。たとえば、もし事前パルスが印加され、その効果が、本願で説明された方法を含む任意適切な方法によって解析されるならば、たとえば、処置パルスを印加する前に、バックグラウンド加熱温度を時間関係で変更することができる。類似のアプローチは、任意のマルチパルス処理レシピで使用可能である。
或る場合には、たとえば、異なったウェーハ上の異なった表面コーティングの結果として、異なったウェーハ上の結果は変化するかも知れない。この場合、バックグラウンド加熱温度を調整してパルス効果の変動を補償してよい。適切な温度変化の評価は、たとえば、ウェーハが処理された後のウェーハ上のプロセス結果を評価することによって、またはウェーハが処理室の中にある間にウェーハ上の加熱効果を観察するセンサからin−situで測定することによって行うことができる。
このタイプのアプローチを使用して、ウェーハ内の均一性を調整することもできる。たとえば、もしウェーハの一部分が、たとえば、ウェーハ表面におけるパルス加熱エネルギーの非均一分布の結果として、ウェーハの一部分が過度の高温で処理されていることが発見されるならば、誘導されたバックグラウンド温度がウェーハのその部分で低くなるように、バックグラウンド加熱条件を変更することができる。次にパルスが印加されたとき、非均一のバックグラウンド温度は、非均一のパルス加熱を補償し、均一のプロセス結果が達成される。非均一のバックグラウンド加熱は、任意適切な方法で達成することができる。たとえば、バックグラウンド加熱ランプのアレーでウェーハを加熱し、アレー内の個々のランプへのパワー・レベルを調整し、ウェーハで所望の温度プロフィールを達成することができる。
更に注意すべきは、ウェーハ上の均一性は、ウェーハ表面へ非均一のパルス加熱を加えることによって調整できることである。たとえば、もしパルス加熱が、パルス・モードで動作するランプのバンクから加えられるならば、各々のランプへ供給されるエネルギーを調整して、ウェーハ表面におけるパルス・エネルギーの空間分布を変更することができる。調整は、処理後のウェーハ上で測定されたプロセス結果に基づいて行うか、ウェーハ表面の複数のロケーションでパルス効果を観察する処理システム内のセンサを使用して行うことができる。撮像システムまたはカメラを使用して、パルスによって誘導されたウェーハ上の温度上昇の空間分布に関する情報を提供することができる。もちろん、非均一の加熱結果を故意に誘導するように設計された非均一のバックグラウンド加熱を、パルス・エネルギーの印加と組み合わせて使用することができる。
均一性の最適化に関して、特に、多数のセンサまたは撮像システムが使用され、パルスによってウェーハ上に誘導された温度分布を監視する場合、事前パルスのアプローチが有用と考えられる。情報を使用し、バックグラウンド加熱分布またはパルス・エネルギー分布を変更することによってプロセスの均一性を調整し、次のパルスのプロセス均一性を達成することができる。
エネルギーが走査エネルギー源によって供給される場合、明らかに、類似のコンセプトを使用して、プロセスの均一性を改善することができる。再び、バックグラウンド加熱、または走査エネルギー源のビーム・パラメータを調整して、改善された反復可能性および均一性を得ることができる。
他のアプローチは、パルス・エネルギー源を使用し、ウェーハの選択された区域へエネルギー・パルスをシーケンシャル方式で供給することを含む。これには利点がある。なぜなら、エネルギー源は、1つのパルスでウェーハの全体を同時に照射する場合と同じ大きさのエネルギー量を供給する必要はないからである。したがって、より小さくて低いコストの電源を使用することができる。パルスの間でエネルギー源に関してウェーハを動かす(または、その反対)ことによって、ウェーハの全体をカバーすることができる。この動作モードにおいて、再び、事前パルスのコンセプトをウェーハの各々の領域へ適用することができる。同様に、照射された各々の領域にプロセス条件をマッチさせることによって、均一性を最適化することができる。これは、特に、多数のセンサまたは撮像システムが利用可能でない場合に有利である。たとえば、もしパルス・エネルギー源がウェーハの一部分のみを照射するならば、センサはその区域の熱応答を観察することができる。次に、エネルギー源およびセンサに対してウェーハが平行移動され、他の区域が露出され、再びセンサはプロセスを監視することができる。このようにして、プロセス条件を監視しながら、ただ1つのセンサを使用して、ウェーハの全体を処理することができる。そのような構成は、より低いコストで実現され、マルチセンサ・システムまたは撮像システムと比較して、その単純性の結果として生じる利点を提供する。
パルス・エネルギー源が1回でウェーハ全体を照射しないシステムでは、もし望まれるならば、露出される領域をオーバーラップさせて、カバーの均一性を改善することが望ましい。そのようなオーバーラップは、均一のプロセス結果を導くような方法で達成されるべきであり、1つの方法として、たとえば、処理されたウェーハのプロセス結果を評価し、次にパルスの間で起こるウェーハ(またはエネルギー源)の移動量を変化させ、パルスのオーバーラップの程度を最適化することによって実現されてよい。
ウェーハ表面の一部分の多数パルス露出に関連する前記のコンセプトの全ては、走査エネルギー源の使用へ等しく応用可能であることを理解することが重要である。
非半導体の応用では、本発明は、この全体的開示の観点から、非半導体物質処理で容易に使用されてよい。たとえば、本発明は、磁気物質の処理へ応用可能であり、または急速加熱または急速冷却が所望の特性および/または結果を導くような環境で使用可能である。
これまでの説明は詳細にわたったが、従来技術の或る態様を再び考慮することは労力を費やす価値がある。具体的には、従来技術のパルス・モード加熱実現形態は、パルス・モード設定におけるサーモスタット温度監視の欠陥を認識できなかった。前述したように、サーモスタット監視は、パルス・モード加熱システムで唯一の制御メカニズムとして使用されたとき、本質的に「事後」の応答を提供する。この問題は、パルス加熱の性質そのものに起因して生じる。なぜなら、パルス・パラメータは、一般的に、前もって決定されるからである。したがって、そのようなパルスは、非常に短い時間に大きなエネルギー量を供給し、一度パルスが発動または発射されると、パルスによって加熱を制御する機会は存在しない。したがって、前述したLoganのような従来技術の参照文献は、実用的なパルス・モード加熱の分野では不十分であると思われる。
本願の開示は、多数の高度に有利な方法で、この問題を解決するものと考えられる。この有利な方法は、たとえば、時間関係の制御、および事前パルスまたはテスト・パルスの使用のような特徴を含み、後続の処置は、そのようなパルスについて「処理時間」または実行時間の経験的結果に基づいて行われる。これらの特徴は、単独または組み合わせて使用されてよい。これらの特徴は、更に、特にプロセスの反復可能性に関して、従来技術よりも顕著で圧倒的な利点を提供するものと考えられる。即ち、本発明は、基板ごとのバリエーション、または事実上、1つの基板または処置物体から次の基板または処置物体で変化する関連の特性に関係なく、安定した結果を提供する。
理解すべきは、本願の開示は、たとえば半導体ウェーハのような物体のセットの処置に関して、応用性を享受する。たとえば、最初のウェーハはテスト・ウェーハとして使用されてよい。このテスト・ウェーハで、本願で明らかにされた様々な特徴の任意適切な組み合わせを使用して、処置パラメータのセットが展開されてよい。その後で、後続のウェーハが、その処置パラメータのセットに基づいて処置されてよい。もちろん、処置パラメータのセットはウェーハごとに更に微調整されてよい。
最後のレビューとして、本願は、半導体のような物体を加熱するパルス処理方法およびシステムを開示した。この方法およびシステムは、単一の基板のマルチパルス処理、または異なった物理特性を有する異なった基板の単一パルス処理またはマルチパルス処理のプロセス制御を特徴とする。熱は、バックグラウンド加熱モードの間に、物体へ制御可能な方法で加えられ、それによって選択的に物体を加熱して、バックグラウンド加熱の間に物体の全体の温度上昇を少なくともおおまかに生成する。物体の第1表面は、少なくとも第1のエネルギー・パルスへ物体を曝すことによって、パルス加熱モードで加熱される。バックグラウンド加熱は、第1のパルスとの時間関係で制御される。第1のエネルギー・パルスに対する物体の第1の温度応答は、少なくとも第2のエネルギー・パルスについて少なくとも第2のパルス・パラメータのセットを確立し、少なくとも部分的に目標条件を生成するためにセンスおよび使用される。
本願で開示された配列および関連方法は、異なった様々な構成で提供されてよく、無限の異なった様式に修正されてよいので、本発明の趣旨または範囲から逸脱することなく、本発明は他の多くの具体的形態で表現されてよいことを理解すべきである。したがって、本願の例および方法は、例として考えるべきであって、限定として考えるべきでなく、本発明は、本願で与えられた詳細部分に限定されず、添付された請求項の範囲内で修正されてよい。
本発明の1つの態様に従って半導体ウェーハを加熱するパルス処理システムの略図である。 2つのウェーハをマルチパルスで加熱する従来技術の加熱プロフィールを温度(℃)対時間(秒)でプロットしたグラフであって、パルスは同じエネルギーを有するが各々のウェーハは異なった反射率を有する場合のグラフである。 (i)ウェーハの表面および裏面がバックグラウンド・ヒータで加熱され、ウェーハの表面がパルス加熱源からの多数のパルスからの放射によって加熱される従来技術の加熱プロフィールについて温度(℃)対時間(秒)をプロットし、(ii)バックグラウンド・ヒータのパワー(kW)対時間(秒)をプロットしたグラフである。 本発明の第1の実施形態に従った加熱方法を示すグラフであって、(i)ウェーハの表面および裏面がバックグラウンド・ヒータで加熱され、ウェーハの表面がパルス加熱源からの多数のパルスからの放射によって加熱される加熱プロフィールの温度(℃)対時間(秒)をプロットし、(ii)バックグラウンド・ヒータのパワー(kW)対時間(秒)をプロットしたグラフである。 本発明の第2の実施形態に従った加熱方法を示すグラフであって、(i)ウェーハの表面および裏面がバックグラウンド・ヒータで加熱され、ウェーハの表面がパルス加熱源からの多数のパルスからの放射によって加熱される加熱プロフィールの温度(℃)対時間(秒)をプロットし、(ii)パルス・ヒータのパワー対時間(秒)をプロットしたグラフである。 本発明の第3の実施形態に従った加熱方法を示すグラフであって、(i)ウェーハの表面および裏面がバックグラウンド・ヒータで加熱され、ウェーハの表面がパルス加熱源からの多数のパルスからの放射によって加熱される加熱プロフィールの温度(℃)対時間(秒)をプロットし、(ii)バックグラウンド・ヒータのパワー(kW)対時間(秒)をプロットしたグラフである。 本発明の第4の実施形態に従った加熱方法を示すグラフであって、(i)ウェーハの表面および裏面がバックグラウンド・ヒータで加熱され、ウェーハの表面がパルス加熱源からの多数のパルスからの放射によって加熱される加熱プロフィールの温度(℃)対時間(秒)をプロットし、(ii)バックグラウンド・ヒータのパワー(kW)対時間(秒)をプロットしたグラフである。 本発明の第5の実施形態に従った加熱方法を示すグラフであって、基板を第1の温度に保持することなく、第1の温度から、より高い所望の温度へ基板表面を急速に加熱するため、エネルギー・パルスが印加され、継続的に変化する温度へ基板が曝される加熱プロフィールの基板表面温度(℃)対時間(秒)をプロットしたグラフである。 前面または第1表面の基板温度を閉ループ・フィードバックで制御するシーケンスを示す流れ図である。 基板を加熱するエネルギー・パルスを閉ループ・フィードバックで制御するシーケンスを示す流れ図である。 パルス加熱の間に基板の反射率および透過率の観点から基板温度を閉ループ・フィードバックで制御するシーケンスを示す流れ図である。 本発明に従って達成された加熱プロフィールを示すプロットであって、事前パルスを組み込んだ低サーマルバジェットアプローチを示すプロットである。 本発明に従って達成された加熱プロフィールを示すプロットであって、図12の加熱プロフィールと類似しているが上昇時間へ挿入された定常状態時間中に事前パルスが印加されることが異なるプロットである。 マルチモード加熱源を使用して、本発明に従って達成された加熱プロフィールを示すプロットであって、処置物体が事前パルスおよび処置パルスへ露出され、事前パルスが定常状態時間に印加されることを示すプロットである。 本発明に従って達成された加熱プロフィールを示すプロットであって、図12の加熱プロフィールの利点を共有し、更にマルチレート上昇加熱時間を示すプロットである。 本発明に従って達成された加熱プロフィールを示すプロットであって、図12および図15の加熱プロフィールと同じように、事前パルスに続いた処置パルスを含み、更にパックグラウンド加熱が低減され、続いて基板が処置パルスへ露出されることを示すプロットである。 本発明に従って達成された加熱プロフィールを示すプロットであって、事前パルスに続く一連の追加パルスの高度に有利な使用を含むプロットである。 本発明に従って達成された加熱プロフィールを示すプロットであって、一連の処置パルスを使用する他の実現形態を示し、事前パルスが上昇時間中に印加されるプロットである。 本発明に従って達成された加熱プロフィールを示すプロットであって、複数の事前パルスを使用する他の実現形態を示し、全体の一連のパルスの中で事前パルスが処置パルスに先行するプロットである。 本発明に従って達成された加熱プロフィールを示すプロットであって、複数の事前パルスを使用する他の実現形態を示し、連続した事前パルスの間で一連の処置パルスが利用されるプロットである。

Claims (234)

  1. 第1および第2の表面を含む対向する主面を有する物体を処理する方法であって、
    加熱配列を使用して、バックグラウンド加熱モードの間、制御可能な方法で物体へ熱を加え、それによって物体の全体で少なくともおおまかに温度上昇を生成するように物体を選択的に加熱し、
    或る持続時間を有する少なくとも第1のエネルギー・パルスへ第1の表面を曝すことによって、前記バックグラウンド加熱モードと協力して、パルス加熱モードで加熱配列を使用して物体の第1の表面を加熱し、
    前記第1のパルスとの時間関係で前記バックグラウンド加熱モードを制御する
    ステップを含む方法。
  2. 前記物体が半導体基板である、請求項1に記載の方法。
  3. 前記加熱配列の一部分としての第1の加熱源および第2の加熱源を使用し、それぞれバックグラウンド加熱モードおよびパルス加熱モードを実行するステップを含む、請求項1に記載の方法。
  4. 第1のパルスがバックグラウンド加熱モードの或る時点で印加され、バックグラウンド加熱モードを制御するステップが、前記第1のパルスのイニシエートと関係した特定の時間内にバックグラウンド加熱モードによって加えられた熱を低減するステップを含む、請求項1に記載の方法。
  5. バックグラウンド・モードによって加えられた熱が、前記第1のパルスのイニシエートに先立って低減される、請求項4に記載の方法。
  6. バックグラウンド・モードによって加えられた熱が、(i)前記第1のパルスのイニシエートの時点および(ii)前記第1のパルスのイニシエートに続く時点、の選択された1つで低減される、請求項4に記載の方法。
  7. 加熱配列のバックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加するステップによって、熱が前記制御可能な方法で物体へ加えられる、請求項4に記載の方法。
  8. 前記パワー・レベルが、ほぼゼロへ低減されてバックグラウンド加熱セクションを制御する、請求項7に記載の方法。
  9. 加熱配列のバックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加するステップによって、熱がバックグラウンド加熱モードの間に前記制御可能な方法で物体へ加えられ、前記電気パワー・レベルが前記第1のエネルギー・パルスの印加に先立って低減される、請求項1に記載の方法。
  10. 前記第1のパルスのイニシエートに先立って、また前記第1のパルスの少なくとも初期部分の間、前記パワー・レベルがほぼゼロへ低減される、請求項9に記載の方法。
  11. 前記バックグラウンド加熱モードが、初期上昇時間を使用して物体を第1の温度にし、また定常状態時間の間、物体をほぼ一定の温度に維持し、前記第1のパルスが、少なくとも前記定常状態時間の間にイニシエートされ、それによって定常状態時間を中断する、請求項1に記載の方法。
  12. 前記バックグラウンド加熱モードが、初期上昇時間を使用して物体を第1の温度にし、また終了時点を有する定常状態時間の間、物体をほぼ一定の温度に維持し、前記第1のパルスが、定常状態時間の終了時点との時間関係でイニシエートされる、請求項1に記載の方法。
  13. 前記バックグラウンド加熱モードが、上昇時間で物体を第1の温度にするステップを使用し、物体が前記第1の温度へ達した時間との関係で前記第1のパルスが印加される、請求項1に記載の方法。
  14. 前記上昇時間の間、物体の温度が連続的に増加する、請求項13に記載の方法。
  15. 物体が前記第1の温度へ最初に達した後の1秒内に、前記第1のパルスが印加される、請求項13に記載の方法。
  16. バックグラウンド加熱モードおよびパルス加熱モードを含む処置時間を通して、物体が連続的温度変化を受ける、請求項13に記載の方法。
  17. 第1の温度が1000℃までである、請求項13に記載の方法。
  18. 第1の温度が200℃から1100℃の範囲である、請求項13に記載の方法。
  19. 第1の温度が600℃から1000℃の範囲である、請求項13に記載の方法。
  20. 前記第1のパルスが、600℃から1410℃の範囲である第2の温度へ物体を上昇させる、請求項13に記載の方法。
  21. 前記第1のパルスが、1050℃から1400℃の範囲である第2の温度へ物体を上昇させる、請求項13に記載の方法。
  22. 前記上昇時間の間、物体が少なくとも1秒当たり20℃のレートで加熱される、請求項13に記載の方法。
  23. 前記バックグラウンド加熱モードの間、最大瞬時上昇レートが少なくとも1秒当たり10℃である複数の可変レートで物体が加熱される、請求項1に記載の方法。
  24. 前記パルス加熱モードが、第1のパルスを使用して、アークランプ、フラッシュ・ランプ、およびレーザの少なくとも1つによって生成された放射で物体の第1の表面を照射する、請求項1に記載の方法。
  25. 前記バックグラウンド加熱モードが、前記加熱配列を使用して物体の第2の表面を照射し、前記温度上昇を生成し、前記パルス加熱モードが、加熱配列を使用して物体の第1の表面を照射し、前記温度上昇によって生成された物体の温度よりも高い処置温度へ第1の表面を加熱する、請求項1に記載の方法。
  26. 前記第1のパルスに続くパルス加熱モードの間、加熱配列から第2のエネルギー・パルスを印加し、前記第2のパルスとの時間関係で前記バックグラウンド加熱モードを制御するステップを含む、請求項1に記載の方法。
  27. 更に、第2のエネルギー・パルスが印加されている間、第2の表面を第1の温度またはその近くの温度に維持するステップを含む、請求項26に記載の方法。
  28. 第2のエネルギー・パルスを印加する前にバックグラウンド加熱モードで物体へ加えられるエネルギーを低減することによって、前記バックグラウンド加熱モードが制御される、請求項26に記載の方法。
  29. 加熱配列のバックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加し、前記第2のエネルギー・パルスの間に前記電気パワー・レベルをほぼゼロへ低減するステップによって、熱がバックグラウンド加熱モードの間に前記制御可能な方法で加えられる、請求項26に記載の方法。
  30. 更に、前記第1の表面と対向する物体の第2の表面の温度を、前記第1のパルスがイニシエートされた時間との関係で測定するステップを含む、請求項1に記載の方法。
  31. 更に、第1のエネルギー・パルスが印加されている間、第2の表面の測定された温度を使用して、第2の表面を第1の温度またはその近くの温度に維持するステップを含む、請求項30に記載の方法。
  32. 加熱配列のバックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加し、前記第1のエネルギー・パルスの間に前記電気パワー・レベルをほぼゼロへ低減することによって、物体の第2の表面の第1の温度が維持される、請求項31に記載の方法。
  33. 前記パルス加熱モードへ入る前にバックグラウンド加熱モードで印加されたパワーを制御することによって、物体の第2の表面の温度が維持される、請求項31に記載の方法。
  34. パワー・レベルがバックグラウンド加熱配列のバックグラウンド加熱セクションへ提供され、前記バックグラウンド加熱セクションが、物体の第2の表面の測定された温度に応答して閉ループ・フィードバックによって制御される、請求項33に記載の方法。
  35. 第1のエネルギー・パルスがパルス・パラメータのセットによって特徴づけられ、前記方法が、少なくとも1つの光学特性のin−situ決定に少なくとも部分的に基づいてパルス・パラメータの第1のセットを決定するステップを含む、請求項1に記載の方法。
  36. 前記光学特性が、反射率および吸収率の少なくとも1つとして選択される、請求項35に記載の方法。
  37. 第1のエネルギー・パルスがパルス・パラメータのセットによって特徴づけられ、前記方法が、物体の少なくとも1つの光学特性に関連して記憶された経験的データのセットを参照してパルス・パラメータのセットを決定するステップを含む、請求項1に記載の方法。
  38. 第1および第2の対向する主な表面を含む対向する主面を有する物体を処理するシステムであって、
    バックグラウンド加熱モードの間に制御可能な方法で物体へ熱を加え、それによって物体を選択的に加熱して物体の全体へ温度上昇を少なくともおおまかに生成し、或る持続時間を有する少なくとも第1のエネルギー・パルスへ第1の表面を曝すことによって、前記バックグラウンド加熱モードと協力しながら、パルス加熱モードで加熱配列を使用して物体の第1の表面を加熱するように構成された加熱配列と、
    前記第1のパルスとの時間関係で前記バックグラウンド加熱モードを制御する制御配列と
    を含むシステム。
  39. 前記物体が半導体基板である、請求項38に記載のシステム。
  40. バックグラウンド加熱モードおよびパルス加熱モードをそれぞれ実行する第1の加熱源および第2の加熱源を、前記加熱配列の一部分として含む、請求項38に記載のシステム。
  41. 加熱配列が、バックグラウンド加熱モードの或る時点で第1のパルスを印加し、前記第1のパルスのイニシエートに関連した特定の時間内にバックグラウンド加熱モードによって印加された熱を低減するように構成される、請求項38に記載のシステム。
  42. 前記加熱配列が、前記第1のパルスをイニシエートする前にバックグラウンド・モードによって印加された熱を低減するように構成される、請求項41に記載のシステム。
  43. 前記加熱配列が、(i)前記第1のパルスをイニシエートする時点および(ii)前記第1のパルスのイニシエートに続く時点、の1つとして選択された時点で、バックグラウンド・モードによって加えられた熱を低減するように構成される、請求項41に記載のシステム。
  44. 加熱配列が、バックグラウンド加熱モードを実行するバックグラウンド加熱セクションを含み、前記制御配列が、加熱配列のバックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加することによって、前記制御可能な方法で熱を物体へ加える、請求項41に記載のシステム。
  45. 前記制御配列が、バックグラウンド加熱セクションの制御で前記パワー・レベルをほぼゼロへ低減する、請求項44に記載のシステム。
  46. 前記加熱配列がバックグラウンド加熱セクションを含み、前記制御配列が、バックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加することによって、バックグラウンド加熱モードの間に前記制御可能な方法で熱を物体へ加えるように構成され、前記第1のエネルギー・パルスの印加に先立って前記電気パワー・レベルが低減される、請求項38に記載のシステム。
  47. 前記第1のパルスのイニシエートに先立って、また前記第1のパルスの少なくとも初期部分の間、制御配列が前記パワー・レベルをほぼゼロへ低減する、請求項46に記載のシステム。
  48. 前記加熱配列が、初期上昇時間を使用してバックグラウンド加熱モードで物体を第1の温度にするように構成され、また定常状態時間の間、物体をほぼ一定の温度に保ち、更に前記定常状態時間の間に前記第1のパルスを少なくともイニシエートして、それによって定常状態時間を中断するように構成される、請求項38に記載のシステム。
  49. 前記加熱配列が、前記バックグラウンド加熱モードの上昇時間で物体を第1の温度にするように構成され、更に物体が前記第1の温度へ達した時間との関係で前記第1のパルスを印加するように構成される、請求項38に記載のシステム。
  50. 加熱配列が、前記上昇時間の間、物体の温度を連続的に増加させる、請求項49に記載のシステム。
  51. 物体が前記第1の温度へ最初に達した後の1秒内に、加熱配列が前記第1のパルスを印加する、請求項49に記載のシステム。
  52. 前記加熱配列が、バックグラウンド加熱モードおよびパルス加熱モードを含む処置時間を通して物体に連続的温度変化を受けさせる、請求項49に記載のシステム。
  53. 第1の温度が1000℃までである、請求項49に記載のシステム。
  54. 第1の温度が200℃から1100℃の範囲である、請求項49に記載のシステム。
  55. 第1の温度が600℃から1000℃の範囲である、請求項49に記載のシステム。
  56. 前記加熱配列が、前記第1のパルスを印加して600℃から1410℃の範囲にある第2の温度へ物体を上昇させる、請求項49に記載のシステム。
  57. 前記加熱配列が、前記第1のパルスを印加して1050℃から1400℃の範囲にある第2の温度へ物体を上昇させる、請求項49に記載のシステム。
  58. 前記上昇時間の間、加熱配列が少なくとも1秒当たり20℃のレートで物体を加熱する、請求項49に記載のシステム。
  59. 前記バックグラウンド加熱モードの間、加熱配列が、最大瞬時上昇レートが少なくとも1秒当たり10℃である多数の可変レートで物体を加熱するように構成される、請求項38に記載のシステム。
  60. 前記パルス加熱モードが、第1のパルスを使用して、加熱配列の一部分を形成するアークランプ、フラッシュ・ランプ、およびレーザの少なくとも1つによって生成された放射で物体の第1の表面を照射する、請求項38に記載のシステム。
  61. 前記加熱配列が、物体の第2の表面を照射して前記温度を生成するように構成され、更に物体の第1の表面を照射して、前記温度上昇によって生成された物体の温度よりも高い処置温度へ第1の表面を加熱するように構成される、請求項38に記載のシステム。
  62. 前記加熱配列および前記制御配列が、協力して、前記第1のパルスに続くパルス加熱モードの間、加熱配列から第2のエネルギー・パルスを印加し、前記第2のパルスとの時間関係で前記バックグラウンド加熱モードを制御するように構成される、請求項38に記載のシステム。
  63. 第2のエネルギー・パルスが印加される間、前記制御配列が、第1の温度またはその近くの温度に第2の表面の温度を維持するように構成される、請求項62に記載のシステム。
  64. 前記制御配列が、第2のエネルギー・パルスを印加する前にバックグラウンド加熱モードで物体へ加えられたエネルギーを低減することによって、前記バックグラウンド加熱モードを制御する、請求項62に記載のシステム。
  65. 前記加熱配列がバックグラウンド加熱セクションを含み、制御配列を使用してバックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加し、前記第2のエネルギー・パルスの間に前記電気パワー・レベルをほぼゼロへ低減することによって、熱が前記制御可能な方法で加えられる、請求項62に記載のシステム。
  66. 前記第1のパルスとの時間関係で物体の第2の表面の温度を測定するセンス配列を含む、請求項38に記載のシステム。
  67. 第1のエネルギー・パルスが印加されている間、第2の表面の測定された温度を使用して第2の表面を第1の温度またはその近くの温度に維持するように、前記制御配列が加熱配列と協力する、請求項66に記載のシステム。
  68. 加熱配列がバックグラウンド加熱セクションを含み、制御配列が、加熱配列のバックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加することによって物体の第2の表面の第1の温度を維持し、次に前記第1のエネルギー・パルスの間に前記電気パワー・レベルをほぼゼロへ低減する、請求項67に記載のシステム。
  69. 加熱配列がバックグラウンド加熱セクションを含み、制御配列が、加熱配列のバックグラウンド加熱セクションへ電気パワー・レベルを選択的に印加することによって物体の第2の表面の第1の温度を維持し、パルス加熱モードに入る前に前記電気パワー・レベルを低減する、請求項66に記載のシステム。
  70. 第1のエネルギー・パルスがパルス・パラメータのセットによって特徴づけられ、前記制御配列が、少なくとも1つの光学特性のin−situ決定に少なくとも部分的に基づいて、パルス・パラメータの第1のセットを決定するように構成される、請求項38に記載のシステム。
  71. 前記光学特性が、反射率および吸収率の少なくとも1つとして選択される、請求項70に記載のシステム。
  72. 第1のエネルギー・パルスがパルス・パラメータのセットによって特徴づけられ、前記制御配列が、物体の少なくとも1つの光学特性に関連して記憶された経験的データのセットを参照してパルス・パラメータの第1のセットを決定するように構成される、請求項38に記載のシステム。
  73. 第1および第2の対向する表面を含む対向する主面を有する物体を処理する方法であって、
    加熱配列を使用して、バックグラウンド加熱モードの間、制御可能な方法で物体へ熱を加え、それによって物体を選択的に加熱して物体の全体へ第1の温度を少なくともおおまかに生成し、
    少なくとも第1のエネルギー・パルスへ第1の表面を曝して第1の温度よりも大きい第2の温度へ物体の第1の表面を加熱することによって、加熱配列を使用してパルス加熱モードで物体の第1の表面を加熱し、
    前記第1のパルスの印加に続く冷却時間の間に前記第1の表面が冷却されるようにし、それによって物体の第1の表面が第2の温度の下へ降下して少なくとも制限された範囲へ等しくなるようにし、
    前記冷却時間の後に、物体の第1の表面へ第2のエネルギー・パルスを印加して第1の表面を再加熱する
    ステップを含む方法。
  74. 更に、少なくとも第1のパルス、冷却時間、および第2のパルスを含む前記パルス加熱モードの間に、物体の第2の表面をほぼ第1の温度に維持するステップを含む、請求項73に記載の方法。
  75. 前記物体が半導体基板である、請求項73に記載の方法。
  76. 第1の表面をほぼ第2の温度へ再加熱するように第2のパルスを構成するステップを含む、請求項73に記載の方法。
  77. 物体の第2の表面を第1の温度に維持するステップが、前記第1のパルスおよび前記第2のパルスの少なくとも1つを印加する時間との関係でバックグラウンド加熱モードを制御するステップを含む、請求項73に記載の方法。
  78. 第1および第2のエネルギー・パルスがパルス・パラメータのセットによって特徴づけられ、第1および第2のパルスがパルス・パラメータの同一のセットを使用して印加される、請求項73に記載の方法。
  79. 前記パルス・パラメータが、少なくとも1つの光学特性のin−situ決定に少なくとも部分的に基づいて決定される、請求項78に記載の方法。
  80. 前記光学特性が、反射率および吸収率の少なくとも1つとして選択される、請求項79に記載の方法。
  81. 物体の少なくとも1つの光学特性に関連して記憶された経験的データのセットを参照して第1および第2のパルスの少なくとも1つについてパルス・パラメータを決定するステップを含む、請求項78に記載の方法。
  82. 第1および第2のエネルギー・パルスがパルス・パラメータのセットによって特徴づけられ、第1および第2のエネルギー・パルスの各々が、パルス・パラメータのセットの中の少なくとも1つの異なった値を使用して印加される、請求項73に記載の方法。
  83. 第1および第2のエネルギー・パルスのパルス・パラメータを変化させ、第1の表面が、第1および第2のパルスの各々の1つに応答して第2の温度に達するようにするステップを含む、請求項82に記載の方法。
  84. パルス・パラメータが、少なくとも1つの光学特性のin−situ決定に少なくとも部分的に基づいて決定される、請求項83に記載の方法。
  85. 物体の少なくとも1つの物理特性に関連して記憶された経験的データのセットを参照してパルス・パラメータを決定するステップを含む、請求項83に記載の方法。
  86. レーザを使用して前記第1のパルスを生成するステップを含み、前記第1のパルスが1nsから10msの持続時間を含む、請求項73に記載の方法。
  87. レーザを使用して前記第2のパルスを生成するステップを含み、前記第2のパルスが1nsから10msの持続時間を含む、請求項73に記載の方法。
  88. タングステン・ハロゲン・ランプおよびアークランプの少なくとも1つを使用して、前記バックグラウンド加熱モードの一部分として物体を加熱するステップを含む、請求項73に記載の方法。
  89. アークランプ、フラッシュ・ランプ、およびレーザの少なくとも1つを使用して、前記パルス加熱モードの一部分として物体を加熱するステップを含む、請求項73に記載の方法。
  90. 少なくとも1つのフラッシュ・ランプを使用して前記第1のパルスを生成するステップを含み、前記第1のパルスが10μsから50msの持続時間を含む、請求項73に記載の方法。
  91. 少なくとも1つのフラッシュ・ランプを使用して第2のパルスを生成するステップを含み、前記第2のパルスが10μsから50msの持続時間を有する、請求項73に記載の方法。
  92. 第1および第2のパルスを、それらの間に1μsから100sのギャップを空けて順次に印加するステップを含む、請求項73に記載の方法。
  93. 第1および第2のパルスが、1nJ/cmから100J/cmの範囲のエネルギー密度で第1の表面へ入射する、請求項73に記載の方法。
  94. 第1および第2の表面を含む対向する主面を有する物体を処理するシステムであって、
    バックグラウンド加熱モードの間、制御可能な方法で物体へ熱を加え、それによって物体を選択的に加熱して物体の全体で温度上昇を少なくともおおまかに生成し、またパルス加熱モードで物体の第1の表面を加熱する加熱配列と、
    制御配列であって、
    (i)最初に物体を第1の温度へ加熱し、
    (ii)少なくとも第1のエネルギー・パルスへ第1の表面を曝して、第1の温度よりも高い第2の温度へ物体の第1の表面を加熱し、
    (iii)前記第1の表面が、前記第1のパルスの印加に続く冷却時間の間に冷却されるようにし、それによって物体の第1の表面が第2の温度の下へ降下して、少なくとも制限された範囲へ熱的に等しくなるようにし、
    (iv)前記冷却時間の後で、物体の第1の表面へ第2のエネルギー・パルスを印加して、第1の表面を再加熱する
    ように前記加熱配列と協力する制御配列と
    を含むシステム。
  95. 前記制御配列が、更に、少なくとも第1のパルス、冷却時間、および第2のパルスを含む前記パルス加熱モードの間、加熱配列と協力して、物体の第2の表面をほぼ第1の温度に維持するように構成される、請求項94に記載のシステム。
  96. 前記物体が半導体基板である、請求項94に記載のシステム。
  97. 制御配列が、更に、第2のパルスを印加して、第1の表面をほぼ第2の温度へ再加熱するように構成される、請求項94に記載のシステム。
  98. 制御配列が、前記第1のパルスおよび前記第2のパルスの少なくとも1つを印加する時間との関係でバックグラウンド加熱モードを制御することによって、物体の第2の表面を第1の温度に維持する、請求項94に記載のシステム。
  99. 第1および第2のエネルギー・パルスがパルス・パラメータのセットによって特徴づけられ、前記制御配列が、加熱配列にパルス・パラメータの同一のセットを使用して第1および第2のパルスを印加させる、請求項94に記載のシステム。
  100. 少なくとも1つの光学特性のin−situ測定を生成するセンス配列を含み、制御配列が第1および第2のパルスのパルス・パラメータを決定するとき前記測定を使用する、請求項99に記載のシステム。
  101. 前記センス配列が、反射率および吸収率の少なくとも1つを測定するように構成される、請求項100に記載のシステム。
  102. 前記制御配列が、物体の少なくとも1つの物理特性に関連して記憶された経験的データを参照して第1および第2のパルスの少なくとも1つについてパルス・パラメータを決定する、請求項99に記載のシステム。
  103. 第1および第2のエネルギー・パルスがパルス・パラメータのセットによって特徴づけられ、前記制御配列が、パルス・パラメータのセットの中の少なくとも1つの異なった値を使用して第1および第2のエネルギー・パルスが印加されるようにする、請求項94に記載のシステム。
  104. 前記制御配列が、第1および第2のエネルギー・パルスのパルス・パラメータを変化させて、第1の表面が、第1および第2のパルスの各々の1つに応答して第2の温度へ達するようにする、請求項103に記載のシステム。
  105. 少なくとも1つの光学特性のin−situ測定を生成するセンス配列を含み、制御配列が第1および第2のパルスのパルス・パラメータを決定するとき前記測定を使用し、前記制御配列が、前記測定を使用して、第1および第2のパルスの少なくとも1つについてパルス・パラメータを決定する、請求項104に記載のシステム。
  106. 前記制御配列が、物体の少なくとも1つの物理特性に関連して記憶された経験的データのセットを参照して第1および第2のパルスの少なくとも1つについてパルス・パラメータを決定する、請求項104に記載のシステム。
  107. 前記第1のパルスおよび前記第2のパルスを生成するレーザを含み、第1および第2のパルスの各々が1nsから10msの持続時間を含むようにする、請求項94に記載のシステム。
  108. 前記加熱配列が、前記バックグラウンド加熱モードの一部分として物体を加熱するためタングステン・ハロゲン・ランプおよびアークランプの少なくとも1つを含む、請求項94に記載のシステム。
  109. 前記加熱配列が、前記パルス加熱モードの一部分として物体を加熱するためアークランプ、フラッシュ・ランプ、およびレーザの少なくとも1つを含む、請求項94に記載のシステム。
  110. 前記加熱配列が、前記第1のパルスを生成するときに使用される少なくとも1つのフラッシュ・ランプを含み、前記第1のパルスが10μsから50msの持続時間を含む、請求項94に記載のシステム。
  111. 少なくとも1つのフラッシュ・ランプを使用して前記第2のパルスを生成するステップを含み、前記第2のパルスが10μsから50msの持続時間を含む、請求項94に記載のシステム。
  112. 前記制御配列および前記加熱配列が協力して、第1および第2のパルスを順次に印加し、前記パルスの間に1μsから100sのギャップが空けられる、請求項94に記載のシステム。
  113. 第1および第2のパルスが1nJ/cmから100J/cmの範囲のエネルギー密度で第1の表面へ入射するように前記加熱配列が構成される、請求項94に記載のシステム。
  114. 一連のパルスのパルス・エネルギーを使用して物体を処理する方法であって、前記パルスの各々はパルス・パラメータのセットによって特徴づけられ、前記物体は第1および第2の対向する主な表面を含み、前記方法が、
    パルス・パラメータの第1のセットを有する第1のエネルギー・パルスへ前記第1の表面を露出して物体の第1の温度応答を生成し、
    物体の第1の温度応答をセンスし、
    パルス・パラメータの第1のセットと組み合わせた前記第1の温度応答を使用して、少なくとも第2のエネルギー・パルスを印加するため少なくともパルス・パラメータの第2のセットを確立し、
    少なくとも前記第2のエネルギー・パルスへ前記第1の表面を曝して、前記物体の目標条件を少なくとも部分的に生成する
    ステップを含む方法。
  115. パルス・パラメータの第2のセットが物理特性の変化に応答して変化するように、前記物体が第1の温度応答に影響を及ぼす少なくとも1つの物理特性を含む、請求項114に記載の方法。
  116. 前記物体の温度応答が物体の温度増加である、請求項114に記載の方法。
  117. 更に、前記第1のエネルギー・パルスおよび前記第2のエネルギー・パルスへ物体を露出するステップとの時間関係で第1の温度へ物体を加熱するステップを含む、請求項114に記載の方法。
  118. 連続したレートで前記物体が前記第1の温度へ加熱される、請求項117に記載の方法。
  119. 物体が前記第1の温度へ達した後、第1および第2のパルスへ物体を露出するステップを含む、請求項117に記載の方法。
  120. 前記第1の温度へ物体を加熱するステップをイニシエートした後、しかし物体が第1の温度へ達する前に、第1のエネルギー・パルスを印加するステップを含む、請求項117に記載の方法。
  121. 物体が前記第1の温度へ達したことに応答して、前記第2のエネルギー・パルスへ物体を露出するステップを含む、請求項117に記載の方法。
  122. 物体が前記第1の温度へ達してから、選択された時間内に、物体へ第2のエネルギー・パルスを印加するステップを含む、請求項121に記載の方法。
  123. 物体の少なくとも第1の表面を加熱して前記目標条件を少なくとも部分的に生成することによって物体を処置するため、前記第2のエネルギー・パルスが印加される、請求項114に記載の方法。
  124. 前記物体が、第1の温度応答に影響を及ぼす少なくとも1つの物理特性を含み、第2のパルスが物体の前記目標条件を完全には生成することができないように第2のパルスのパルス・パラメータの第2のセットが構成され、前記方法が、更に、一連の1つまたは複数の追加パルスを印加するステップを含み、追加パルスの各々はパルス・パラメータの追加のセットによって特徴づけられる、請求項114に記載の方法。
  125. パルス・パラメータの追加のセットが、物理特性の変化に応答して一連の追加パルスの間に変化する、請求項124に記載の方法。
  126. 第2のパルスが物体の前記目標条件を完全には生成することができないように第2のパルスのパルス・パラメータの第2のセットが構成され、前記方法が、更に、パルス・パラメータの全体的なセットを有する一連の1つまたは複数の追加パルスを印加するステップを含み、前記全体的なセットは、前記目標条件を協力的にまた少なくとも近似的に生成するように決定される、請求項114に記載の方法。
  127. 一連の追加パルスの間に物体の物理特性へ少なくとも間欠的に応答するステップを含み、前記物理特性は、一連の追加パルスによって生成された少なくとも1つまたは複数の追加の温度応答に基づいて、一連の追加パルスの印加の間に変化する、請求項126に記載の方法。
  128. 一連の追加パルスの第2のグループが追加パルスの第1のグループの間に介在し、少なくとも1つの第2のグループが全ての第1のグループのパルスに続き、第2のグループのパルスの各々の1つが前記物体の前記目標条件を少なくとも部分的に生成する、請求項127に記載の方法。
  129. 前記目標条件に関して前記物体の中に無視できる変化を生成して、パルスの第1のグループの各々のパルスが測定目的のために印加されるように、パルスの第1のグループの各々のパルスが構成される、請求項128に記載の方法。
  130. 前記物体を前記目標条件へ少なくとも部分的に変換するように、一連の追加パルスの各々のパルスが印加される。請求項126に記載の方法。
  131. 後続する追加パルスのパルス・パラメータを確立するときに使用するため、一連の追加パルスの中の選択された1つによって生成される1つまたは複数の追加の温度応答を決定するステップを含む、請求項130に記載の方法。
  132. 次の追加パルスについてパルス・パラメータのセットを決定するときに使用するため、各々の追加パルスが物体へ印加された後、追加の温度応答を決定するステップを含む、請求項130に記載の方法。
  133. 物体の少なくとも第1の表面を加熱して、前記目標条件を少なくとも部分的に生成することによって物体を処置するため前記第2のエネルギー・パルスが印加され、第2のパルスが物体の前記目標条件を完全には生成することができないように第2のパルスのパルス・パラメータの第2のセットが構成され、前記方法が、更に、(i)協力して物体を変化させるため一連の1つまたは複数の追加パルスを印加して、前記目標条件を少なくとも近似的に生成し、(ii)追加パルスの少なくとも選択された1つに先だって、物体の光学測定を生成し、(iii)前記光学測定に少なくとも部分的に基づいて、選択された追加パルスについてパルス・パラメータのセットを決定するステップを含む、請求項114に記載の方法。
  134. 前記物体が前記追加パルスの少なくとも2つへ露出され、前記光学測定が、一連の追加パルスの間、光学特性を追尾するため周期的に反復される、請求項133に記載の方法。
  135. 第1のパルスのパルス・パラメータの第1のセットが、前記目標条件を制限された範囲で生成するように構成される、請求項114に記載の方法。
  136. 前記目標条件に関して前記物体の中に無視できる変化を生成して、第1のパルスが測定目的で印加されるように、第1のパルスのパルス・パラメータの第1のセットが構成される、請求項114に記載の方法。
  137. 特定の幾何学的配列を使用して前記第1のパルスへ第1の表面を露出するステップを含み、前記第2のエネルギー・パルスへ第1の表面を露出するステップが前記特定の幾何学的配列を使用する、請求項114に記載の方法。
  138. 第1および第2のエネルギー・パルスが、少なくとも或る角度で同じように物体に入射するように、1つの放射源から前記第1および第2のパルスを放出するステップを含む、請求項137に記載の方法。
  139. 第1および第2のパルスが、1nJ/cmから100J/cmの範囲のエネルギー密度で第1の表面に入射する、請求項114に記載の方法。
  140. 第1のパルスが第2のパルスよりも少ないエネルギーを有する、請求項114に記載の方法。
  141. 第2のパルスが第1のパルスとほぼ同じパルス・パラメータのセットを有する、請求項114に記載の方法。
  142. 第1のパルスがレーザから得られ、前記第1のパルスが1nsから10msの持続時間を含む、請求項114に記載の方法。
  143. 第2のパルスがレーザから得られ、前記第2のパルスが1nsから10msの持続時間を含む、請求項114に記載の方法。
  144. 第1のパルスがフラッシュ・ランプから得られ、前記第1のパルスが10μsから50msの持続時間を含む、請求項114に記載の方法。
  145. 第2のパルスがフラッシュ・ランプから得られ、前記第2のパルスが10μsから50msの持続時間を含む、請求項114に記載の方法。
  146. 第1および第2のパルスが順次に印加され、それらパルスの間に1μsから100sのギャップが空けられる、請求項114に記載の方法。
  147. 更に、第1および第2のエネルギー・パルスの少なくとも1つが印加される間、物体の第2の表面を第1の温度またはその近くの温度に維持するステップを含む、請求項114に記載の方法。
  148. 第1の加熱源を使用して第1および第2のパルスを印加し、第2の加熱源を使用して物体の第2の表面の選択された温度を維持するステップを含む、請求項147に記載の方法。
  149. 第2の加熱源がタングステン・ハロゲン・ランプおよびアークランプの少なくとも1つを含む、請求項148に記載の方法。
  150. 物体の第2の表面の温度が、第2の加熱源へのパワーを制御することによって維持される、請求項148に記載の方法。
  151. 一連のパルスのパルス・エネルギーを使用して物体を処理するシステムであって、前記パルスの各々はパルス・パラメータのセットによって特徴づけられ、前記物体は第1および第2の対向する主な表面を含み、前記システムは、
    パルス・パラメータの第1のセットを有する第1のエネルギー・パルスへ前記第1の表面を露出して、物体の第1の温度応答を生成する加熱配列と、
    物体の第1の温度応答をセンスするセンス配列と、
    パルス・パラメータの第1のセットと組み合わせた前記第1の温度応答を使用して、少なくとも第2のエネルギー・パルスを印加するためパルス・パラメータの少なくとも第2のセットを確立し、加熱配列に少なくとも前記第2のエネルギー・パルスへ前記第1の表面を露出させ、前記物体の目標条件を少なくとも部分的に生成させる制御配列と
    を含むシステム。
  152. 前記物体として半導体基板を処置するように構成される、請求項151に記載のシステム。
  153. 前記物体が第1の温度応答に影響を及ぼす少なくとも1つの物理特性を含み、前記制御配列が物理特性の変化に応答してパルス・パラメータの第2のセットを決定する、請求項151に記載のシステム。
  154. 前記物体の温度応答が、前記加熱配列によって生成された物体の温度増加である、請求項151に記載のシステム。
  155. 前記加熱配列および前記制御配列が、協力して前記第1のエネルギー・パルスおよび前記第2のエネルギー・パルスへ物体を露出した時間との関係で物体を第1の温度へ加熱するように構成される、請求項151に記載のシステム。
  156. 前記加熱配列が前記物体を前記第1の温度へ連続率で加熱する、請求項155に記載のシステム。
  157. 物体が前記第1の温度へ達した後、加熱配列が第1および第2のパルスへ物体を露出する、請求項155に記載のシステム。
  158. 物体を前記第1の温度へ加熱することをイニシエートした後、物体が第1の温度へ達する前に、加熱配列が第1のエネルギー・パルスを印加する、請求項155に記載のシステム。
  159. 物体が前記第1の温度へ達したことに応答して、前記加熱配列が物体を前記第2のエネルギー・パルスへ露出する、請求項155に記載のシステム。
  160. 物体が前記第1の温度へ達してから選択された時間内に、加熱配列が第2のエネルギー・パルスを物体へ印加する、請求項159に記載のシステム。
  161. 前記物体が第1の温度応答に影響を及ぼす少なくとも1つの物理特性を含み、第2のパルスが物体の前記目標条件を完全には生成することができないように第2のパルスのパルス・パラメータの第2のセットが制御配列によって構成され、前記制御配列が一連の1つまたは複数の追加パルスを印加し、前記追加パルスの各々がパルス・パラメータの追加のセットによって特徴づけられる、請求項151に記載のシステム。
  162. 前記制御配列が、前記加熱配列と協力して、一連の追加パルスの間に物理特性の変化に応答してパルス・パラメータの追加のセットを変化させることによって物体を処置する、請求項151に記載のシステム。
  163. 第2のパルスが物体の前記目標条件を完全には生成することができないように制御配列が第2のパルスのパルス・パラメータの第2のセットを構成し、前記制御配列および前記加熱配列が、更に、協力してパルス・パラメータの全体的なセットを有する一連の1つまたは複数の追加パルスを印加し、パルス・パラメータの前記全体的なセットは、協力して少なくとも近似的に物体を前記目標条件へ至らせるように決定される、請求項162に記載のシステム。
  164. 前記制御配列が物体の物理特性へ少なくとも間欠的に応答し、一連の追加パルスが印加される間、一連の追加パルスによって生成された少なくとも1つまたは複数の追加の温度応答に基づいて前記物理特性が変化する、請求項163に記載のシステム。
  165. 前記制御配列が追加パルスの第1のグループの中に一連の追加パルスの第2のグループを介在させ、少なくとも1つの第2のグループのパルスが、全ての第1のグループのパルスに続き、第2のグループのパルスの各々の1つが前記目標条件を少なくとも部分的に生成する、請求項164に記載のシステム。
  166. 前記目標条件に関して前記物体の中に無視できる変化を生成して、パルスの第1のグループの各々のパルスが測定目的のために印加されるように、前記制御配列がパルスの第1のグループの各々のパルスを構成する、請求項165に記載のシステム。
  167. 一連の追加パルスの各々のパルスが、前記物体を前記目標条件へ少なくとも部分的に変換するように印加される、請求項163に記載のシステム。
  168. 前記制御配列が、後続する追加パルスのパルス・パラメータを確立するときに使用するため、センス配列を使用して一連の追加パルスの選択された1つによって生成された1つまたは複数の追加の温度応答を決定する、請求項167に記載のシステム。
  169. 前記制御配列が、追加パルスの次の1つについてパルス・パラメータのセットを決定するときに使用するため、各々の追加パルスが物体へ印加された後、センス配列を使用して追加の温度応答を決定する、請求項167に記載のシステム。
  170. センス配列が、前記物体を特徴づける光学測定を生成する手段を含み、前記制御配列および前記加熱配列が、協力して第2のエネルギー・パルスを印加し、物体の少なくとも第1の表面を加熱して前記目標条件を少なくとも部分的に生成することによって物体を処置し、第2のパルスが物体の前記目標条件を完全には生成することができないように第2のパルスのパルス・パラメータの第2のセットが構成され、前記加熱配列および前記制御配列が、更に、協力して、(i)前記目標条件を少なくとも近似的に生成するため協力して物体を変化させる一連の1つまたは複数の追加パルスを印加し、(ii)追加パルスの少なくとも選択された1つに先だって、センス配列を使用して物体の前記光学測定を生成し、(iii)前記光学測定に少なくとも部分的に基づいて、選択された追加パルスについてパルス・パラメータのセットを決定するように構成される、請求項151に記載のシステム。
  171. 前記加熱配列が前記追加パルスの少なくとも2つへ物体を露出し、前記光学測定が一連の追加パルスの間に光学特性を追尾するため周期的に反復される、請求項170に記載のシステム。
  172. 第1のパルスのパルス・パラメータの第1のセットが、前記目標条件を制限された範囲へ生成するように構成される、請求項151に記載のシステム。
  173. 前記加熱配列が、特定の幾何学的配列を使用して前記第1のパルスへ第1の表面を露出するように構成され、加熱配列が、前記特定の幾何学的配列を使用して前記第2のエネルギー・パルスへ第1の表面を露出する、請求項151に記載のシステム。
  174. 第1および第2のエネルギー・パルスが或る角度で同じように物体に入射するように、前記加熱配列が1つの放射源から前記第1および第2のパルスを放出する、請求項173に記載のシステム。
  175. 第1および第2のパルスが、1nJ/cmから100J/cmの範囲のエネルギー密度で第1の表面に入射する、請求項151に記載のシステム。
  176. 加熱配列が第2のパルスよりも少ないエネルギーを有する第1のパルスを放出する、請求項151に記載のシステム。
  177. 第2のパルスが、第1のパルスと比較してパルス・パラメータのほぼ同じセットによって特徴づけられる、請求項151に記載のシステム。
  178. 第1のパルスを生成するレーザを含み、前記第1のパルスが1nsから10msの持続時間を含む、請求項151に記載のシステム。
  179. 第1のパルスおよび第2のパルスを生成するレーザを含み、前記第2のパルスが1nsから10msの持続時間を含む、請求項151に記載のシステム。
  180. 前記第1のパルスを生成するフラッシュ・ランプを含み、前記第1のパルスが10μsから50msの持続時間を含む、請求項151に記載のシステム。
  181. 第2のパルスを生成するフラッシュ・ランプを含み、前記第2のパルスが10μsから50msの持続時間を含む、請求項151に記載のシステム。
  182. 前記加熱配列が第1および第2のパルスを順次に印加し、これらパルスの間に1μsから100sのギャップが空けられる、請求項151に記載のシステム。
  183. 第1および第2のエネルギー・パルスの少なくとも1つが印加されている間、制御配列が、更に、第1の温度またはその近くの温度に物体の第2の表面を維持することによって加熱配列と協力するように構成される、請求項151に記載のシステム。
  184. 前記加熱配列が、第1および第2のパルスを印加するための第1の加熱源、および物体の第2の表面の選択された温度を維持するための第2の加熱源を含む、請求項183に記載のシステム。
  185. 第2の加熱源がタングステン・ハロゲン・ランプおよびアークランプの少なくとも1つを含む、請求項184に記載のシステム。
  186. 前記第2の加熱源が或る入力パワー・レベルを必要とし、物体の第2の表面の温度が、前記制御配列を使用して第2の加熱源への入力パワー・レベルを制御することによって維持される、請求項184に記載のシステム。
  187. 半導体基板を処理する方法であって、前記基板は第1および第2の対向する表面を含み、前記方法は、
    パルス・パワーのセットによって特徴づけられるエネルギー・パルスへ基板を露出することによって前記半導体基板の中に温度上昇を誘導し、
    半導体基板の温度上昇をセンスし、
    パルス・パラメータの前記セットと組み合わせた前記温度上昇に基づいて、半導体基板の吸収率を決定する
    ステップを含む方法。
  188. 更に、前記半導体基板を連続的に処置するため処置パラメータのセットを確立するときの値として、決定された吸収率を使用するステップを含む、請求項187に記載の方法。
  189. 更に、前記吸収率を使用して少なくとも1つの追加エネルギー・パルスについて処置パラメータのセットを確立し、
    処置パラメータの前記セットに基づいて前記追加エネルギー・パルスへ前記半導体基板を露出する
    ステップを含む、請求項187に記載の方法。
  190. 特定の幾何学的配列を使用して前記エネルギー・パルスへ第1の表面を露出するステップを含み、前記追加エネルギー・パルスへ第1の表面を露出するステップが前記特定の幾何学的配列を使用する、請求項189に記載の方法。
  191. 前記エネルギー・パルスが、追加エネルギー・パルスの処置パワー・レベルよりも低いパワー・レベルを含む、請求項189に記載の方法。
  192. 目標条件に関して前記半導体基板の中に無視できる変化を生成して、エネルギー・パルスが測定目的のために印加されるように、前記エネルギー・パルスが構成される、請求項191に記載の方法。
  193. 前記半導体基板を前記目標条件へ少なくとも部分的に変換するように前記エネルギー・パルスが印加される、請求項191に記載の方法。
  194. 第1および第2のエネルギー・パルスが或る角度で同じように半導体基板に入射するように、前記第1および第2のパルスを1つの放射源から放出するステップを含む、請求項190に記載の方法。
  195. 前記第1の表面および前記第2の表面の選択された1つが前記エネルギー・パルスへ露出され、前記温度上昇が、前記第1の表面および前記第2の表面の選択された1つでセンスされる、請求項187に記載の方法。
  196. 前記第1の表面および前記第2の表面の選択された1つが前記エネルギー・パルスへ露出され、前記温度上昇が、前記第1の表面および選択された表面に対向する前記第2の表面の1つでセンスされる、請求項187に記載の方法。
  197. 半導体基板を処理するシステムであって、前記基板は第1および第2の対向する表面を含み、前記システムは、
    パルス・パラメータのセットによって特徴づけられるエネルギー・パルスへ基板を露出することによって、前記半導体基板の中に温度上昇を誘導する加熱手段と、
    半導体基板の温度上昇をセンスするセンス手段と、
    パルス・パラメータの前記セットと組み合わせた前記温度上昇に基づいて、半導体基板の吸収率を決定する処理手段と
    を含むシステム。
  198. 前記半導体基板の処理を完了するときに使用するため、処置パラメータのセットを確立するときのパラメータとして吸収率を使用するように前記処理手段が構成される、請求項197に記載のシステム。
  199. 少なくとも1つの追加のエネルギー・パルスについて処置パラメータのセットを確立するために前記吸収率を使用し、加熱手段と協力して処置パラメータの前記セットに基づいて前記追加のエネルギー・パルスへ前記半導体基板を露出するように、前記処理手段が構成される、請求項197に記載のシステム。
  200. 特定の幾何学的配列を使用して前記エネルギー・パルスへ第1の表面を露出し、前記特定の幾何学的配列を使用して前記追加のエネルギー・パルスへ第1の表面を露出するように、前記加熱手段が構成される、請求項199に記載のシステム。
  201. 前記エネルギー・パルスが、追加のエネルギー・パルスの処置パワー・レベルよりも低いパワー・レベルを含む、請求項199に記載のシステム。
  202. 目標条件に関して前記半導体基板の中に無視できる変化を生成して、エネルギー・パルスが測定目的のために印加されるように、前記加熱手段および前記処理手段が協力して前記エネルギー・パルスを放出する、請求項201に記載のシステム。
  203. 前記半導体基板を前記目標条件へ少なくとも部分的に変換するように、前記エネルギー・パルスが印加される、請求項201に記載のシステム。
  204. 第1および第2のエネルギー・パルスが或る角度で同じように半導体基板へ入射するように、前記加熱手段が前記第1および第2のパルスを放出する放射源を含む、請求項200に記載のシステム。
  205. 前記第1の表面および前記第2の表面の選択された1つを前記エネルギー・パルスへ露出するように前記加熱手段が構成され、前記センス手段が、前記第1の表面および前記第2の表面の選択された1つで前記温度上昇をセンスする、請求項197に記載のシステム。
  206. 前記第1の表面および前記第2の表面の選択された1つを前記エネルギー・パルスへ露出するように前記加熱手段が構成され、前記センス手段が、前記第1の表面および選択された表面に対向する前記第2の表面の1つで前記温度上昇をセンスする、請求項197に記載のシステム。
  207. 熱を使用して物体を処理するシステムであって、
    物体が放射エネルギーを生成するように、物体の第1の表面へ第1のエネルギー・パルスを印加して表面を加熱するパルス加熱源と、
    第1のパルス・エネルギーが印加された後、物体からの放射エネルギーを使用して測定を生成するセンサと、
    パルス加熱源で使用するため、前記測定に少なくとも部分的に基づいて、少なくとも1つの追加エネルギー・パルスについてパルス・パラメータのセットを調整する手段と
    を含むシステム。
  208. 半導体基板を前記物体として処置するように構成される、請求項207に記載のシステム。
  209. 物体を等温的に加熱するため、タングステン・ハロゲン・ランプおよびアークランプの少なくとも1つを有するバックグラウンド加熱源を含む、請求項207に記載のシステム。
  210. パルス加熱源がアークランプ、フラッシュ・ランプ、およびレーザの少なくとも1つを含む、請求項207に記載のシステム。
  211. 更に、前記パルス加熱源に関連づけられ、パルス加熱源によって放出された放射の選択された波長領域を排除するフィルタを含む、請求項207に記載のシステム。
  212. フィルタが水で冷やされる窓であり、該窓がパルス加熱源から物体を隔離する、請求項211に記載のシステム。
  213. フィルタが高OH石英窓である、請求項211に記載のシステム。
  214. 前記センサが光センサである、請求項207に記載のシステム。
  215. 更に、入射パルス放射をサンプリングする第2の光センサを含み、前記入射パルス放射が、パルス加熱源によって放出され、物体の前記第1の表面に入射する、請求項214に記載のシステム。
  216. 更に、物体を通過する第1のエネルギー・パルスの一部分をセンスする第2のセンサを含む、請求項214に記載のシステム。
  217. 更に、物体の第1の表面の温度を監視するため、物体の第1の表面によって放出された前記放射エネルギーを測定するパイロメータを含む、請求項207に記載のシステム。
  218. 更に、物体の第2の表面の第2の表面温度を監視するため、物体の第2の表面によって放出された第2の表面放射エネルギーを測定するパイロメータを含む、請求項207に記載のシステム。
  219. 物体の第2の表面へ熱エネルギーを導くように配置されたバックグラウンド加熱源を含む、請求項207に記載のシステム。
  220. 熱を使用して物体を処理するシステムであって、
    第1の動作モードで物体を第1の温度へ加熱する加熱源と、前記加熱源が、更に、第2の動作モードで物体の第1の表面へ少なくとも第1のエネルギー・パルスを印加して、第1の温度よりも高い第2の温度へ第1の表面を加熱するように構成され、前記物体が加熱源に応答して放射エネルギーを生成することと、
    物体からの前記放射エネルギーをサンプリングすることによって測定を生成するセンサと、
    加熱源で使用するため、前記測定に少なくとも部分的に基づいて、少なくとも1つの追加エネルギー・パルスについてパルス・パラメータを調整する手段と
    を具備するシステム。
  221. 加熱源がアークランプ、フラッシュ・ランプ、およびレーザの少なくとも1つを含む、請求項220に記載のシステム。
  222. 前記加熱源に関連づけられ、加熱源によって放出された放射の選択された波長を排除するフィルタを含む、請求項220に記載のシステム。
  223. フィルタが水で冷やされる窓であり、該窓が加熱源から物体を隔離する、請求項222に記載のシステム。
  224. フィルタが高OH石英窓である、請求項222に記載のシステム。
  225. 加熱源が少なくとも1つの電球を含み、フィルタが、各々の電球を個々に取り巻く1つまたは複数のエンベロープを含む、請求項222に記載のシステム。
  226. センサが光センサである、請求項220に記載のシステム。
  227. 更に、入射パルス放射をサンプリングする第2の光センサを含み、前記入射パルス放射が、加熱源によって最初に放出され、その後で物体の前記第1の表面に入射する、請求項226に記載のシステム。
  228. 一連のパルスのパルス・エネルギーを使用して物体を処理する方法であって、前記パルスの各々はパルス・パラメータのセットによって特徴づけられ、前記方法は、
    パルス・パラメータの第1のセットを有する第1のエネルギー・パルスへ前記物体を露出して、物体の第1の温度応答を生成し、
    物体の第1の温度応答をセンスし、
    パルス・パラメータの第1のセットと組み合わせた前記第1の温度応答を使用して、少なくとも第2のエネルギー・パルスへ物体を露出するため、前記物体の目標条件に少なくとも部分的に基づいて、パルス・パラメータの第2のセットに対する物体の予測される応答を決定し、
    前記第2のエネルギー・パルスへ前記物体を露出して、前記物体の前記目標条件を少なくとも部分的に生成する
    ステップを含む方法。
  229. 前記物体が半導体基板である、請求項228に記載の方法。
  230. 前記第1のエネルギー・パルスおよび前記第2のエネルギー・パルスが、前記目標条件を部分的に生成することを超えては生成できないように構成され、追加パルスのセットへ物体を露出することによって物体が前記目標条件へ増分的に近づくように、前記方法が、追加パルスのセットを印加するステップを含む、請求項228に記載の方法。
  231. 一連のパルスのパルス・エネルギーを使用して物体を処理するシステムであって、前記パルスの各々はパルス・パラメータのセットによって特徴づけられ、前記システムは、
    パルス・パラメータの第1のセットを有する第1のエネルギー・パルスを含む前記一連のパルスへ前記物体を露出して、物体の第1の温度応答を生成する加熱配列と、
    物体の第1の温度応答をセンスするセンス配列と、
    パルス・パラメータの第1のセットと組み合わせた前記第1の温度応答を使用して、前記物体の目標条件に少なくとも部分的に基づいて、前記物体を少なくとも第2のエネルギー・パルスへ露出するため、パルス・パラメータの第2のセットに対する物体の予測される応答を決定し、加熱配列に少なくとも前記第2のエネルギー・パルスへ前記第1の表面を露出させ、前記物体の前記目標条件を少なくとも部分的に生成させる制御配列と
    を含むシステム。
  232. 前記物体が半導体基板である、請求項231に記載のシステム。
  233. 前記第1のエネルギー・パルスおよび前記第2のエネルギー・パルスが、前記目標条件を部分的に生成することを超えては生成できないように構成され、追加パルスのセットへ物体を露出することによって物体が前記目標条件へ増分的に近づくように、前記制御配列が追加パルスのセットを印加するように構成される、請求項231に記載のシステム。
  234. 第1の表面を有する物体を処理する方法であって、
    バックグラウンド加熱モードで物体をおおまかに加熱し、
    少なくとも第1のエネルギー・パルスへ表面を曝すことによって、パルス・モードで第1の表面を加熱し、
    パルスとの関係でバックグラウンド加熱モードを制御する
    ステップを含む方法。
JP2003582488A 2002-03-29 2003-03-19 加熱源の組み合わせを使用する半導体パルス加熱処理方法 Pending JP2005527972A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US36886302P 2002-03-29 2002-03-29
US10/209,155 US6849831B2 (en) 2002-03-29 2002-07-30 Pulsed processing semiconductor heating methods using combinations of heating sources
PCT/US2003/008623 WO2003085343A1 (en) 2002-03-29 2003-03-19 Pulsed processing semiconductor heating methods using combinations of heating sources

Publications (1)

Publication Number Publication Date
JP2005527972A true JP2005527972A (ja) 2005-09-15

Family

ID=28456815

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003582488A Pending JP2005527972A (ja) 2002-03-29 2003-03-19 加熱源の組み合わせを使用する半導体パルス加熱処理方法

Country Status (8)

Country Link
US (5) US6849831B2 (ja)
JP (1) JP2005527972A (ja)
KR (1) KR101078708B1 (ja)
CN (2) CN100444334C (ja)
AU (1) AU2003218303A1 (ja)
DE (1) DE10392472B4 (ja)
TW (1) TWI228778B (ja)
WO (1) WO2003085343A1 (ja)

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008102596A1 (ja) * 2007-02-20 2008-08-28 National Institute Of Advanced Industrial Science And Technology 半導体表面温度測定方法及びその装置
JP2008235858A (ja) * 2007-02-20 2008-10-02 National Institute Of Advanced Industrial & Technology 半導体表面温度測定方法及びその装置
JP2009099758A (ja) * 2007-10-17 2009-05-07 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2009188209A (ja) * 2008-02-06 2009-08-20 Panasonic Corp 不純物活性化熱処理方法及び熱処理装置
JP2009231694A (ja) * 2008-03-25 2009-10-08 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009260046A (ja) * 2008-04-17 2009-11-05 Dainippon Screen Mfg Co Ltd 熱処理装置および基板温度測定方法
JP2009260061A (ja) * 2008-04-17 2009-11-05 Dainippon Screen Mfg Co Ltd 石英窓の製造方法および熱処理装置
JP2010123588A (ja) * 2008-11-17 2010-06-03 Sumco Corp シリコンウェーハ及びその熱処理方法
JP2010141136A (ja) * 2008-12-11 2010-06-24 Fuji Electric Systems Co Ltd 半導体素子の製造方法
JP2010141103A (ja) * 2008-12-11 2010-06-24 Toshiba Corp 半導体装置の製造方法および熱処理装置
JP2010225613A (ja) * 2009-03-19 2010-10-07 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2010283163A (ja) * 2009-06-04 2010-12-16 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
US7897414B2 (en) 2008-01-11 2011-03-01 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device and thermal annealing apparatus
JP2011086645A (ja) * 2009-10-13 2011-04-28 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2011119562A (ja) * 2009-12-07 2011-06-16 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2011159713A (ja) * 2010-01-29 2011-08-18 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2011204742A (ja) * 2010-03-24 2011-10-13 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2012074430A (ja) * 2010-09-28 2012-04-12 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2012104808A (ja) * 2010-10-14 2012-05-31 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2013069990A (ja) * 2011-09-26 2013-04-18 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
US8466630B2 (en) 2010-04-19 2013-06-18 Ushio Denki Kabushiki Kaisha Discharge lamp lighting apparatus
US8624165B2 (en) 2010-09-16 2014-01-07 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus for heating substrate by irradiating substrate with flashes of light
JP2014007413A (ja) * 2013-08-19 2014-01-16 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP2014232885A (ja) * 2014-08-11 2014-12-11 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP2015065461A (ja) * 2014-12-01 2015-04-09 株式会社Screenホールディングス 熱処理方法
JP2015513094A (ja) * 2012-03-16 2015-04-30 セントロターム・サーマル・ソルーションズ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング・ウント・コンパニー・コマンデイトゲゼルシヤフト 基板の温度を測定する装置
US9343313B2 (en) 2011-03-23 2016-05-17 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
US9799517B2 (en) 2015-07-06 2017-10-24 SCREEN Holdings Co., Ltd. Apparatus and method for light-irradiation heat treatment
US9922889B2 (en) 2015-11-04 2018-03-20 SCREEN Holdings Co., Ltd. Thermal processing method and thermal processing apparatus through light irradiation
JP2018535542A (ja) * 2015-12-30 2018-11-29 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. ミリ秒アニールシステムのための予熱方法
US10249519B2 (en) 2016-02-24 2019-04-02 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
US10347512B2 (en) 2015-07-06 2019-07-09 SCREEN Holdings Co., Ltd. Method and apparatus for light-irradiation heat treatment
US10354894B2 (en) 2016-02-18 2019-07-16 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
JP2021034416A (ja) * 2019-08-16 2021-03-01 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
US11004693B2 (en) 2015-05-13 2021-05-11 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment method and heat treatment apparatus
US11089657B2 (en) 2015-03-06 2021-08-10 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
US11183403B2 (en) 2017-09-21 2021-11-23 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
TW200304175A (en) * 2001-11-12 2003-09-16 Sony Corp Laser annealing device and thin-film transistor manufacturing method
KR101067902B1 (ko) * 2001-12-26 2011-09-27 맷슨 테크날러지 캐나다 인코퍼레이티드 온도 측정 및 열처리 방법과 시스템
US6809801B2 (en) * 2002-03-11 2004-10-26 Sharp Laboratories Of America, Inc. 1:1 projection system and method for laser irradiating semiconductor films
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US7223660B2 (en) * 2002-07-31 2007-05-29 Intel Corporation Flash assisted annealing
JP2004134674A (ja) * 2002-10-11 2004-04-30 Toshiba Corp 基板処理方法、加熱処理装置、パターン形成方法
US7921802B2 (en) * 2002-12-09 2011-04-12 Nxp B.V. System and method for suppression of wafer temperature drift in cold-wall CVD systems
US9627244B2 (en) 2002-12-20 2017-04-18 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
JP4411907B2 (ja) * 2003-08-29 2010-02-10 セイコーエプソン株式会社 半導体装置の製造方法
JP2005079110A (ja) * 2003-08-29 2005-03-24 Toshiba Corp 半導体装置およびその製造方法
JP4618705B2 (ja) * 2003-09-18 2011-01-26 大日本スクリーン製造株式会社 熱処理装置
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
WO2005036627A1 (en) * 2003-10-03 2005-04-21 Applied Materials, Inc. Absorber layer for dynamic surface annealing processing
WO2005059991A1 (en) * 2003-12-19 2005-06-30 Mattson Technology Canada Inc. Apparatuses and methods for suppressing thermally induced motion of a workpiece
CN100389489C (zh) * 2003-12-30 2008-05-21 中芯国际集成电路制造(上海)有限公司 利用注入晶片的注入机的低能量剂量监测
US7781947B2 (en) * 2004-02-12 2010-08-24 Mattson Technology Canada, Inc. Apparatus and methods for producing electromagnetic radiation
US7750341B2 (en) * 2004-05-17 2010-07-06 The Regents Of The University Of California Bistable nanoparticle-polymer composite for use in memory devices
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
US20140003800A1 (en) * 2004-09-24 2014-01-02 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
TWI237857B (en) * 2004-10-21 2005-08-11 Nanya Technology Corp Method of fabricating MOS transistor by millisecond anneal
JP4444090B2 (ja) 2004-12-13 2010-03-31 東京エレクトロン株式会社 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
US20070037346A1 (en) * 2005-02-22 2007-02-15 Grant Robert W Rapid thermal annealing of targeted thin film layers
US7655160B2 (en) * 2005-02-23 2010-02-02 Electromagnetics Corporation Compositions of matter: system II
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7745762B2 (en) 2005-06-01 2010-06-29 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
WO2007011523A2 (en) * 2005-06-30 2007-01-25 Sc Materials, Inc. Rapid thermal annealing of targeted thin film layers
WO2007005489A2 (en) 2005-07-05 2007-01-11 Mattson Technology, Inc. Method and system for determining optical properties of semiconductor wafers
WO2007030941A1 (en) * 2005-09-14 2007-03-22 Mattson Technology Canada, Inc. Repeatable heat-treating methods and apparatus
US7184657B1 (en) 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
US10279557B2 (en) 2006-01-13 2019-05-07 Cmd Corporation Method and apparatus for making skirtless seals
US7445590B2 (en) 2006-01-13 2008-11-04 Cmd Corporation Method and apparatus for making bags
US8029428B2 (en) * 2006-01-13 2011-10-04 Cmd Corporation Method and apparatus for making skirtless seals
US7569463B2 (en) 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
JP4896555B2 (ja) * 2006-03-29 2012-03-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US7981212B2 (en) * 2006-03-29 2011-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Flash lamp annealing device
DE102006019807B3 (de) * 2006-04-21 2007-08-23 Leibnitz-Institut für Festkörper- und Werkstoffforschung Dresden e.V. Verfahren zur pyrometrischen Messung der Temperatur des Schmelzgutes in Einkristallzüchtungsanlagen
US20070293026A1 (en) * 2006-06-16 2007-12-20 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
US9301340B2 (en) 2006-06-26 2016-03-29 Tp Solar, Inc. IR conveyor furnace having single belt with multiple independently controlled processing lanes
US8571396B2 (en) * 2006-06-26 2013-10-29 Tp Solar, Inc. Rapid thermal firing IR conveyor furnace having high intensity heating section
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US8454356B2 (en) * 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
US7718707B2 (en) * 2006-12-21 2010-05-18 Innovalight, Inc. Method for preparing nanoparticle thin films
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
JP4874830B2 (ja) * 2007-02-06 2012-02-15 株式会社東芝 半導体装置の製造方法
JP5214153B2 (ja) * 2007-02-09 2013-06-19 大日本スクリーン製造株式会社 熱処理装置
CN101702950B (zh) 2007-05-01 2012-05-30 加拿大马特森技术有限公司 辐照脉冲热处理方法和设备
US8968438B2 (en) * 2007-07-10 2015-03-03 Innovalight, Inc. Methods and apparatus for the in situ collection of nucleated particles
US8471170B2 (en) * 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US20090053878A1 (en) * 2007-08-21 2009-02-26 Maxim Kelman Method for fabrication of semiconductor thin films using flash lamp processing
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
US20090107527A1 (en) * 2007-10-31 2009-04-30 United Microelectronics Corp. Method of cleaning transparent device in a thermal process apparatus, thermal process apparatus and process using the same thermal process apparatus
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US7800081B2 (en) * 2007-11-08 2010-09-21 Applied Materials, Inc. Pulse train annealing method and apparatus
US9498845B2 (en) * 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US20090130864A1 (en) * 2007-11-19 2009-05-21 Narendra Singh Mehta Systems and methods for flash annealing of semiconductor devices
DE102007058002B4 (de) * 2007-12-03 2016-03-17 Mattson Thermal Products Gmbh Vorrichtung zum thermischen Behandeln von scheibenförmigen Halbleitersubstraten
JP4816634B2 (ja) 2007-12-28 2011-11-16 ウシオ電機株式会社 基板加熱装置及び基板加熱方法
JP2009164451A (ja) * 2008-01-09 2009-07-23 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009164525A (ja) * 2008-01-10 2009-07-23 Dainippon Screen Mfg Co Ltd 熱処理装置
US10260811B2 (en) * 2008-03-05 2019-04-16 Ivoclar Vivadent Ag Dental furnace
JP5291965B2 (ja) * 2008-03-25 2013-09-18 大日本スクリーン製造株式会社 熱処理装置
JP5346484B2 (ja) * 2008-04-16 2013-11-20 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
EP2289095B1 (en) * 2008-05-02 2019-07-03 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
JP5214347B2 (ja) * 2008-06-24 2013-06-19 株式会社東芝 半導体装置の製造方法および半導体装置の製造装置
KR101610260B1 (ko) * 2008-12-15 2016-04-08 삼성전자주식회사 전자빔 어닐링 장치 및 이를 이용한 어닐링 방법
KR101565794B1 (ko) * 2008-12-16 2015-11-05 삼성전자주식회사 게더링 효과를 향상시킬 수 있는 실리콘 기판 및 실리콘 웨이퍼, 상기 실리콘 웨이퍼의 열처리 방법
US8461033B2 (en) * 2009-01-13 2013-06-11 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and method for heating substrate by light-irradiation
US8912102B2 (en) * 2009-03-02 2014-12-16 Globalfoundries Singapore Pte. Ltd. Laser annealing
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
US8129284B2 (en) * 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
GB2474032B (en) 2009-10-01 2016-07-27 Heraeus Noblelight Gmbh Flash lamp or gas discharge lamp with integrated reflector
KR101206500B1 (ko) * 2010-02-26 2012-11-29 에스케이하이닉스 주식회사 반도체 장치의 트랜지스터 제조 방법
KR101097348B1 (ko) * 2010-03-11 2011-12-23 삼성모바일디스플레이주식회사 결정화 장치, 결정화 방법, 박막 트랜지스터 제조 방법 및 유기 발광 표시 장치의 제조 방법
US8907258B2 (en) * 2010-04-08 2014-12-09 Ncc Nano, Llc Apparatus for providing transient thermal profile processing on a moving substrate
JP5556431B2 (ja) * 2010-06-24 2014-07-23 富士電機株式会社 半導体装置の製造方法
US20120181265A1 (en) * 2010-07-15 2012-07-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
JP5530856B2 (ja) * 2010-08-18 2014-06-25 信越半導体株式会社 ウエーハの熱処理方法及びシリコンウエーハの製造方法並びに熱処理装置
JP5606852B2 (ja) * 2010-09-27 2014-10-15 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
CN102538453B (zh) * 2010-09-28 2015-03-04 Tp太阳能公司 具有高反射率加热区段的快速热焙烧红外线传送带式热处理炉
US8383513B2 (en) 2010-10-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric rapid thermal annealing to reduce pattern effect
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
WO2012048419A1 (en) 2010-10-15 2012-04-19 Mattson Technology Canada, Inc. Methods, apparatus and media for determining a shape of an irradiance pulse to which a workpiece is to be exposed
EP2643487A4 (en) 2010-11-22 2018-05-30 Electromagnetics Corporation Devices for tailoring materials
CN102485935B (zh) * 2010-12-06 2013-11-13 北京北方微电子基地设备工艺研究中心有限责任公司 均热板及应用该均热板的基片处理设备
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
TWI467660B (zh) 2011-03-14 2015-01-01 Screen Holdings Co Ltd Heat treatment method and heat treatment device
US8247741B2 (en) 2011-03-24 2012-08-21 Primestar Solar, Inc. Dynamic system for variable heating or cooling of linearly conveyed substrates
US20120060758A1 (en) * 2011-03-24 2012-03-15 Primestar Solar, Inc. Dynamic system for variable heating or cooling of linearly conveyed substrates
US10150230B2 (en) 2011-04-08 2018-12-11 Ncc Nano, Llc Method for drying thin films in an energy efficient manner
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
JP5944131B2 (ja) 2011-09-27 2016-07-05 株式会社Screenホールディングス 熱処理方法
JP5951241B2 (ja) * 2011-12-07 2016-07-13 株式会社Screenホールディングス 熱処理方法および熱処理装置
US20140011373A1 (en) * 2011-12-28 2014-01-09 Aravind Killampalli Annealing a sacrificial layer
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US9449825B2 (en) * 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
CN103374698A (zh) * 2012-04-23 2013-10-30 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室以及等离子体加工设备
TWI494174B (zh) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
TWI624862B (zh) * 2012-06-11 2018-05-21 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
JP5955658B2 (ja) * 2012-06-15 2016-07-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
KR101428569B1 (ko) * 2012-07-04 2014-09-25 엘지디스플레이 주식회사 개선된 기판 열처리용 챔버 및 이를 구비한 기판 열처리 장치 및 방법
KR101503117B1 (ko) * 2012-08-31 2015-03-16 엘지디스플레이 주식회사 경화장치
US9750091B2 (en) * 2012-10-15 2017-08-29 Applied Materials, Inc. Apparatus and method for heat treatment of coatings on substrates
JP5996409B2 (ja) * 2012-12-12 2016-09-21 株式会社Screenホールディングス 熱処理装置および熱処理方法
KR102090708B1 (ko) * 2013-01-22 2020-04-16 삼성디스플레이 주식회사 레이저 어닐링 장치
US9786529B2 (en) 2013-03-11 2017-10-10 Applied Materials, Inc. Pyrometry filter for thermal process chamber
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
US9754807B2 (en) * 2013-03-12 2017-09-05 Applied Materials, Inc. High density solid state light source array
US20140342473A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Semiconductor processing method
KR102271250B1 (ko) * 2013-05-15 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 램프 가열 어셈블리를 위한 확산기
CN103325961B (zh) * 2013-05-22 2016-05-18 上海和辉光电有限公司 Oled封装加热装置及工艺方法
US10526232B2 (en) * 2013-05-30 2020-01-07 Ppg Industries Ohio, Inc. Microwave heating glass bending process
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
KR101464662B1 (ko) * 2013-07-24 2014-11-25 주식회사 나래나노텍 개선된 보트, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
KR101462460B1 (ko) * 2013-07-24 2014-11-18 주식회사 나래나노텍 기판 열처리용 챔버의 분할된 윈도우 플레이트 지지 장치, 및 이를 구비한 기판 열처리용 챔버 및 기판 열처리 장치
US9958709B2 (en) * 2013-08-16 2018-05-01 Applied Materials, Inc. Dynamic optical valve for mitigating non-uniform heating in laser processing
DE102013113866B4 (de) 2013-12-11 2019-03-07 Fhr Anlagenbau Gmbh Anordnung zur thermischen Prozessierung von Substraten
EP3083034A4 (en) * 2013-12-20 2017-09-13 Xenon Corporation Continuous flash lamp sintering
US20150311067A1 (en) * 2014-04-24 2015-10-29 Applied Materials, Inc. Millisecond annealing in ammonia ambient for precise placement of nitrogen in thin film stacks
US10403880B2 (en) * 2015-09-11 2019-09-03 Iftikhar Ahmad Apparatus and method for processing battery electrodes
US20170194162A1 (en) * 2016-01-05 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing equipment and method for treating wafer
US10009957B2 (en) 2016-03-30 2018-06-26 The Markov Corporation Electronic oven with infrared evaluative control
KR102527578B1 (ko) * 2016-05-24 2023-05-02 삼성전자주식회사 기판 가열 방법
JP6839939B2 (ja) * 2016-07-26 2021-03-10 株式会社Screenホールディングス 熱処理方法
US10840114B1 (en) * 2016-07-26 2020-11-17 Raytheon Company Rapid thermal anneal apparatus and method
RU2638690C1 (ru) * 2016-08-11 2017-12-15 Общество с ограниченной ответственностью НТЦ "АгроЭСБ" Способ сушки семян рапса
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
DE102016119703A1 (de) * 2016-10-17 2018-04-19 Kraussmaffei Technologies Gmbh Verfahren und Vorrichtung zur Herstellung von Formteilen mit einem Halbzeug
US11387507B2 (en) * 2017-01-19 2022-07-12 National Research Council Of Canada Apparatus and method for initiating thermal runaway in a battery
US11193178B2 (en) 2017-08-16 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Thermal processing of closed shape workpieces
JP6942615B2 (ja) * 2017-11-20 2021-09-29 株式会社Screenホールディングス 熱処理方法および熱処理装置
TWI778205B (zh) * 2018-03-13 2022-09-21 日商住友重機械工業股份有限公司 雷射功率控制裝置、雷射加工裝置及雷射功率控制方法
CN112385028A (zh) * 2018-04-12 2021-02-19 玛特森技术公司 低热量预算退火
US10879371B2 (en) * 2018-06-12 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment for gate dielectrics
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
EP3667704A1 (en) * 2018-12-13 2020-06-17 Laser Systems & Solutions of Europe Method for thermally processing a substrate and associated system
CN109798768A (zh) * 2018-12-17 2019-05-24 浙江智造热成型科技有限公司 高效率的箱式加热炉
JP2023516623A (ja) 2020-02-28 2023-04-20 マトソン テクノロジー インコーポレイテッド 熱処理システムにおけるワークピースの透過ベースの温度測定
JP7461214B2 (ja) * 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置
CN111621853A (zh) * 2020-05-29 2020-09-04 武汉大学 脉冲微波及射频电磁感应两段式晶体生长退火装置
JP2023553774A (ja) * 2020-08-13 2023-12-26 シーアイ システムズ(イスラエル)エルティーディー. 温度測定装置と放射源との間の同期
CN113238279B (zh) * 2021-05-10 2023-05-12 中国辐射防护研究院 一种可产生单脉冲γ射线的辐射装置
WO2023212325A1 (en) * 2022-04-29 2023-11-02 Cornell University Microwave annealer for semiconductor wafers
US11621168B1 (en) 2022-07-12 2023-04-04 Gyrotron Technology, Inc. Method and system for doping semiconductor materials

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5567132A (en) * 1978-11-15 1980-05-21 Toshiba Corp Method for manufacturing semiconductor device
JPS5750427A (en) * 1980-09-12 1982-03-24 Ushio Inc Annealing device and annealing method
JPS58127381A (ja) * 1982-01-26 1983-07-29 Seiko Epson Corp 半導体装置の製造方法
JPS60258928A (ja) * 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド 半導体ウエ−ハの加熱装置および方法
US4615765A (en) * 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
JPS63181419A (ja) * 1987-01-23 1988-07-26 Matsushita Electronics Corp 電界効果トランジスタの製造方法
JPH05114570A (ja) * 1991-10-03 1993-05-07 Dainippon Screen Mfg Co Ltd 光照射加熱装置
JPH08184496A (ja) * 1994-10-17 1996-07-16 Internatl Business Mach Corp <Ibm> 放熱物体の温度測定に使用する角濾波による放射輝度の測定
WO1999010718A1 (en) * 1997-08-27 1999-03-04 Steag Rtp Systems Gmbh Method and apparatus for improved temperature control in rapid thermal processing (rtp) systems
JPH11237281A (ja) * 1997-12-08 1999-08-31 Steag Ast Elektronik Gmbh 電磁放射の測定方法
JP2002198322A (ja) * 2000-12-27 2002-07-12 Ushio Inc 熱処理方法及びその装置
JP2004515085A (ja) * 2000-12-04 2004-05-20 ボルテック インダストリーズ リミテッド 熱処理方法およびシステム

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151008A (en) 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4501323A (en) * 1982-12-27 1985-02-26 Hughes Tool Company Method and apparatus for monitoring the corrosive effects of well fluids
JPS59169125A (ja) 1983-03-16 1984-09-25 Ushio Inc 半導体ウエハ−の加熱方法
US4649261A (en) 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4698486A (en) 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4865683A (en) * 1988-11-03 1989-09-12 Lasa Industries, Inc. Method and apparatus for laser process control
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
FR2674768B1 (fr) 1991-04-02 1994-09-02 France Telecom Procede de traitement photochimique d'un materiau utilisant une source de lumiere a tubes a eclairs.
US5508934A (en) * 1991-05-17 1996-04-16 Texas Instruments Incorporated Multi-point semiconductor wafer fabrication process temperature control system
JP3466633B2 (ja) * 1991-06-12 2003-11-17 ソニー株式会社 多結晶半導体層のアニール方法
JP3277533B2 (ja) * 1992-01-08 2002-04-22 ソニー株式会社 半導体装置の製造方法
KR100255689B1 (ko) * 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
US5487768A (en) 1994-01-31 1996-01-30 Zytka; Donald J. Minienvironment for material handling
US6059873A (en) * 1994-05-30 2000-05-09 Semiconductor Energy Laboratory Co., Ltd. Optical processing method with control of the illumination energy of laser light
DE19513749B4 (de) * 1995-04-11 2004-07-01 Infineon Technologies Ag Verfahren und Vorrichtung zur Bestimmung des Emissionsfaktors von Halbleitermaterialien durch Bestrahlung mit elektromagnetischen Wellen
JPH09246202A (ja) 1996-03-07 1997-09-19 Shin Etsu Handotai Co Ltd 熱処理方法および半導体単結晶基板
US6179465B1 (en) 1996-03-28 2001-01-30 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a thermal processing system using multiple light sources
US5847768A (en) * 1996-04-26 1998-12-08 Lg Electronics Inc. Apparatus for converting screen aspect ratio
US6156030A (en) * 1997-06-04 2000-12-05 Y-Beam Technologies, Inc. Method and apparatus for high precision variable rate material removal and modification
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6965092B2 (en) 2001-02-12 2005-11-15 Hitachi Kokusai Electric, Inc. Ultra fast rapid thermal processing chamber and method of use
US6656749B1 (en) * 2001-12-13 2003-12-02 Advanced Micro Devices, Inc. In-situ monitoring during laser thermal annealing
KR101067902B1 (ko) * 2001-12-26 2011-09-27 맷슨 테크날러지 캐나다 인코퍼레이티드 온도 측정 및 열처리 방법과 시스템
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5567132A (en) * 1978-11-15 1980-05-21 Toshiba Corp Method for manufacturing semiconductor device
JPS5750427A (en) * 1980-09-12 1982-03-24 Ushio Inc Annealing device and annealing method
JPS58127381A (ja) * 1982-01-26 1983-07-29 Seiko Epson Corp 半導体装置の製造方法
JPS60258928A (ja) * 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド 半導体ウエ−ハの加熱装置および方法
US4615765A (en) * 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
JPS63181419A (ja) * 1987-01-23 1988-07-26 Matsushita Electronics Corp 電界効果トランジスタの製造方法
JPH05114570A (ja) * 1991-10-03 1993-05-07 Dainippon Screen Mfg Co Ltd 光照射加熱装置
JPH08184496A (ja) * 1994-10-17 1996-07-16 Internatl Business Mach Corp <Ibm> 放熱物体の温度測定に使用する角濾波による放射輝度の測定
WO1999010718A1 (en) * 1997-08-27 1999-03-04 Steag Rtp Systems Gmbh Method and apparatus for improved temperature control in rapid thermal processing (rtp) systems
JPH11237281A (ja) * 1997-12-08 1999-08-31 Steag Ast Elektronik Gmbh 電磁放射の測定方法
JP2004515085A (ja) * 2000-12-04 2004-05-20 ボルテック インダストリーズ リミテッド 熱処理方法およびシステム
JP2002198322A (ja) * 2000-12-27 2002-07-12 Ushio Inc 熱処理方法及びその装置

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008235858A (ja) * 2007-02-20 2008-10-02 National Institute Of Advanced Industrial & Technology 半導体表面温度測定方法及びその装置
WO2008102596A1 (ja) * 2007-02-20 2008-08-28 National Institute Of Advanced Industrial Science And Technology 半導体表面温度測定方法及びその装置
JP2009099758A (ja) * 2007-10-17 2009-05-07 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
US7897414B2 (en) 2008-01-11 2011-03-01 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device and thermal annealing apparatus
US8237264B2 (en) 2008-01-11 2012-08-07 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device and thermal annealing apparatus
JP2009188209A (ja) * 2008-02-06 2009-08-20 Panasonic Corp 不純物活性化熱処理方法及び熱処理装置
JP2009231694A (ja) * 2008-03-25 2009-10-08 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009260046A (ja) * 2008-04-17 2009-11-05 Dainippon Screen Mfg Co Ltd 熱処理装置および基板温度測定方法
JP2009260061A (ja) * 2008-04-17 2009-11-05 Dainippon Screen Mfg Co Ltd 石英窓の製造方法および熱処理装置
JP2010123588A (ja) * 2008-11-17 2010-06-03 Sumco Corp シリコンウェーハ及びその熱処理方法
JP2010141136A (ja) * 2008-12-11 2010-06-24 Fuji Electric Systems Co Ltd 半導体素子の製造方法
JP2010141103A (ja) * 2008-12-11 2010-06-24 Toshiba Corp 半導体装置の製造方法および熱処理装置
JP2010225613A (ja) * 2009-03-19 2010-10-07 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2010283163A (ja) * 2009-06-04 2010-12-16 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2011086645A (ja) * 2009-10-13 2011-04-28 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2011119562A (ja) * 2009-12-07 2011-06-16 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2011159713A (ja) * 2010-01-29 2011-08-18 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
US10978309B2 (en) 2010-01-29 2021-04-13 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by emitting flashing light
US9082728B2 (en) 2010-01-29 2015-07-14 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by emitting flashing light
JP2011204742A (ja) * 2010-03-24 2011-10-13 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
US8466630B2 (en) 2010-04-19 2013-06-18 Ushio Denki Kabushiki Kaisha Discharge lamp lighting apparatus
US8624165B2 (en) 2010-09-16 2014-01-07 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus for heating substrate by irradiating substrate with flashes of light
US9025943B2 (en) 2010-09-28 2015-05-05 SCREEN Holdings Co., Ltd. Heat treatment apparatus and heat treatment method for heating substrate by irradiating substrate with flashes of light
JP2012074430A (ja) * 2010-09-28 2012-04-12 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2012104808A (ja) * 2010-10-14 2012-05-31 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
US10879072B2 (en) 2011-03-23 2020-12-29 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
US9343313B2 (en) 2011-03-23 2016-05-17 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
US9805932B2 (en) 2011-03-23 2017-10-31 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
US10276385B2 (en) 2011-03-23 2019-04-30 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
JP2013069990A (ja) * 2011-09-26 2013-04-18 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2015513094A (ja) * 2012-03-16 2015-04-30 セントロターム・サーマル・ソルーションズ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング・ウント・コンパニー・コマンデイトゲゼルシヤフト 基板の温度を測定する装置
JP2014007413A (ja) * 2013-08-19 2014-01-16 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP2014232885A (ja) * 2014-08-11 2014-12-11 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP2015065461A (ja) * 2014-12-01 2015-04-09 株式会社Screenホールディングス 熱処理方法
US11089657B2 (en) 2015-03-06 2021-08-10 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
US11004693B2 (en) 2015-05-13 2021-05-11 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment method and heat treatment apparatus
US9799517B2 (en) 2015-07-06 2017-10-24 SCREEN Holdings Co., Ltd. Apparatus and method for light-irradiation heat treatment
US10347512B2 (en) 2015-07-06 2019-07-09 SCREEN Holdings Co., Ltd. Method and apparatus for light-irradiation heat treatment
US10297514B2 (en) 2015-11-04 2019-05-21 SCREEN Holdings Co., Ltd. Thermal processing method and thermal processing apparatus through light irradiation
US10490465B2 (en) 2015-11-04 2019-11-26 SCREEN Holdings Co., Ltd. Thermal processing method and thermal processing apparatus through light irradiation
US9922889B2 (en) 2015-11-04 2018-03-20 SCREEN Holdings Co., Ltd. Thermal processing method and thermal processing apparatus through light irradiation
JP2018535542A (ja) * 2015-12-30 2018-11-29 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. ミリ秒アニールシステムのための予熱方法
US10354894B2 (en) 2016-02-18 2019-07-16 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
US10249519B2 (en) 2016-02-24 2019-04-02 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
US11183403B2 (en) 2017-09-21 2021-11-23 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus
JP2021034416A (ja) * 2019-08-16 2021-03-01 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
JP7304768B2 (ja) 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法

Also Published As

Publication number Publication date
US20030183612A1 (en) 2003-10-02
US6849831B2 (en) 2005-02-01
DE10392472B4 (de) 2018-01-18
US20040149715A1 (en) 2004-08-05
US8000587B2 (en) 2011-08-16
CN101392409B (zh) 2010-12-01
WO2003085343A1 (en) 2003-10-16
CN101392409A (zh) 2009-03-25
AU2003218303A1 (en) 2003-10-20
KR101078708B1 (ko) 2011-11-01
DE10392472T5 (de) 2005-02-17
US20080069550A1 (en) 2008-03-20
US20050236395A1 (en) 2005-10-27
US6951996B2 (en) 2005-10-04
CN1643323A (zh) 2005-07-20
US8837923B2 (en) 2014-09-16
US7317870B2 (en) 2008-01-08
TW200306630A (en) 2003-11-16
US20110236844A1 (en) 2011-09-29
TWI228778B (en) 2005-03-01
CN100444334C (zh) 2008-12-17
KR20050007451A (ko) 2005-01-18

Similar Documents

Publication Publication Date Title
JP2005527972A (ja) 加熱源の組み合わせを使用する半導体パルス加熱処理方法
US10879072B2 (en) Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
US6963692B2 (en) Heat-treating methods and systems
US9437456B2 (en) Heat treatment apparatus emitting flash of light
US20140212117A1 (en) Heat treatment apparatus heating substrate by irradiation with light
TW201013789A (en) Managing thermal budget in annealing of substrates
US8802550B2 (en) Heat treatment method for heating substrate by irradiating substrate with flash of light
TWI763988B (zh) 低熱積存退火

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100316

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100616

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100623

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100716

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100816

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110627

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110810

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20111028

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120517

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120717

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120723