DE10392472B4 - Halbleitererhitzungsverfahren mit gepulster Verarbeitung unter Verwendung von kombinierten Heizquellen - Google Patents

Halbleitererhitzungsverfahren mit gepulster Verarbeitung unter Verwendung von kombinierten Heizquellen Download PDF

Info

Publication number
DE10392472B4
DE10392472B4 DE10392472.8T DE10392472T DE10392472B4 DE 10392472 B4 DE10392472 B4 DE 10392472B4 DE 10392472 T DE10392472 T DE 10392472T DE 10392472 B4 DE10392472 B4 DE 10392472B4
Authority
DE
Germany
Prior art keywords
pulse
temperature
semiconductor substrate
heating
heating mode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10392472.8T
Other languages
English (en)
Other versions
DE10392472T5 (de
Inventor
Paul J. Timans
Narasimha Acharya
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Co Ltd Cn
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of DE10392472T5 publication Critical patent/DE10392472T5/de
Application granted granted Critical
Publication of DE10392472B4 publication Critical patent/DE10392472B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Control Of Resistance Heating (AREA)
  • Furnace Details (AREA)
  • Investigating Or Analyzing Materials Using Thermal Means (AREA)
  • Recrystallisation Techniques (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Resistance Heating (AREA)

Abstract

Verfahren zur Verarbeitung eines Halbleitersubstrats mit gegenüberliegenden Hauptoberflächen mit ersten und zweiten Oberflächen, wobei das genannte Verfahren die folgenden Schritte umfasst: das kontrollierte Zuführen von Hitze an das Halbleitersubstrat während eines Grundheizmodus unter Verwendung einer Heizanordnung, wodurch das Halbleitersubstrat selektiv erhitzt wird, so dass ein Temperaturanstieg in dem Halbleitersubstrat erzeugt und dann das Halbleitersubstrat auf einer ersten Temperatur gehalten wird, die unter der gewünschten, maximalen Behandlungstemperatur liegt; das Erhitzen der ersten Oberfläche des Halbleitersubstrats unter Verwendung der Heizanordnung in einem gepulsten Heizmodus, zusammenwirkend mit dem genannten Grundheizmodus, indem die erste Oberfläche zumindest einem ersten Energieimpuls mit einer Impulsdauer ausgesetzt wird, wobei der erste Impuls zu einem Zeitpunkt während des Grundheizmodus zugeführt wird, und das Kontrollieren des genannten Grundheizmodus in einem zeitlich gesteuerten Verhältnis zu dem genannten ersten Impuls, wobei die durch den Grundheizmodus zugeführte Hitze innerhalb eines bestimmten Intervalls im Verhältnis zu dem Einleiten des genannten, ersten Impulses derart reduziert wird, dass die Temperatur der zweiten Oberfläche bei der ersten Temperatur bleibt.

Description

  • Die vorliegende Schrift betrifft Verfahren und Systeme zur Wärmebehandlung von Halbleiterwafern mit kurzen, energiereichen Impulsen in Kombination mit Grundheizquellen, wie einschließlich beispielsweise Wolfram-Halogen-Lampen oder Lichtbogenlampen.
  • Bei der Herstellung elektrischer Bauelemente, wie beispielsweise Mikroprozessoren und anderer Computerchips, wird ein Halbleiterwafer, wie beispielsweise ein Siliciumwafer, einem Ionenimplantierungsverfahren unterzogen, bei dem Fremdatome oder Dotanden in eine Oberflächenregion einer Bauelementseite des Wafers eingebracht werden. Das Ionenimplantierungsverfahren beschädigt die Kristallgitterstruktur der Oberflächenregion des Wafers, wodurch die implantierten Dotierungsatome in Zwischengitterplätzen zurückbleiben, wo sie elektrisch inaktiv sind. Um die Dotierungsatome in Substitutionsgitterplätze zu bewegen, damit sie elektrisch aktiv werden, und um die Schäden in der Kristallgitterstruktur zu reparieren (”auszuheilen”), zu denen es während der Ionenimplantierung kommt, wird die Oberflächenregion der Bauelementseite des Wafers durch Erhitzen auf eine hohe Temperatur ausgeheilt.
  • Nach dem Stand der Technik sind drei Arten von Halbleiterwaferheizverfahren bekannt, die mit dem Ausheilen verbunden sind:
    Adiabatisch – wobei die Energie für eine sehr kurze Dauer von 10 × 10–9 bis 100 × 10–9 Sekunden von einer Impulsenergiequelle (wie beispielsweise einem Laser, einem Ionenstrahl, einem Elektronenstrahl) zugeführt wird. Diese kurzzeitige Hochleistungsenergie schmilzt die Oberfläche des Halbleiters auf eine Tiefe von etwa 1 bis 2 Mikrometer.
  • Wärmefluss – wobei Energie für eine Dauer von 5 × 10–6 bis 2 × 10–2 Sekunden zugeführt wird. Wärmeflusserhitzung erzeugt einen deutlichen Temperaturgradienten, der sich über weit mehr als zwei Mikrometer unter die Oberfläche des Wafers erstreckt, aber bewirkt nicht annähernd eine gleichmäßige Erhitzung über die gesamte Dicke des Wafers. Isothermisch – wobei Energie für eine Dauer von 1 bis 100 Sekunden zugeführt wird, so dass die Temperatur des Wafers in jeder Region seiner Dicke im Wesentlichen gleichmäßig verteilt ist. Siehe beispielsweise US 4 649 261 A Spalte 3, Zeile 65, bis Spalte 4, Zeile 13.
  • Leider können die hohen Temperaturen, die für das Ausheilen der Bauelementseite eines Halbleiterwafers erforderlich sind, bei Verwendung vorhandener Techniken unerwünschte Nebenwirkungen hervorrufen. Beispielsweise diffundieren Dotierungsatome bei hohen Temperaturen weitaus schneller in den Siliciumwafer hinein, wobei der Großteil der Diffusion bei Temperaturen stattfindet, die nahe der hohen Ausheiltemperatur liegen, welche erforderlich ist, um die Dotanden zu aktivieren. Wegen der zunehmenden Leistungsanforderungen, die an Halbleiterwafer gestellt werden, und der abnehmenden Bauteilgrößen ist es erforderlich, immer flachere und abrupter definierte Übergänge herzustellen.
  • Herkömmliche Verfahren zur schnellen, thermischen Verarbeitung (Rapid Thermal Processing – RTP) erhitzen Halbleiterwafer in fast-isothermischer Weise dergestalt, dass der gesamte Wafer auf eine hohe Temperatur erhitzt wird. Bei schnellen, thermischen Ausheilverfahren ist es ein erwünschtes Ziel, den Wafer mit einer sehr hohen Rate zu erhitzen, aber den Wafer für eine möglichst kurze Zeitspanne auf der gewünschten Spitzentemperatur zu halten. Auf das Erhitzen erfolgt eine schnellstmögliche Abkühlung. Dies ermöglicht die erforderliche Ausheilung bei gleichzeitiger Minimierung unerwünschter Nebenwirkungen, wie beispielsweise übermäßige Dotierungsdiffusion im Wafer-Grundmaterial. Beim schnellen, thermischen Ausheilen erfolgt das Erhitzen im Allgemeinen durch Aktivieren einer Gruppierung von Wolfram-Halogen-Lampen, die über der Bauelementseite des Wafers angeordnet sind. Die Heizrate ist durch die thermische Masse des Halbleiterwafers begrenzt. Darum ist eine sehr hohe Lampenleistung erforderlich, um die gewünschte Spitzenheiztemperatur zu erreichen. Dies führt zu sehr hohen Leistungsstößen während des Aufheizens. Darüber hinaus begrenzen die thermischen Massen der Glühwendel die Geschwindigkeit, mit der die Wärmestrahlung abgeschaltet werden kann, was die Zeitdauer verlängern kann, die der Wafer bei oder nahe der Spitzentemperatur verbringt. Diese Zeitkonstante ist für typische Wolfram-Halogen-Lampen relativ lang: in der Größenordnung von 0,3 Sekunden. Darum bleiben die Glühwendel heiß und bestrahlen den Wafer weiter, nachdem der Strom abgeschaltet wurde.
  • Der bei weitem überwiegende Teil der Dotierungsdiffusion vollzieht sich im höchsten Temperaturbereich des Ausheilungszyklus'. Niedrigere Ausheilungstemperaturen führen zu deutlich weniger Aktivierung der Dotanden und somit zu einem höheren Schichtwiderstand des Wafers, wodurch die heutigen und/oder künftigen, akzeptablen Schichtwiderstandsgrenzwerte für hochentwickelte Verarbeitungsbausteine überschritten werden. Das heißt, niedrigere Ausheilungstemperaturen lösen keine Dotierungsdiffusionsprobleme.
  • In dem Maße, wie sich der Stand der Technik bei der Bauelementherstellung in Richtung von Bauelementen mit schrittweise abnehmenden Übergangstiefen entwickelt hat, hat sich damit einhergehend der Gedanke herausgebildet, dass die Wärmebehandlung durch gepulste Heizverfahren und -Systeme zur Verarbeitung von Halbleiterwafern optimiert werden kann. Wenigstens ein Konzept, das gegen Ende der 1980-er Jahre aufkam, sah eine Niedrigtemperatur-Grundheizstufe vor, auf die eine gepulste Ausheilungsstufe folgte. Bei der Niedrigtemperatur-Grundheizstufe wurde in der Regel der Wafer mit Wolfram-Halogen-Lampen auf eine Temperatur im mittleren Bereich, wie beispielsweise 600°C, erhitzt, woraufhin die Temperatur rasch mit einem sehr kurzen Blitzlampen-Impuls, beispielsweise 400 μs, auf 1.100°C erhöht wurde. Dann ließ man den Wafer durch Wärmeabstrahlung abkühlen. Es wurde weder eine Technik zum Steuern der Wiederholbarkeit des Verfahrens (wobei am Ende eines isothermischen Ausheilens einfach Blitzlampen zugeführt werden), das mit Impulserhitzung arbeitete, bereitgestellt, noch war die Wiederholbarkeit von einem Wafer zum nächsten gegeben. Des Weiteren wurde – im Hinblick auf die Prozesssteuerung in Bezug auf die Wiederholbarkeit – eine einfache, thermostatische Regelung des Grundheizvorgangs verwendet. Siehe beispielsweise J. R. Logan und Mitarbeiter: ”Recrystallisation of amorphous silicon films by rapid isothermal and transient annealing”, ”Semiconductor Sci. Tech.”, 3, S. 437 (1988), und J. L. Altrip und Mitarbeiter: ”High temperature millisecond annealing of arsenic implanted silicon”, ”Solid-State Electronics”, 33, S. 659 (1990). Man sollte auch anmerken, dass diese zwei Verweisstellen zwar alle beide eine einfache, thermostatische Regelung des Grundheizvorgangs während der Impulszufuhr verwenden, aber die Verweisstelle Logan noch stärker bei der Veranschaulichung einer Implementierung einer solchen Regelung beschränkt ist, weil die Temperatur des behandelten Substrats nur indirekt überwacht wird. Das heißt, das behandelte Substrat wird durch ein Trägersubstrat getragen. Es wird nicht die Temperatur des eigentlich behandelten Substrats überwacht, sondern die Temperatur des Trägersubstrats. Leider birgt diese Anordnung das Risiko, die Probleme in Verbindung mit der thermostatischen Regelung weiter zu verstärken, weil hier eine Ungewissheit bezüglich der Temperatur des eigentlich behandelten Objekts besteht.
  • Die US 4 649 261 A und US 4 698 486 A offenbaren – in einer alternativen Ausführungsform – Verfahren zum Erhitzen eines Halbleiterwafers durch Kombinieren isothermischer Erhitzung mit Wärmeflusserhitzung (beispielsweise 11). Der gesamte Wafer wird zunächst mittels isothermischer Erhitzung, beispielsweise mit Dauerstrichlampen, auf eine erste Zwischentemperatur erhitzt. Dann wird die Vorderseite des Wafers mittels Wärmeflusserhitzung (mit einem gepulsten Mittel, wie beispielsweise einer Hochleistungs-Impulslampenanordnung) erhitzt. Die Heizverfahren werden durchgeführt, während der Wafer und die Heizquellen in einem integrierenden Lichtleiter oder Kaleidoskop mit reflektierenden Innenflächen, die Energiestrahlung zum Wafer hin reflektieren und wieder-reflektieren, angeordnet sind. Die Patente beschreiben keine Mehrimpulsheizmodi, und es werden keine Techniken bereitgestellt, welche die Wiederholbarkeit der Erhitzung durch eine Mehrzahl von Impulsen oder von einem Wafer zum nächsten steuern.
  • Wir meinen, dass sich die Impulsmoduserhitzung so, wie sie nach dem Stand der Technik ausgeführt wird, trotz der ihr zugestandenen Vorteile nur in begrenztem Maß bewährt hat, da bestimmte Schwierigkeiten, die mit ihrem Gebrauch verbunden sind, noch nicht in geeigneter Form gelöst wurden, wie weiter unten beschrieben wird.
  • US 4 504 323 A bespricht ein Ausheilungsverfahren, bei dem ein Halbleiterwafer in einem Ofen auf 400°C vorgewärmt und anschließend mit einer Gruppierung von Blitzentladungslampen für eine Impulsdauer von 800 μs bestrahlt wird. Die Vorwärmtemperatur liegt unter der gewünschten Ausheilungstemperatur, so dass es zu keiner Dotierungsdiffusion kommt. Das Patent offenbart keine Mehrimpulsheizmodi, und es werden keine Techniken bereitgestellt, welche die Wiederholbarkeit der Erhitzung durch eine Mehrzahl von Impulsen oder von einem Wafer zum nächsten steuern.
  • US 4 615 765 A offenbart eine thermische Verarbeitung mittels Laser- oder Teilchenstrahlquellen. Das Patent konzentriert sich auf Verfahren zur selektiven Leistungszufuhr vom Laser zu bestimmten Regionen des Halbleiterwafers, so dass die gewünschten Regionen erhitzt werden, ohne dass andere Regionen erhitzt werden. Das Verfahren basiert darauf, dass die Absorptionseigenschaften von zwei Regionen exakt so eingestellt werden, dass es zu unterschiedlichen Temperaturanstiegen von den Impulsen mit zuvor festgelegter Impulsenergie, zuvor festgelegter Impulsdauer und zuvor festgelegtem Impulsintervall kommt. Es werden keine Techniken bereitgestellt, welche die Wiederholbarkeit der Erhitzung durch eine Mehrzahl von Impulsen oder von einem Wafer zum nächsten steuern.
  • US 5 841 110 A stellt ein neueres Konzept auf dem RTP-Gebiet bereit. Konkret gesagt, wird ein Systemparameter auf der alleinigen Grundlage des spektral integrierten Reflexionsvermögens eingestellt. Darüber hinaus ist diese Verweisstelle in gewisser Weise ohne Bezug zur vorliegenden Erfindung, wenigstens aus dem Grund, dass diese Verweisstelle keine direkten Lehren für die Verwendung von gepulster Quellen beinhaltet. Zwar ist das System effektiv und stellte eine deutliche Verbesserung gegenüber dem damaligen Stand der Technik dar, doch wir meinen, dass die vorliegende Erfindung noch weitere Vorteile bietet, wie zu sehen sein wird.
  • Die Temperatur auf der Oberfläche eines Halbleiterwafers während einer gepulsten Erhitzung kann durch verschiedene Faktoren beeinflusst werden, beispielsweise: a) Grundtemperaturverteilung; b) Typ, Form und Dauer der Impulsenergie, und c) die optischen Eigenschaften des Wafers. Bei der Laserbearbeitung können Variationen beim Reflexionsvermögen der Waferoberfläche erhebliche Veränderungen bei der Leistungsankopplung auf verschiedenen Wafern oder sogar an verschiedenen Positionen auf demselben Wafer verursachen. Zwar hat Lampenstrahlung ein breiteres Spektrum als Laserstrahlung, doch es ist bekannt, dass auch Variationen bei den optischen Eigenschaften die Temperatur beeinflussen, die während der schnellen, thermischen Verarbeitung mit Wolfram-Halogen-Lampen auf der Waferoberfläche erreicht wird. Somit können Variationen bei den Beschichtungen Variationen beim Reflexionsvermögen verursachen, wodurch sich die absorbierte Energie auf der Oberfläche eines Wafers oder auf der Oberfläche von zwei Wafern, welche die gleichen Oberflächeneigenschaften haben sollen, ändert.
  • 2 ist eine Kurvendarstellung, bei der – für den Fall einer Bestrahlung zweier Halbleiterwafer mit jeweils anderen Oberflächeneigenschaften – die Temperatur gegen die Zeit aufgetragen ist. Zwar besaßen die Strahlungsimpulse, denen jeder Halbleiterwafer ausgesetzt wurde, die gleiche Energie, doch der stärker strahlungsreflektierende Wafer erreichte eine niedrigere Spitzentemperatur (etwa 1.000°C) als der stärker strahlungsabsorbierende Wafer (1.300°C). Weil identische Strahlungsimpulse verwendet wurden, ist eine Temperatur-Zeit-Kurve 12 für den stärker reflektierenden Wafer ansonsten mit einer Temperatur-Zeit-Kurve 14 für den stärker absorbierenden Wafer vergleichbar.
  • Neben Variationen bei der Heiztemperatur, die auf ein unterschiedliches Reflexionsvermögen der Wafer zurückgeht, können unerwünschte Variationen auch von der Verwendung einer Mehrzahl von Strahlungsimpulsen herrühren. 3 ist eine Kurvendarstellung, bei der die Temperatur gegen die Zeit für die Waferoberflächentemperatur 22 und die Rückseitentemperatur 24 und die Leistung der Grundheizung gegen die Zeit 26 aufgetragen ist. Bei dem in dieser Kurvendarstellung veranschaulichten Heizverfahren wird die Grundheizung aktiviert, um den gesamten Wafer (Oberfläche und Rückseite) auf eine erste Temperatur von etwa 800°C zu erhitzen. Die Heizung wird dann auf einen Dauerzustand geschaltet, und es werden zwei schnelle Impulse von einer Impulsquelle (wie beispielsweise einer Lichtbogenlampe oder einem Laser) abgegeben, um die Waferoberfläche auf eine gewünschte Ausheilungstemperatur (d. h. 1.300°C) zu erhitzen. Die Rückseitentemperatur des Wafers bleibt nahe der ersten Temperatur, um eine unerwünschte Dotierungsdiffusion zu vermeiden. In dem Maße, wie die Wärme von dem Energieimpuls durch das Wafer-Grundmaterial diffundiert, steigt allgemein die Temperatur der Waferrückseite. 3 zeigt einen Anstieg der Rückseitentemperatur von der ersten Temperatur um 50°C bis 100°C. Nach dem ersten Impuls fällt die Oberflächentemperatur des Wafers in dem Maße ab, wie die Wärme in das Wafer-Grundmaterial geleitet wird, und der Wafer erreicht einen nahezu isothermischen Zustand. Das Absinken der Oberflächentemperatur vollzieht sich nicht so schnell wie der Temperaturanstieg nach der Impulseinwirkung, so dass die Temperatur der Waferoberfläche immer noch über der ersten Temperatur liegt, wenn der zweite Impuls zugeführt wird. In diesem Fall erzeugt der zweite Impuls eine höhere Spitzentemperatur (über 1.300°C) als der erste Impuls, was zu Schwierigkeiten bei der Prozesssteuerung führt.
  • Die vorliegende Erfindung löst die oben dargelegten Probleme und Schwierigkeiten mittels der Merkmale der unabhängigen Ansprüche und stellt darüber hinaus noch weitere Vorteile bereit.
  • Die vorliegende Schrift betrifft Verfahren und Systeme zum Erhitzen eines Objekts, wie beispielsweise eines Halbleiterwafers oder -substrats.
  • Vorteilhafterweise umfasst das Verfahren: a) Erhitzen des Substrats auf eine erste Temperatur mittels einer ersten Heizquelle; b) Deaktivieren der – oder Abschalten des Stroms zu der – ersten Heizquelle unmittelbar vor dem Anlegen – oder genau im Moment des Anlegens – des ersten Energieimpulses von einer gepulsten Energiequelle zum Erhitzen der bauelementseitigen Oberfläche des Substrats, und c) schnelles Erhitzen der ersten Oberfläche oder Bauelementseite des Substrats auf eine zweite Temperatur, die größer ist als die erste Temperatur, durch einen ersten Energieimpuls von einer zweiten Heizquelle, wobei die zweite Temperatur beispielsweise eine Ausheilungstemperatur für einen Halbleiterwafer, in den Dotanden implantiert wurden, sein kann. Optional kann auch der Schritt c) des schnellen Erhitzens dem Deaktivierungsschritt b) vorangehen. Des Weiteren kann das Heizverfahren einen weiteren Schritt d) enthalten, bei dem die erste Heizquelle reaktiviert bzw. der Strom zur ersten Heizquelle wieder eingeschaltet wird, nachdem der erste Impuls von der zweiten Heizquelle abgegeben wurde. Es ist außerdem möglich, den Heizschritt a) und den Schritt c) des schnellen Erhitzens mit einer einzigen Heizquelle auszuführen.
  • Indem die erste Heizquelle deaktiviert und das Substrat-Grundmaterial auf die erste Temperatur erhitzt wird, bevor – oder genau wenn – der Impuls von der Impulsquelle zugeführt wird, bleibt das Wafer-Grundmaterial auf der – oder nahe der – ersten Temperatur, und es wird vor allem nur die erste Oberfläche des Substrats schnell auf die zweite, viel höhere Temperatur erhitzt. In dem Maß, wie die Wärme von einem Energieimpuls durch das Substrat-Grundmaterial diffundiert, steigt allgemein die Durchschnittstemperatur des Substrats. Wenn der Strom zu der ersten Heizquelle aktiviert bliebe, so könnte sich die Rückseite des Substrats über die erste Temperatur hinaus erhitzen, wie es auch das Substrat-Grundmaterial tun würde. Dieses kriechende Ansteigen der Substrattemperatur führt oft zu einer unerwünschten Dotierungsdiffusion und könnte dazu führen, dass nachfolgend zugeführte Impulse von vergleichbarer Energie die Vorderseite des Substrats auf eine höhere Temperatur als erwünschte, erhöhte Temperaturen erhitzen, oder könnte andere, ungewollte Auswirkungen haben. Die Regelkreissteuerung der ersten Heizquelle hilft dabei, das Substrat-Grundmaterial auf der – oder nahe der – ersten Temperatur und weit unter der zweiten Behandlungs- oder Ausheilungstemperatur zu halten.
  • Für das Ausheilen eines Siliciumhalbleiterwafers beträgt die erste Temperatur vorzugsweise bis zu 1.000°C oder liegt im Bereich von 200°C bis 1.100°C, ganz besonders bevorzugt im Bereich von 600°C bis 1.000°C. Die zweite Temperatur (oder Behandlungs- oder Ausheilungstemperatur) liegt vorzugsweise im Bereich von 600°C bis 1.400°C, ganz besonders bevorzugt im Bereich von 1.050°C bis 1.400°C. Das Erhitzen auf die erste Temperatur erfolgt vorzugsweise mit einer Rate von wenigstens 100°C/Sekunde. Vorzugsweise werden Heizquellen, wie beispielsweise Wolfram-Halogen-Lampen, Lichtbogenlampen oder Gruppierungen solcher Lampen, verwendet, um das Substrat auf die erste Temperatur zu erhitzen. In der bevorzugten Ausführungsform sind diese Heizquellen nahe der Rückseite des Substrats angeordnet. Stattdessen könnte auch eine erhitzte Platte oder ein Heizer verwendet werden, um das Substrat auf die erste Temperatur zu erhitzen.
  • Das gepulste Erhitzen umfasst vorzugsweise das Bestrahlen der ersten Oberfläche des Substrats mit Strahlung, die von einer Lichtbogenlampe, einer Blitzlampe oder einem Laser wie beispielsweise einem Excimer-Laser stammt. In der bevorzugten Ausführungsform ist eine gepulste Heizquelle oder eine Gruppierung von gepulsten Heizquellen nahe der Vorderseite oder der Bauelementseite des Substrats angeordnet.
  • In einer weiteren Ausführungsform umfasst ein Heizverfahren: a) Erhitzen eines Substrats, wie beispielsweise eines Halbleiterwafers, auf eine erste Temperatur mittels einer ersten Heizquelle; b) Zuführen eines Energieimpulses mit einer zweiten Heizquelle in dem Moment, da die Oberfläche des Substrats die erste Temperatur erreicht, um die Oberfläche des Substrats schnell auf eine gewünschte Behandlungstemperatur zu erhitzen, und c) Deaktivieren der ersten und der zweiten Heizquelle. Das Verfahren kann optional eine Reihe von Energieimpulsen beinhalten, die von der gepulsten Heizquelle ausgesandt werden, wobei der erste Energieimpuls in dem Moment aktiviert wird, da die Oberfläche des Substrats die erste Temperatur erreicht.
  • In einer weiteren Ausführungsform wird eine einzelne Heizquelle sowohl für das Erhitzen des Substrats auf die erste Temperatur als auch für die Impulserhitzung verwendet. In einem solchen Fall umfasst das Heizverfahren: a) Erhitzen des Substrats, wie beispielsweise eines Halbleiterwafers, auf die erste Temperatur mittels der Heizquelle; b) Zuführen eines zusätzlichen Energieimpulses mit derselben Heizquelle in dem Moment, da die Oberfläche des Substrats die erste Temperatur erreicht, um die Oberfläche schnell auf eine gewünschte Behandlungstemperatur zu erhitzen, und c) Deaktivieren der Heizquelle.
  • In einer weiteren Ausführungsform erfolgt die gepulste Erhitzung mit einer Reihe von Impulsen, die von der gepulsten Heizquelle abgegeben werden. Die Regelung erfolgt so, dass die erste Heizquelle deaktiviert wird, bevor der Energieimpuls von der zweiten Heizquelle zugeführt wird. Die Temperatur der Rückseite des Substrats wird mittels eines optischen Sensors oder eines Pyrometers oder einer Reihe optischer Sensoren und/oder Pyrometer gemessen. Unter Verwendung der Regelung der ersten Heizquelle wird die Temperatur der Rückseite auf der – oder nahe der – ersten Temperatur unterhalb der Behandlungs- oder Ausheilungstemperatur gehalten.
  • Wenn eine Reihe von Impulsen verwendet wird, so hat der erste Impuls bei einer Blitzlampe oder einer Lichtbogenlampe vorteilhafterweise eine Dauer von 10 Mikrosekunden bis 50 Millisekunden, und der zweite Impuls hat vorteilhafterweise eine Dauer von 10 Mikrosekunden bis 50 Millisekunden, wobei der erste und der zweite Impuls hintereinander mit einem Abstand von 1 Millisekunde bis 100 Sekunden von einem Impuls zum nächsten abgegeben werden. Wenn eine Reihe von Impulsen von einem Laser verwendet wird, so hat der erste Impuls vorteilhafterweise eine Dauer von 1 Nanosekunde bis 10 Millisekunden, wobei der erste und der zweite Impuls vorteilhafterweise hintereinander mit einem Abstand von 1 Mikrosekunde bis 100 Sekunden von einem Impuls zum nächsten abgegeben werden. Je nach dem gewünschten Verarbeitungsergebnis kann jede beliebige Zahl von Impulsen verwendet werden. Die gepulste Heizquelle gibt vorzugsweise Impulse mit einer Energiedichte im Bereich von 1 nJ/cm2 bis 100 nJ/cm2 auf der Waferoberfläche ab.
  • In einer weiteren Ausführungsform erfolgt die gepulste Erhitzung mit einer Reihe von Impulsen, die von der gepulsten Heizquelle abgegeben werden. Es wird eine Regelkreissteuerung verwendet, um die Impulsparameter für jeden Impuls, der zum Erhitzen der Vorder- oder Bauelementseite des Substrats abgegeben wird, so einzustellen, dass kein Energieimpuls abgegeben wird, der die Vorderseite des Substrats auf eine Temperatur erhitzt, die über der gewünschten Behandlungs- oder Ausheilungstemperatur liegt, oder anders ausgedrückt: um gerade die gewünschte Temperatur zu erreichen. Das heißt, die Prozesssteuerung erfolgt über das Einstellen der Impulsparameter (Energie, Dauer, Zeit zwischen den Impulsen) und nicht über das Deaktivieren und Reaktivieren des Stroms zur Heizquelle für die Rückseite des Substrats. Die Temperatur der Vorderseite des Substrats wird mit einem optischen Sensor oder einem Pyrometer oder einer Reihe optischer Sensoren und/oder Pyrometer gemessen.
  • In einer weiteren Ausführungsform wird ein Halbleitersubstrat mit gepulster Energie erhitzt, und die Parameter für den Impuls werden zuerst durch Schätzen des Absorptionsvermögens des Substrats bestimmt, nachdem ein erster Test-Energieimpuls (oder Vor-Energieimpuls) zugeführt wurde. Bei diesem Verfahren wird das Substrat auf eine erste Temperatur unterhalb der gewünschten Behandlungs- oder Ausheilungstemperatur erhitzt. Dann wird ein erster Energieimpuls (Test-Energieimpuls oder Vor-Energieimpuls) zugeführt, um das Substrat auf eine zweite Temperatur, die über der ersten Temperatur liegt, zu erhitzen. Diese zweite Temperatur liegt vorzugsweise ebenfalls unter der gewünschten Behandlungstemperatur, wenngleich es auch möglich ist, die Kalibrierung anhand von Daten vorzunehmen, die im Anschluss an einen ersten Behandlungs-Energieimpuls erhalten wurden, anstatt anhand eines geringeren Test-Energieimpulses. Während des Testimpulses werden Impulsenergiedaten durch einen oder mehrere optische Sensoren erfasst. Alternativ dazu – oder in Kombination damit – kann auch die Substratstrahlung mittels eines oder mehrerer Pyrometer erfasst werden. Das Absorptionsvermögen des Substrats wird anhand der erfassten Daten auf eine von mehreren Arten geschätzt. Bei einem Verfahren erkennt ein optischer Sensor Impulsenergie, die vom Substrat reflektiert wurde, und ein zweiter Sensor erkennt Impulsenergie, die durch das Substrat hindurchgeleitet wurde. Das Absorptionsvermögen des Substrats wird anhand dieser beiden Messungen abgeschätzt. Bei einem zweiten Verfahren erfasst ein Pyrometer die abgegebene Strahlung von der Vorderseite des Substrats und stellt so ein Mittel zum Beobachten der Temperatur der Vorderseite bereit. In diesem Fall dient der Temperaturanstieg der Vorderseite während des Testimpulses zur Bestimmung des Absorptionsvermögens des Substrats. Bei einem dritten Verfahren erfasst ein Pyrometer abgegebene Strahlung von der Vorder- oder Rückseite des Substrats. Nach dem Zuführen eines Testimpulses äquilibriert sich die Temperatur des Substrats durch seine Dicke hindurch. Dieser Anstieg der Grundmaterialtemperatur infolge der Zufuhr des Testimpulses wird von dem Pyrometer gemessen, das die Vorder- oder Rückseite beobachtet, und diese Messung dient dem Abschätzen des Absorptionsvermögens des Substrats. Anhand des mit einem dieser Verfahren geschätzten Absorptionsvermögens werden Impulsparameter (Energie, Dauer, Zeit zwischen den Impulsen) für einen anschließenden Energieimpuls ermittelt, und der nächste Impuls wird zugeführt, um die Vorderseite oder erste Oberfläche auf eine gewünschte Behandlungs- oder Ausheilungstemperatur zu erhitzen. Wenn ein Testimpuls verwendet wird, so wird er vorzugsweise mit einer Energiedichte im Bereich von 1 nJ/cm2 bis 10 nJ/cm2 (dies sind die Energiedichten am Substrat) und für eine Dauer von 1 Nanosekunde bis 50 Millisekunden abgegeben. Durch Einstellen der Impulsparameter anhand einer an Ort und Stelle vorgenommenen Schätzung des Absorptionsvermögens ermöglicht diese Herangehensweise die Verarbeitung von Halbleitersubstraten mit identischen Temperatur-Zeit-Profilen, unabhängig von den optischen (oder eigentlich: physikalischen) Eigenschaften der Substrate.
  • Bei dieser anderen Ausführungsform kann das Substrat zuerst auf eine Zwischentemperatur oder erste Temperatur unterhalb der gewünschten Behandlungstemperatur erhitzt werden. Wie bei anderen Ausführungsformen handelt es sich bei den Heizquellen zum Erhitzen des Substrats auf die erste Temperatur vorzugsweise um eine Wolfram-Halogen-Lampe, eine Lichtbogenlampe oder eine Gruppierung solcher Lampen. Als alternative Heizquellen kommen erhitzte Platten oder Heizer in Frage. Des Weiteren kann die Rückseite des Substrats auf der – oder nahe der – ersten Temperatur gehalten werden, während die Energieimpulse von der ersten Heizquelle zum Erhitzen der Vorderseite oder ersten Oberfläche zugeführt werden. Die rückseitige Temperatur kann mittels Regelkreissteuerung der Heizquelle(n), wie beispielsweise durch Regeln des Stroms zu den Heizquellen (Deaktivieren der Heizquelle(n)), aufrechterhalten werden, wenn die gepulste(n) Heizquelle(n) aktiviert werden.
  • Ein System zum Erhitzen eines Halbleitersubstrats gemäß der Erfindung umfasst: a) eine erste Heizquelle zum Erhitzen des Substrats auf eine erste Temperatur, wobei es sich bei dieser Heizquelle um eine Wolfram-Halogen-Lampe, eine Lichtbogenlampe oder eine Gruppierung solcher Lampen handeln kann; b) eine gepulste Heizquelle zum Zuführen eines ersten Energieimpulses zu einer ersten Oberfläche des Substrats, um die erste Oberfläche auf eine zweite Temperatur zu erhitzen, die höher ist als die erste Temperatur; c) optional ein der gepulsten Heizquelle zugeordnetes Filter, um Strahlung von ausgewählter Wellenlänge herauszufiltern, die durch die gepulste Heizquelle emittiert wird; d) einen Sensor zum Abtasten von Strahlung, die von dem Substrat reflektiert wurde, nachdem der erste Energieimpuls zugeführt wurde, und e) Mittel zum Einstellen von Impulsparametern für zusätzliche Energieimpulse, die von der gepulsten Heizquelle zugeführt werden.
  • Bei der gepulsten Heizquelle handelt es sich vorzugsweise um eine Lichtbogenlampe oder eine Blitzlampe oder eine Gruppierung solcher Lampen oder einen Laser. Bei dem Filter handelt es sich vorzugsweise um ein wassergekühltes Fenster oder ein Quarzfilter mit hoher OH-Konzentration, welches das Substrat von der gepulsten Heizquelle isoliert. Wenn die gepulste Heizquelle eine Lichtbogenlampe oder eine Blitzlampe oder eine Gruppierung solcher Lampen ist, so umfasst das Filter ganz besonders bevorzugt eine oder mehrere Ummantelungen, die einzeln jeden Lampenkolben umgeben. Bei dem Sensor handelt es sich vorzugsweise um einen optischen Sensor. Ganz besonders bevorzugt sind weitere optische Sensoren zum Abtasten einer einfallenden Pulsstrahlung, die durch die gepulste Heizquelle emittiert wird, und einer Pulsstrahlung, die von dem Substrat oder durch das Substrat hindurch übertragen wird, vorhanden. Vorzugsweise sind Pyrometer vorhanden, um Strahlungsenergie zu messen, a) die von der ersten Oberfläche des Substrats abgegeben wird, um die Temperatur der ersten Oberfläche des Substrats zu überwachen, und b) die von einer Rückseite des Substrats abgegeben wird, um die Temperatur der Rückseite zu überwachen.
  • Gemäß einem weiterführenden Aspekt der vorliegenden Erfindung wird ein Objekt verarbeitet, das gegenüberliegende Hauptoberflächen mit ersten und zweiten Oberflächen aufweist. Ein System erhitzt das Objekt in geregelter Form während eines Grundheizmodus unter Verwendung einer Heizanordnung und erhitzt dadurch selektiv das Objekt dergestalt, dass wenigstens allgemein ein Temperaturanstieg im gesamten Objekt erzeugt wird. Dann wird die erste Oberfläche des Objekts unter Verwendung der Heizanordnung in einem gepulsten Heizmodus im Zusammenwirken mit dem Grundheizmodus erhitzt, indem die erste Oberfläche zumindest einem ersten Energieimpuls mit einer Impulsdauer ausgesetzt wird. Der Grundheizmodus wird vorteilhafterweise in einem zeitlichen Verhältnis zu dem ersten Impuls geregelt.
  • In einer Weiterbildung der vorliegenden Erfindung wird ein Objekt, das gegenüberliegende Hauptoberflächen mit ersten und zweiten Oberflächen aufweist, unter Verwendung eines Behandlungssystems verarbeitet, indem das Objekt in geregelter Form während eines Grundheizmodus' unter Verwendung einer Heizanordnung erhitzt wird, wodurch das Objekt selektiv dergestalt erhitzt wird, dass wenigstens allgemein eine erste Temperatur im gesamten Objekt erzeugt wird. Dann wird die erste Oberfläche des Objekts unter Verwendung der Heizanordnung in einem gepulsten Heizmodus erhitzt, indem die erste Oberfläche zumindest einem ersten Energieimpuls ausgesetzt wird, um die erste Oberfläche des Objekts auf eine zweite Temperatur zu erhitzen, die höher ist als die erste Temperatur. Nachdem der erste Impuls zugeführt wurde, lässt man die erste Oberfläche während eines Kühlintervalls abkühlen, wodurch es ermöglicht wird, dass die Temperatur der ersten Oberfläche des Objekts unter die zweite Temperatur sinkt und die erste Oberfläche des Objekts sich thermisch zumindest in begrenztem Ausmaß angleicht. Nach dem Kühlintervall wird die erste Oberfläche des Objekts einem zweiten Energieimpuls ausgesetzt, um die erste Oberfläche erneut zu erhitzen. Während des Impulsheizmodus' – einschließlich wenigstens des ersten Impulses, des Kühlintervalls und des zweiten Impulses – wird die zweite Oberfläche des Objekts auf ungefähr der ersten Temperatur gehalten. Gemäß einem Merkmal wird die zweite Oberfläche des Objekts auf der ersten Temperatur gehalten, indem der Grundheizmodus in einem zeitlichen Verhältnis zum Zuführen wenigstens entweder des ersten Impulses oder des zweiten Impulses geregelt wird.
  • 1 ist eine schematische Darstellung eines gepulsten Verarbeitungssystems zum Erhitzen von Halbleiterwafern gemäß einem Aspekt der vorliegenden Erfindung.
  • 2 ist eine Kurvendarstellung, in der die Temperatur (in °C) gegen die Zeit (in Sekunden) für Heizprofile nach dem Stand der Technik für das Mehrimpulserhitzen zweier Wafer aufgetragen ist, wobei die Impulse die gleiche Energie aufweisen, aber jeder Wafer ein anderes Reflexionsvermögen aufweist.
  • 3 ist eine Kurvendarstellung, in der (i) die Temperatur (in °C) gegen die Zeit (in Sekunden) für Heizprofile nach dem Stand der Technik aufgetragen ist, wobei die Vorder- und die Rückseite eines Wafers mit einer Grundheizung erhitzt werden und wobei die Vorderseite des Wafers durch Strahlung von mehreren Impulsen von einer Impulsheizquelle erhitzt wird, und in der (ii) die Leistung der Grundheizung (in kW) gegen die Zeit (in Sekunden) für die Grundheizung aufgetragen ist.
  • 4 ist eine Kurvendarstellung, mit der ein Heizverfahren gemäß einer ersten Ausführungsform der Erfindung veranschaulicht ist. Es ist (i) die Temperatur (in °C) gegen die Zeit (in Sekunden) für Heizprofile aufgetragen, wobei die Vorder- und die Rückseite eines Wafers mit einer Grundheizung erhitzt werden und wobei die Vorderseite des Wafers durch Strahlung von mehreren Impulsen von einer Impulsheizquelle erhitzt wird, und es ist (ii) die Leistung der Grundheizung (in kW) gegen die Zeit (in Sekunden) für die Grundheizung aufgetragen.
  • 5 ist eine Kurvendarstellung, mit der ein Heizverfahren gemäß einer zweiten Ausführungsform der Erfindung veranschaulicht ist. Es ist (i) die Temperatur (in °C) gegen die Zeit (in Sekunden) für Heizprofile aufgetragen, wobei die Vorder- und die Rückseite eines Wafers mit einer Grundheizung erhitzt werden und wobei die Vorderseite des Wafers durch Strahlung von mehreren Impulsen von einer Impulsheizquelle erhitzt wird, und es ist (ii) die Leistung der Impulsheizung gegen die Zeit (in Sekunden) aufgetragen.
  • 6 ist eine Kurvendarstellung, mit der ein Heizverfahren gemäß einer dritten Ausführungsform der Erfindung veranschaulicht ist. Es ist (i) die Temperatur (in °C) gegen die Zeit (in Sekunden) für Heizprofile aufgetragen, wobei die Vorder- und die Rückseite eines Wafers mit einer Grundheizung erhitzt werden und wobei die Vorderseite des Wafers durch Strahlung von mehreren Impulsen von einer Impulsheizquelle erhitzt wird, und es ist (ii) die Leistung der Grundheizung (in kW) gegen die Zeit (in Sekunden) für die Grundheizung aufgetragen.
  • 7 ist eine Kurvendarstellung, mit der ein Heizverfahren gemäß einer vierten Ausführungsform der Erfindung veranschaulicht ist. Es ist (i) die Temperatur (in °C) gegen die Zeit (in Sekunden) für Heizprofile aufgetragen, wobei die Vorder- und die Rückseite eines Wafers mit einer Grundheizung erhitzt werden und wobei die Vorderseite des Wafers durch Strahlung von mehreren Impulsen von einer Impulsheizquelle erhitzt wird, und es ist (ii) die Leistung der Grundheizung (in kW) gegen die Zeit (in Sekunden) für die Grundheizung aufgetragen.
  • 8 ist eine Kurvendarstellung, mit der ein Heizverfahren gemäß einer fünften Ausführungsform der Erfindung veranschaulicht ist. Es ist die Oberflächentemperatur des Substrats (in °C) gegen die Zeit (in Sekunden) für ein Heizprofil aufgetragen, bei dem ein Energieimpuls zugeführt wird, um die Substratoberfläche schnell von einer ersten Temperatur auf eine gewünschte, höhere Temperatur zu erhitzen, ohne das Substrat bei der ersten Temperatur zu halten, wobei das Substrat einer sich ständig verändernden Temperatur ausgesetzt wird.
  • 9 ist ein Ablaufdiagramm, das eine Sequenz für eine Regelkreissteuerung der Temperatur der Vorderseite oder ersten Oberfläche des Substrats veranschaulicht.
  • 10 ist ein Ablaufdiagramm, das eine Sequenz für eine Regelkreissteuerung von Energieimpulsen zum Erhitzen eines Substrats veranschaulicht.
  • 11 ist ein Ablaufdiagramm, das eine Sequenz für eine Regelkreissteuerung der Substrattemperatur im Hinblick auf das Reflexionsvermögen und den Transmissionsgrad des Substrats während des gepulsten Erhitzens veranschaulicht.
  • 12 ist ein Kurvenschaubild, das ein Heizprofil veranschaulicht, welches gemäß der vorliegenden Erfindung ausgeführt wurde und die überaus vorteilhafte Verwendung einer Reihe zusätzlicher Impulse im Anschluss an den Vorimpuls enthält.
  • 13 ist ein Kurvenschaubild, das ein Heizprofil veranschaulicht, welches gemäß der vorliegenden Erfindung ausgeführt wurde und eine weitere Implementierung unter Verwendung einer Mehrzahl von Vorimpulsen veranschaulicht, wobei ein Vorimpuls einem Behandlungsimpuls innerhalb einer Gesamtreihe von Impulsen vorangeht.
  • 14 ist ein Kurvenschaubild, das ein Heizprofil veranschaulicht, welches gemäß der vorliegenden Erfindung ausgeführt wurde und eine weitere Implementierung unter Verwendung einer Mehrzahl von Vorimpulsen veranschaulicht, wobei eine Reihe von Behandlungsimpulsen zwischen aufeinanderfolgenden Vorimpulsen verwendet wird.
  • Vorrichtung
  • Als erstes ist in 1 ein gepulstes Verarbeitungssystem 30 gezeigt, das ein Gehäuse 32 enthält, welches eine Verarbeitungskammer 34 definiert, in der ein Substrat 36, wie beispielsweise ein Halbleiterwafer, angeordnet ist, das auf einem Träger 38 aufliegt. Quarzfenster 40, 42 trennen das Substrat 36 und den Träger 38 von den Heizquellen 44, 46, die in dem Gehäuse 32 angeordnet sind, und befinden sich sowohl über als auch unter dem Substrat 36. Die Heizquellen 44 und 46 werden durch einen Computer bzw. eine Regelungsanordnung 47 geregelt, die so konfiguriert ist, dass sie selektiv einen elektrischen Stromwert an jede der Grundheizquellen 44 und der gepulsten Heizquellen 46 anlegen kann, um so eine präzise Regelung beider Quellen zu bewerkstelligen. Es wird darauf hingewiesen, dass die Regelungsanordnung 47 ohne Weiteres auf die Regelung einer Mehrmodenquelle im Hinblick auf diese Gesamtoffenbarung umgestellt werden kann, so dass sie von einer einzigen Quelle aus ein Heizprofil erzeugen kann, dass Grundheizverhalten und Impulsabgabe miteinander kombiniert. Die Quarzfenster 40, 42 können außerdem wassergekühlt sein, indem ein oder mehrere (nicht gezeigte) Kanäle vorgesehen werden können, durch die Wasser entlang wenigstens einer der Oberflächen der Fenster fließen kann. Die Gehäusewände 32 der Verarbeitungskammer 34 weisen vorzugsweise reflektierende Innenflächen auf.
  • Im Fall eines Halbleiterwafers wird die Oberfläche des Substrats 36, die teilweise den Träger 38 berührt, oft die Rückseite genannt, und die gegenüberliegende Oberfläche des Substrats wird häufig die Vorderseite oder Bauelementseite genannt. Im Kontext der vorliegenden Offenbarung und in den Ansprüchen kann die Vorderseite auch die erste Oberfläche genannt sein, während die Rückseite als eine zweite Oberfläche bezeichnet werden kann. Des Weiteren ist es wichtig zu verstehen, dass die vorliegende Erfindung das Impuls-heizen der einen oder der anderen Hauptoberfläche oder auch beider Hauptoberflächen eines behandelten Objekts – wie beispielsweise eines Substrats – in Erwägung zieht.
  • Wolfram-Halogen-Lampen 44 sind in einer parallelen Anordnung unter der Rückseite des Substrats angeordnet. Diese Lampen werden über eine Computerregelung eingeschaltet und können über eine Computerregelung gesteuert werden, wie gezeigt ist. Die Lampen 44 sind in der Lage, die Temperatur des Substrats 36 mit einer Rate von wenigstens etwa 20°C/Sekunde, vorzugsweise 200°C bis 300°C/Sekunde, ansteigen zu lassen. Diese Rate kann als eine maximale Momentanstiegsrate angesehen werden. Oder anders ausgedrückt: Die Steigung oder Differentialkurve des Heizprofils im Auftrag gegen die Zeit weist einen Wert von wenigstens 20°C/Sekunde für wenigstens einen Punkt im Zeitverlauf in Reaktion auf den Grundheizvorgang auf. Die Lampen können auch eine (nicht gezeigte) Luftkühlung haben. Bei dem Lampenmodell 1208V-2000WB1 von der Ushio America, Inc. beispielsweise handelt es sich um eine 2 kW starke Wolfram-Halogen-Lampe, die für den Grundheizvorgang verwendet werden kann und die zur Rückseite des Substrats weisend angeordnet werden kann. Es versteht sich, dass jede geeignete Form von Lampen oder Heizvorrichtungen als funktionales Äquivalent zu den Wolfram-Halogen-Lampen 44 verwendet werden kann und dass es keinerlei Beschränkungen hinsichtlich der physischen Anordnung oder der Anzahl der verwendbaren Heizvorrichtungen gibt. Beispielsweise kann das Grundheizen mittels Wärmeplatten und/oder Heizern bewerkstelligt werden.
  • Lichtbogenlampen 46 sind in einer parallelen Anordnung über der Vorderseite oder Bauelementseite des Substrats 36 angeordnet. Die Lampen 46 sind in der Lage, Energieimpulse zu erzeugen, um die Vorderseite des Substrats 36 sehr schnell zu erhitzen, wie beispielsweise mit Raten von mehr als 1.000°C/Sekunde. Die Lampen 46 können einzeln oder in Gruppen aktiviert werden, um das gewünschte Impulsheizprofil auf der Vorderseite des Substrats zu erzeugen. Die Lampen können eine (nicht gezeigte) Luft- oder Wasserkühlung haben. Lichtbogen- oder Blitzlampen werden in verschiedenen Größen hergestellt und sind mit einer Strahlungsleistungsabgabe im Bereich von wenigen Watt bis mehreren Kilowatt erhältlich. Das Lampenmodell 10F10 von Perkin & Eimer Optoelectronics beispielsweise kann bis zu 13 kJ Energie verarbeiten und kann mit einer mittleren Leistung von bis zu 16 kW betrieben werden.
  • Die Lampen 46 sind von Filtern 48 umschlossen, um selektiv von der durch die Lampen 46 emittierten Energie eine (noch zu beschreibende) Pyrometerwellenlängenstrahlung herauszufiltern. Es kann auch ein (nicht gezeigter) Wassermantel über den Quarzummantelungen der Lampen angeordnet werden, um die Pyrometerwellenlängen selektiv herauszufiltern.
  • Es versteht sich, dass die vorliegende Erfindung die Verwendung jeder geeigneten Form von Energie in Erwägung zieht, die sich in einem gepulsten Modus anwenden lässt. Beispielsweise wird die Verwendung eines gepulsten Elektronenstrahls in Erwägung gezogen.
  • Ein erster Sensor 50 ist dem Gehäuse 32 oberhalb der Lampen 46 zugeordnet, um die (durch den Pfeil 52 dargestellte,) von den Lichtbogenlampen 46 einfallende Strahlung zu überwachen. Ein zweiter Sensor 54 ist dem Gehäuse 32 oberhalb der Lampen 46 zugeordnet, um die (durch den Pfeil 56 dargestellte,) von den Substrat 36 reflektierte Strahlung zu überwachen. Ein dritter Sensor 58 ist dem Gehäuse 32 unterhalb der Lampen 44 zugeordnet, um die (durch den Pfeil 60 dargestellte,) von dem Substrat 36 durchgelassene Strahlung zu überwachen.
  • Pyrometer 62, 64, die dem Gehäuse 32 sowohl oberhalb der Lampen 46 als auch unterhalb der Lampen 44 zugeordnet sind, dienen dem Messen der Temperatur auf der Vorderseite bzw. der Rückseite des Substrats. Beispielsweise kann die Rückseite des Wafers durch ein Ripple-Pyrometer von Luxtron überwacht werden, und die Vorderseite des Wafers (die durch Blitzlampen beleuchtet wird) kann durch ein Pyrometer mit einem Schnellreaktionssensor überwacht werden, wie beispielsweise dem Indium-Arsenid-Sensor, Modell Nummer J12TE4-3CN-RO2M von EG & G Judson. Die Lampenintensität kann für Regelkreiszwecke mit einem Sensor überwacht werden, wie beispielsweise einem Indium-Gallium-Arsenid-Sensor, Modell Nummer PDA400, von Thor Labs.
  • Gepulste Heizverfahren
  • Für wiederholbare Halbleiterwaferwärmebehandlungsverfahren mit mehreren Heizquellen sollte die kombinierte Grund- und Vorderseitenaufheizung mit ähnlichen, thermischen Zyklen an allen Punkten auf allen verarbeiteten Wafern vorgenommen werden, unabhängig von Variationen beim Wafertyp. Variationen beim Reflexionsvermögen der Waferoberfläche können deutliche Veränderungen bei der Leistungskopplung auf verschiedenen Wafern oder sogar an verschiedenen Positionen auf demselben Wafer verursachen. Variationen bei den optischen Eigenschaften können die Temperaturen beeinflussen, die während der schnellen, thermischen Verarbeitung auf den Wafern erreicht werden. Die Regelung des Grundheizvorgangs während des Heizzyklus' ist bei Mehrimpulsheizverfahren wünschenswert, um ein übermäßiges Erhitzen der Vorder- oder Bauelementseite des Wafers oder der Rückseite des Wafers zu vermeiden.
  • In 4 (die in Verbindung mit 1 zu sehen ist) ist eine Verarbeitungsvorschrift für ein beispielhaftes, erfindungsgemäßes Mehrimpulsheizverfahren, das mittels des gepulsten Verarbeitungssystems 30 implementiert wurde, grafisch veranschaulicht. Es wird darauf hingewiesen, dass die verschiedenen, veranschaulichten, diagrammhaften Heiz- und Leistungskurven in keiner Weise als einschränkend gemeint sind, auf keiner Achse maßstabsgerecht gezeichnet sind und in einer Weise dargestellt sind, die dem Leser das Verständnis der vorliegenden Erfindung erleichtern soll. Die Temperatur der Vorderseite des Substrats ist durch die Kurve 66 dargestellt. Die Temperatur der Rückseite des Substrats ist durch die Kurve 68 dargestellt. Die Kurve 68 folgt der Kurve 66, außer während der zugeführten Impulse von einer oder mehreren Impulsheizquellen, wo die Kurve 68 bei – oder nahe – der ersten Temperatur unterhalb der gewünschten Behandlungs- oder Ausheilungstemperatur bleibt. Spezifische Designerwägungen bei der konkreten Implementierung des Erhitzens gemäß diesem beispielhaften Verfahren werden weiter unten aufgegriffen.
  • Bei der Durchführung der Heizvorschrift von 4 erhitzt zuerst die Grundheizquelle 44 von 1 das Substrat mit einer Rate von etwa 200°C/Sekunde. Die Stromzufuhr zur Lampenanordnung ist durch die Kurve 70 dargestellt. Nach dem Hochfahren der Leistung und dem Ansteigen der Temperatur wird die Leistung auf einen Dauerzustand verringert, um das Substrat bei der ersten Temperatur von 800°C zu halten, die unter der gewünschten, maximalen Behandlungstemperatur liegt.
  • Es wird ein erster Impuls von der gepulsten Heizquelle 46 zugeführt, um die Vorderseite des Substrats auf die maximale oder gewünschte Behandlungs- oder Ausheilungstemperatur von etwa 1.300°C zu erhitzen, wie in 4 gezeigt. Die Grundheizlampen 44 werden in einem zeitlichen Verhältnis zur Zufuhr des Impulses geregelt. Dieser Impuls kann innerhalb eines Zeitintervalls 71 zugeführt werden, der beispielsweise von der Einleitung des Impulses am Zeitpunkt t gemessen wird. Im vorliegenden Beispiel wird die Leistung der ersten Heizquelle deaktiviert oder der Strom abgeschaltet, kurz bevor – oder während – die gepulste Heizquelle aktiviert wurde. Die rückseitige Temperatur bleibt während des Impulses bei – oder nahe – 800°C, selbst wenn Strahlungsenergie von dem Impuls durch das Substrat diffundiert. Diese konstante oder fast konstante Temperatur wird trotz der Verzögerung bei der Abkühlung der Vorderseite des Substrats im Anschluss an den Impuls erreicht. Der Strom zur ersten oder Grundheizquelle wird unmittelbar nach dem Impuls wieder eingeschaltet, um mitzuhelfen, die rückseitige Temperatur auf den gewünschten, konstanten 800°C zu halten. Dann wird die Leistung zur Grundheizquelle 44 in geregelter Form und in zeitlich gesteuertem Verhältnis zu dem Impuls erneut zugeführt. Bei einer Modifikation kann der Grundheizvorgang durch einen mit ”NS” bezeichneten, negativ verlaufenden Schritt beendet werden, dergestalt, dass das Impulserhitzen in einem zeitlich gesteuerten Verhältnis zum Intervall-Ende eines Dauerzustands-Grundheizintervalls ausgeführt wird.
  • Wenn ein zweiter Impuls oder eine Reihe zusätzlicher Impulse zugeführt wird, um die Vorderseite des Substrats zu behandeln, so wird der Prozess der Rückkopplungssteuerung für die erste Heizquelle wiederholt. Wie in 4 gezeigt, wird die Stromzufuhr zur ersten Heizquelle unmittelbar vor dem – oder genau am – Beginn des zweiten Impulses erneut verringert oder deaktiviert. Auch hier kann der Grundheizvorgang innerhalb des Intervalls 71 (der für den ersten Impuls gezeigt ist) geregelt werden, der beispielsweise ab der Einleitung des zweiten Impulses gemessen wird, so wie auch für jegliche weiteren Impulse, die im Rahmen des gepulsten Heizmodus' zugeführt werden. Der zweite Impuls erhitzt die Vorderseite des Substrats auf die gewünschte Behandlungstemperatur von 1.300°C, aber die rückseitige Temperatur bleibt auf – oder nahe – der niedrigeren Anfangstemperatur (in diesem Beispiel 800°C).
  • Es ist zu beachten, dass die Regelung des Grundheizvorgangs unter Verwendung des Konzepts des zeitlich gesteuerten Verhältnisses der vorliegenden Erfindung der bloßen, thermostatischen Regelung, wie man sie aus dem Stand der Technik kennt, insbesondere im Zusammenhang mit einer Erhitzung im gepulsten Modus bei weitem überlegen ist. Per Definition wird Impulsmodushitze mit sehr hohen Raten während eines sehr kurzen Zeitinkrements zugeführt. Die vorliegende Erfindung erkennt an, dass eine thermostatische Temperaturregelung unter solchen Bedingungen im Allgemeinen ineffektiv ist. Das heißt, wenn Impulserhitzung angewendet wird, weist thermostatisches Erhitzen eine ausgeprägte Tendenz zu einer verzögerten Reaktion auf. Beispielsweise kann die Zufuhr von Impulsmodusenergie zu einer Hauptoberfläche eines Substrats einen schnellen und deutlichen Anstieg der Temperatur einer gegenüberliegenden Hauptoberfläche hervorrufen. Ein solcher Temperaturanstieg kann nicht verhindert werden, wo die Temperatur der gegenüberliegenden Oberfläche überwacht und zur Regelung des Grundheizvorgangs verwendet wird, da die Reaktion auf der gegenüberliegenden Oberfläche dem Impuls mit zeitlicher Verzögerung folgt. Die Temperatur kann trotz verringerter Leistungszufuhr zur Grundheizung weiter steigen. In dieser Hinsicht ist zu betonen, dass die Temperaturreaktion bzw. der Temperaturanstieg auf der gegenüberliegenden Oberfläche nach dem Impuls, der den Temperaturanstieg verursacht, stattfindet. Somit sollte nun klar sein, dass – wo Prozessparameter, insbesondere Höchsttemperaturgrenzen, nicht überschritten werden können, ohne beispielsweise eine Qualitätsminderung oder Unbrauchbarmachung des Bauelements zu verursachen – eine thermostatische Regelung ganz besonders problematisch ist, wenn sie in Verbindung mit einer Erhitzung im gepulsten Modus erfolgt.
  • Im Gegensatz dazu beseitigt eine zeitrelational gesteuerte Regelung des Grundheizvorgangs gemäß der Lehre der vorliegenden Erfindung diese Schwierigkeit, da die Regelung in Erwartung eines Impulses erfolgen kann. Es ist freilich zu berücksichtigen, dass die Implementierung eines so überaus vorteilhaftes Systems und Verfahren weder simpel noch naheliegend ist.
  • In einigen Situationen kann es wünschenswert sein, einen früheren Impuls zuzuführen, um ein Substrat dergestalt vorzuerhitzen, dass nachfolgende Impulse die Vorderseite des Substrats auf höhere Temperaturen als eine Zwischentemperatur erhitzen. Eine Rückkopplungssteuerung kann dann selektiv dazu verwendet werden, die Stromzufuhr zu den ersten Heizquellen zu regeln, beispielsweise so, dass Strom nur dann zugeführt wird, wenn das Verarbeitungsprotokoll verlangt, die Rückseite des Substrats auf – oder nahe – einer konstanten Temperatur zu halten.
  • In anderen Situationen kann es sein, dass die Spitzenerhitzung von dem Impuls der zugeführten Energie zu groß ist und nicht allein durch die Regelung der Stromzufuhr zu der ersten Hitzequelle während des eigentlichen Impulsintervalls kompensiert werden kann.
  • In solchen Situationen können die Impulsparameter (Energie, Impulsdauer, Zeit zwischen den Impulsen) für nachfolgende Impulse in Abstimmung mit der Grundheizung eingestellt werden. Alternativ dazu kann die Grundheizleistung in zeitlich gesteuertem Verhältnis zur Zufuhr der gepulsten Energie in Erwartung thermischer Effekte infolge des Impulses eingestellt werden. Unabhängig oder gleichzeitig können Impulsparameter auf das Erreichen von Zielbehandlungstemperaturen eingestellt werden. Bei einer Implementierung können Impulsparameter eines zweiten Impulses und nachfolgender Impulse so eingestellt werden, dass die erste Oberfläche ihre Zieltemperatur T2 erreicht, ohne dass der Zielwert nennenswert überschritten oder nicht erreicht wird. Die Informationen bezüglich der Spitzentemperatur können wenigstens einen Rückmeldeparameter umfassen, der zur Festlegung der Parameter anschließender Impulse verwendet wird.
  • Bei einigen Ausführungsformen, die in den 5 bis 7 grafisch veranschaulicht sind, wird ein energiearmer Vorimpuls durch die Impulsenergiequellen) abgegeben, um die Vorderseite des Substrats zu erhitzen. Ein Sensor für reflektierte Energie tastet das vom Substrat reflektierte Licht ab, und ein Impulsenergiesensor tastet das Licht von der Impulsquelle ab. Diese Abtastmesswerte werden zur Schätzung des Reflexionsvermögens der Substratoberfläche verwendet. Die nachfolgenden Impulse werden dann aktiviert, um unter Berücksichtigung des Reflexionsvermögens der Substratoberfläche die Vorderseite des Substrats zu erhitzen.
  • In 5 ist gezeigt, wie ein Vorimpuls zu einer Vorimpulsreaktion P führt, um die Oberfläche des Substrats ungefähr 50°C über die erste Dauerzustandstemperatur zu erhitzen. In 5 sind außerdem die relativen Größenordnungen der Impulsenergie 72 und der reflektierten Energie 74 dargestellt. Die Vorimpulsenergiedichte kann im Bereich von 1 nJ/cm2 bis 10 nJ/cm2 liegen. Zum Ausheilen würde das Grundmaterial des Halbleiterwafers (d. h. die erste Temperatur) vorzugsweise im Bereich von 400°C bis 950°C gehalten werden. Für andere Anwendungen könnte die erste Temperatur im Bereich von Raumtemperatur (etwa 25°C) bis 1.400°C liegen. Wie im weiteren noch beschrieben wird, wird die Vorimpulstechnik der vorliegenden Erfindung wenigstens aus dem Grund als überaus vorteilhaft angesehen, dass ein Vorimpuls (oder jeder Impuls, der einem anderen Impuls vorangeht) dazu verwendet werden kann, eine vorhergesagte Reaktion eines behandelten Objekts auf einen nachfolgenden Impuls zu bestimmen. Die vorhergesagte Reaktion kann auf dem Herstellen eines Zielzustandes in dem Objekt mittels eines zusätzlichen Einzelimpulses oder einer Mehrzahl zusätzlicher Impulse basieren, wobei man sich dem Zielzustand inkrementell unter Verwendung solcher aufeinanderfolgenden, zusätzlichen Impulse annähert. Bei der letztgenannten Implementierung werden die Parameter für jeden zusätzlichen Impuls auf diese prädiktive Weise dergestalt festgelegt, dass der Zielzustand wenigstens teilweise in dem behandelten Objekt erzeugt wird.
  • Bei der in 6 dargestellten Heizvorschrift wird der Vorimpuls P ohne Regelkreisprozesssteuerung für die erste Heizquelle zugeführt. Darum wird die Stromzufuhr zu der ersten Heizquelle nicht deaktiviert, wenn der Vorimpuls P zugeführt wird, und die Temperatur der Rückseite des Substrats steigt ein wenig über die erste Temperatur (800°C) auf eine neue – gewissermaßen: Dauerzustandstemperatur unmittelbar über der ersten Temperatur an.
  • Im Gegensatz dazu wird bei der in 7 dargestellten Heizvorschrift ein Regelkreis aktiviert, um die Stromzufuhr zur ersten Heizquelle dergestalt zu regeln, dass der Strom abgeschaltet wird, bevor – oder wenn – der Vorimpuls P zugeführt wird, um die Vorder- oder Bauelementseite des Substrats zu erhitzen. Dementsprechend bleibt die Temperatur der Rückseite des Substrats während der gesamten Zufuhr des Vorimpulses und der anderen Impulse der gepulsten Erhitzung auf – oder sehr nahe – der ersten Temperatur (d. h. 800°C).
  • Alternativ kann anstelle eines Vorimpulses P auch das Reflexionsvermögen der Substratoberfläche aus den Sensordaten geschätzt werden, die bei einem Mehrimpulsverarbeitungsregime von dem ersten Impuls zum Erhitzen der vorder- oder bauelementseitigen Oberflächen des Substrats erlangt werden.
  • 8 stellt ein nicht erfindungsgemäßes Heizprofil dar, das besser für eine geringere, thermische Belastbarkeit geeignet sein könnte, wo ein Dauerzustands-Heizintervall nicht gewünscht ist. Eine erste Heizquelle erhitzt das Substrat, wie beispielsweise einen Halbleiterwafer, auf eine erste Temperatur T1 (beispielsweise 800°C). Die Anstiegsflanke 76 in 8 stellt ein beispielhaftes Heizprofil durch die erste Heizquelle dar. Bei dieser Ausführungsform können auch ein einzelner Anstiegsschritt, wie in 8 gezeigt, oder mehrere Schritte oder ein anderes Heizprofil verwendet werden. Es kann auch eine variable Anstiegsrate verwendet werden. Genau in dem Moment, da das Substrat die erste Temperatur T1 erreicht oder die Temperatur T1 übersteigt, und ohne das Substrat für längere Zeit auf dieser Temperatur zu halten, wird eine gepulste Heizquelle aktiviert, um einen Energieimpuls Ep zuzuführen, um die Vorderseite der Substratoberfläche auf eine zweite Temperatur T2 zu erhitzen, die über der ersten Temperatur liegt (beispielsweise T2 = 1.300°C). Die Spitze 78 stellt die gepulste Erhitzung durch die gepulste Heizquelle dar.
  • Die Spitze 78 beginnt an dem Punkt, wo die Oberflächentemperatur des Wafers 800°C erreicht. In 8 werden die erste Heizquelle und die gepulste Heizquelle nach einem einzigen Impuls deaktiviert, um das Substrat abkühlen zu lassen, obgleich es sich versteht, dass im Zusammenhang mit den Lehren dieser Schrift auch andere Regimes verwendet werden können. Die erste Heizquelle und die gepulste Heizquelle können separate Heizquellen umfassen, aber ein solches Heizprofil kann auch unter Verwendung einer einzelnen Heizquelle erreicht werden. Beispielsweise können die Lampen 46 von 1 durch eine Mehrmodenheizquelle ersetzt werden, wie beispielsweise Mehrmoden-Lichtbogenlampen. Bei einer solchen Modifikation ist zu beachten, dass das Erhitzen in der Weise erfolgt, dass die vordere oder erste Oberfläche des Objekts, die der Mehrmodenquelle sowohl im Grund- als auch im gepulsten Heizmodus unmittelbar gegenüberliegt, erhitzt wird. Als eine weitere Modifikation kann eine Mehrmodenquelle so konfiguriert werden, dass sie der zweiten oder rückseitigen Oberfläche des Objekts Grundhitze zuführt, beispielsweise durch Verwendung einer beweglichen Spiegelanordnung (nicht gezeigt). Im Sinne der vorliegenden Anmeldung meint ”Mehrmoden” in Verbindung mit einer Heizquelle jede Heizquelle, die in der Lage ist, selektiv Hitze mit niedrigeren Grundheizraten für relative lange Zeitspannen und mit hohen, gepulsten Heizraten über relativ kurze Zeiträume zuzuführen und auf diese Weise sowohl eine Grundheizvorrichtung nach dem Stand der Technik als auch eine gepulste Heizvorrichtung zu emulieren.
  • Des Weiteren ist zu 8 anzumerken, dass der Impuls 78 in zeitlichem Verhältnis zum Erreichen der Temperatur T1 zugeführt werden kann. Gleichzeitig kann der Grundheizvorgang in zeitlichem Verhältnis entweder zum Erreichen der Temperatur T1 oder zum Einleiten des Impulses 78 am Zeitpunkt tp geregelt werden, beispielsweise innerhalb des Intervalls 71. Es ist zu beachten, dass diese Regelung mit einem hohen Maß an Flexibilität, einschließlich im prädiktiven Sinne, implementiert werden kann. Beispielsweise kann die Grundheizung vor dem Erreichen von T1 reduziert oder vollständig beendet werden, dergestalt, dass die Temperatur – beispielsweise infolge einer Restabgabe von der Grundheizquelle im Ergebnis ihrer Zeitkonstante – weiterhin auf T1 ansteigt. Der Impuls 78 kann dann in Reaktion auf das Erreichen von T1 (einschließlich mit einer Verzögerung) oder in einem prädiktiven Sinn zugeführt werden, beispielsweise innerhalb eines Intervalls, der zwischen dem Verringern der Grundheizung und dem Erreichen von T1 definiert ist. In einer weiteren Alternative kann die Grundheizung beim Erreichen von T1 verringert werden, und in Reaktion auf das Abkühlen auf eine festgelegte Temperatur kann der Impulsbeschuss erfolgen. Man sollte auch anmerken, dass durch das Implementieren des Heizprofils von 8 ohne ein Dauerzustandsintervall das behandelte Objekt einer kontinuierlichen Temperaturänderung ausgesetzt wird.
  • Vorzugsweise wird die von der ersten Heizquelle abgegebene Leistung zu einem Zeitpunkt in dem Intervall zwischen einer Sekunde vor und einer Sekunde nach dem Impuls der Größenordnung nach auf zwischen 0 und 90% verringert. Vorzugsweise wird die Stromzufuhr zu der ersten Heizquelle der Größenordnung nach auf etwa 50% oder weniger – und ganz besonders bevorzugt auf etwa 10% oder weniger – verringert. Wenn eine einzelne Heizquelle verwendet wird, so wird die Grundheizleistung, die durch diese einzige Heizquelle abgegeben wird, zu einem Zeitpunkt in dem Intervall zwischen einer Sekunde vor und einer Sekunde nach dem Impuls der Größenordnung nach vorzugsweise auf zwischen 0 und 90%, besonders bevorzugt auf weniger als 50% und ganz besonders bevorzugt auf weniger als 10% verringert.
  • In einer Ausführungsform ist T1 > 800°C, und die maximale Momentanstiegsrate ist ≥ 10°C/Sekunde, vorzugsweise ≥ 20°C/Sekunde. In einer zweiten Ausführungsform ist T1 > 900°C, und die maximale Momentanstiegsrate ist ≥ 20°C/Sekunde, vorzugsweise ≥ 50°C/Sekunde. In einer dritten Ausführungsform ist T1 > 950°C, und die maximale Momentanstiegsrate ist ≥ 50°C/Sekunde, vorzugsweise ≥ 100°C/Sekunde. In einer vierten Ausführungsform ist T1 > 1.000°C, und die maximale Momentanstiegsrate ist ≥ 75°C/Sekunde, vorzugsweise ≥ 150°C/Sekunde.
  • Im Allgemeinen kann für die in 8 veranschaulichte Ausführungsform sowie für jedes der in dieser Schrift erwähnten Impulsheizkonzepte die zweite Temperatur T2 im Bereich von 800°C bis 1.450°C liegen. Die Impulsenergie Ep wird vorzugsweise so gewählt, dass T2 unter dem Schmelzpunkt des Substrats liegt. Ep kann aber auch so gewählt werden, dass die Vorderseite des Substrats oberflächlich angeschmolzen wird. Die Impulsbreite des Energieimpulses kann im Bereich von 1 Nanosekunde bis 50 Millisekunden liegen.
  • Gehen wir kurz auf die Temperaturbeschränkungen und -bereiche im Kontext der Impulsmoduserhitzung ein. Bei einem Hochtemperaturverfahren, wie beispielsweise dem Ionenimplantationsausheilungsverfahren, liegt die Prozesstemperatur in der Regel über 950°C. Bei dieser Temperatur diffundieren Dotanden schnell, und die Temperaturhaltedauer muss minimiert werden. Wegen einer großen (exponentiellen) Temperaturabhängigkeit der Diffusion sind die Zeitbeschränkungen bei 1.000°C weit bedeutsamer als bei 950°C, so dass eine ”gleitende Skala” tolerierbarer Zeiten in Abhängigkeit von der Temperatur (dies ist die ”thermische Belastbarkeit”; ihr Limit verringert Fortschritte bei der Bauelemente-Technologie) angewandt wird. An diesem Punkt erlangen Anstiegsheizraten und Abkühlungsraten eine hohe Relevanz. Für Bauelemente nach dem Stand der Technik ist eine recht hohe Temperatur, beispielsweise etwa 1.050°C, tolerierbar, solange bei 1.050°C die Verweilzeit im Wesentlichen gleich Null ist und die Anstiegs- und Abkühlungsraten größer als beispielsweise etwa 75°C/Sekunde sind (was sich zu weniger als etwa 1,4 Sekunden Gesamtverweilzeit bei T > 1.000°C addiert). Dies verschafft dem Leser einen Eindruck von den Arten der Bedingungen, die für das Anstiegs- und Impuls-Konzept von 8 und für ähnliche Implementierungen erwartet werden. Natürlich werden für Bauelemente der nächsten Generation die zulässigen Limits weiter abnehmen, so dass diese Limits entsprechend angepasst werden müssen. Es ist zu beachten, dass es in der Praxis gewünscht werden kann, einen Anstieg auf 950°C (anstatt 1.000°C) bei 100°C/Sekunde herbeizuführen, den Impuls abzuschießen und dann abkühlen zu lassen (beispielsweise mit einer Rate von über 50°C/Sekunde). Die zusätzlichen 50°C sind für das Diffusionsproblem von enormer Bedeutung, und es ist eine relativ geringe Temperaturänderung (im Hinblick darauf, wie viel zusätzliche Energie erforderlich ist, damit der Impuls einen Temperaturanstieg auf eine gewünschte Prozesstemperatur erzeugt).
  • Diese Argumente sind in Verbindung mit dem Ionenimplantationsausheilungsverfahren relativ einfach, aber für andere Verfahren, die in dieser Schrift erwähnt werden, können die ”Regeln” sehr verschieden sein.
  • Um einen Wafer mit Impulsmoduserhitzung zu verarbeiten, ist in der Regel aus zwei Gründen das Vorheizen auf eine bestimmte Grundtemperatur erwünscht. Der erste Grund ist, dass es die in dem Puls benötigte Energie verringert, und der zweite Grund ist, dass das Verarbeiten von Siliciumwafern mit einem kräftigen, thermischen Schock mit hoher Wahrscheinlichkeit zu einem Bruch führt, wenn die Wafertemperatur weniger als etwa 500°C beträgt. Das heißt, die Grundtemperatur wird wahrscheinlich wenigstens 500°C betragen, wenn die Spitzenverarbeitungstemperatur über 900°C liegt. Wie oben beschrieben, wird die Grundtemperatur stark von der zulässigen, thermischen Belastbarkeit beeinflusst. Wenn für hochentwickelte Ionenimplantationsausheilungsverfahren ein ”Durchwärm- und Impuls”-Konzept in Erwägung gezogen wird, wie beispielsweise in 5 gezeigt, so werden die Grundtemperaturen wahrscheinlich unter 950°C liegen. Um bei Verwendung von energiearmen Implantaten zur Herstellung hochentwickelter Bauelementstrukturen eine ”vollständige” Immunität gegen Diffusionseffekte zu erreichen, sind allgemein etwa 800°C oder weniger erwünscht.
  • Eine weitere, bedeutsame Temperatur im Kontext dieser Gesamtbesprechung ist 1.410°C, weil dies der Schmelzpunkt von Silicium ist. Ein Schmelzen von Silicium ist im Allgemeinen nicht erwünscht, wodurch eine Obergrenze für die meisten Siliciumanwendungen vorgegeben ist. Wenn man aber in die Zukunft blickt, so gibt es einige Materialien, die bei sehr hohen Temperaturen verarbeitet werden müssen. Beispielsweise können für einige spezielle Bauelemente SiC, GaN und Diamant als Halbleiter dienen. Einige dieser Materialien können bei Temperaturen von 1.700°C oder mehr mittels der Lehren dieser Schrift ausgeheilt werden.
  • Der Ausführungsform von 8 kann ein Vorimpuls (oder Testimpuls) für Regelkreiszwecke vorangehen, wie noch näher beschrieben wird. Des Weiteren kann der Impuls 78 einen Behandlungsimpuls umfassen, der zur Formulierung von Parametern eines oder mehrerer zusätzlicher Impulse dient. Er kann außerdem in jedem Mehrimpulsmodus gemäß einer der Figuren der vorliegenden Schrift zusammen mit Verfahren, die in den 9 bis 11 gezeigt sind und im Weiteren noch besprochen werden, verwendet werden.
  • Die Werte, die in den begleitenden Ablaufdiagrammen und Gleichungen weiter unten verwendet werden, sind in Tabelle 1 definiert. Tabelle 1
    T1 Erste Temperatur Die Temperatur, bei der der Wafer stabilisiert wird oder die der Wafer vor dem Zuführen des Vorimpulses erreicht
    T2 Zweite Temperatur Die Temperatur, auf die der Wafer mittels Impulserhitzung erhitzt werden soll
    Tm Zwischentemperatur Optionale gemessene Wafertemperatur während der Verarbeitung unmittelbar vor dem Impulsbeschuss
    Tα Spitzentemperatur, die von der Waferoberfläche bei Zufuhr des Vorimpulses erreicht wird
    T- Spitzentemperatur der Waferoberfläche bei Zufuhr des Impulses
    T- Temperaturanstieg des Wafer-Grundmaterials bei Zufuhr des Vorimpulses
    Tϕ Temperaturanstieg des Wafer-Grundmaterials bei Zufuhr des Impulses
    P Impulsleistungsdichte Lampenleistung je Waferflächeneinheit
    Epr Vorimpulsenergie Lampenenergie während des Vorimpulses
    Ep Impulsenergie Lampenenergie während der Impulserhitzung
    Ω Impulsbreite für Impulsheizen Die Definition hängt von der Stromversorgung ab. Bei einer Stromversorgung mit variabler Bandbreite ist es das Zeitintervall, über den Ep zugeführt wird. Bei Stromversorgungen mit unveränderlicher Bandbreite ist dies gewöhnlich als die Breite des Impulsenergie-Zeit-Profils definiert, das bei einem Energiewert genommen wird, der die Hälfte der maximalen Energie beträgt (VBHM oder Volle Breite bei halbem Maximum)
    ω Impulsbreite für Vorimpuls
    Sp Impulsabtastzeit Die Zeit von der Zufuhr des Impulses bis zu dem Moment, da die Wafertemperatur über die gesamte Dicke gleichmäßig wird. Das kann zwischen dem Ein- und dem Fünffachen der Wärmediffusions-Zeitkonstante liegen.
    F1, F2, F3 Konstanten, die durch die Wafereigenschaften und die Impulsbreite definiert sind
    n Geometrische Effizienz Austauschfaktor von der Lampe zum Wafer, der von vornherein für das System festgelegt ist
    Aw Waferoberfläche
    t Zeit
    ρ Waferdichte
    Cp Spezifische Wärme des Wafers
    k Wärmeleitfähigkeit des Wafers
    δ Waferdicke
    ϒpr Wärmediffusionslänge über einen Zeitraum gleich der Impulsbreite ω des Vorimpulses
    ϒn Wärmediffusionslänge über einen Zeitraum gleich der Impulsbreite Ω
    α Breitbandabsorptionsvermögen des Wafers auf Lampenstrahlung
    τ Breitbandtransmissionsgrad des Wafers
    r Breitbandreflexionsvermögen des Wafers auf Lampenstrahlung
    Ψp Optische Effizienz des Impulserhitzens Effizienz der Blitzlampe bei der elektrooptischen Umwandlung für den Impuls. Dies ist von vornherein für die Lampe festgelegt.
    Ψpr Optische Effizienz des Vorimpulses Effizienz der Blitzlampe bei der elektrooptischen Umwandlung für den Vorimpuls. Dies ist von vornherein für die Lampe festgelegt.
  • Wenden wir uns den 9 bis 11 zu. Hier veranschaulichen Prozessablaufdiagramme verschiedene Regelkreissteuerungen für gepulste Heizverfahren gemäß der Erfindung. Diese Verfahren eignen sich für die in-situ-Bestimmung der optischen Eigenschaften des Wafers, was wiederum eine genaue Schätzung der Impulsenergie ermöglicht, die erforderlich ist, um die Waferoberfläche auf die gewünschte Behandlungstemperatur T2 zu bringen. In 9 stützt sich die Rückkopplung auf die gemessene Temperatur der Vorderseite des Substrats im Vergleich zu einer Ziel- oder gewünschten Behandlungstemperatur. In 10 stützt sich die Rückkopplung auf die inkrementelle Änderung der Substrattemperatur (es kann jede der beiden Oberflächen verwendet werden), verglichen an einem festgelegten Zeitintervall nach der Zufuhr eines Energieimpulses. In 11 stützt sich die Rückkopplung auf das gemessene Reflexionsvermögen und den gemessenen Transmissionsgrad des Substrats.
  • Der gemessene Parameter in jeder der 9 bis 11 steht zu der Lampenenergie Ep über ein Modell in Bezug, und die Modellberechnung stellt eine Schätzung der benötigten Impulsparameter (Ep und Ω) für den nächsten Impuls bereit. Die Bearbeitung der Impulsparameter von einem Impuls zum nächsten stellt einen Mechanismus für eine Regelkreissteuerung des Wafer-Temperaturanstiegs während der Impulsverarbeitung bereit.
  • Wenn die Verarbeitung mittels mehrerer Impulse erfolgt, so bewirkt die Energieabsorption einen Anstieg der Substrattemperatur zwischen den Impulsen. Wenn beispielsweise das Substrat auf eine erste Temperatur T1 erhitzt wird und dann der Vorderseite ein Energieimpuls zugeführt wird, so steigt die Temperatur der Vorderseite rasch auf die die Verarbeitungstemperatur T2, während die Rückseite während des Impulses nahe bei T1 bleibt. Die Temperatur der Vorderseite sinkt dann rasch aufgrund von Kühlung durch Wärmeableitung zum darunterliegenden Substrat, wodurch sich die Temperatur des Substrats durch seine gesamte Dicke hindurch allgemein ausgleicht. In diesem Prozess bewirkt die während der Impulserhitzung absorbierte Energie, dass das Substrat eine Zwischentemperatur Tm erreicht, die dann aufgrund von Kühlung durch Abstrahlung weiter sinkt. Vor der Zufuhr des nächsten Impulses kann Tm gemessen werden, um eine verbesserte Schätzung der für den nächsten Impuls benötigten Energie zu erhalten.
  • Bei einer alternativen Anordnung können die Impulsparameter anhand einer vorprogrammierten Nachschlagetabelle oder einer empirisch ermittelten Oberflächenpassung abgeschätzt werden. Bei einer Option wird vorher eine Experimentalreihe ausgeführt (d. h. vor der Wärmebehandlung der gewünschten Wafersubstrate). Die Temperaturreaktion des Wafers wird für verschiedene Kombinationen von T1, Tβ, Ω und Ep aufgezeichnet. Diese Ergebnisse werden in eine Nachschlagetabelle aufgenommen und im Computer gespeichert. Während eines bestimmten Verarbeitungsdurchgangs sind T1 und Ω in der Verarbeitungsvorschrift festgelegt, und Tβ wird gemessen. Der Computer greift dann auf die Nachschlagetabelle zu, um Ep für die erforderliche T2 abzurufen. Wenn der genaue Wert von T2 in der Nachschlagetabelle nicht verfügbar ist, so wird eine Interpolation zwischen den Werten, die um T2 herum liegen, vorgenommen. Diese Option ist in den 9 bis 11 als ”Option 1” bezeichnet.
  • Alternativ können in ”Option 1” die experimentell generierten Daten in Form einer Oberflächenpassung gespeichert werden. In diesem Fall hat die Anpassung die Form: Ep = f(Ω, T1, Tβ, T2, Aw, η, α, Ψp) (1).
  • In den obigen Gleichungen sind alle Variablen auf der rechten Seite bekannt – entweder durch voreingestellte Werte in der Verarbeitungsvorschrift oder durch Messung. Ep kann somit anhand der funktionalen Beziehung errechnet werden. Dieser Ansatz kann für alle Verfahren in den Ablaufdiagrammen der 9 bis 11 angewandt werden.
  • Temperatur des Substrats (des Wafers, während des Impulses an der Oberfläche gemessen
  • Wenden wir uns als nächstes 9 zu. Die Regelkreissteuerung stützt sich hier auf die Temperatur der Vorderseite im Vergleich zu einer Ziel- oder gewünschten Behandlungstemperatur. Nachdem der Wafer in die Verarbeitungskammer 80 geladen wurde, werden Eingabeparameter für das Erhitzen in Schritt 81 festgelegt. Eine rückseitige Heiztemperatur T1 und eine vorderseitige Heiztemperatur T2 sind zuvor festgelegte Werte. Die Vorimpulsenergie Epr und die Impulsbreite w sind ebenfalls zuvor festgelegte Werte gemäß einer gewünschten Heizvorschrift. Der Wafer wird auf die erste Temperatur T1 vorgeheizt 82. Nach Erreichen von T1 wird ein Vorimpuls 84 entsprechend der Vorimpulsenergie Epr zugeführt. Der Anstieg auf Spitzentemperatur der Vorderseite des Wafers infolge des Vorimpulses – T_wird durch pyrometrische Techniken 86 bestimmt und kann als Temperaturreaktion des Substrats angesehen werden. Die Kenntnis von T_, die Temperatur, die in Reaktion auf den Vorimpuls erhalten wurde, und die Vorimpulsparameter dienen zur Bestimmung der Absorptionsfähigkeit des Wafers α. Die Impulsenergie wird entweder anhand der Nachschlagetabelle oder der Kurvenanpassung (”Option 1”) in Schritt 87 ermittelt oder in Schritt 88 als Funktion von T1, T und T2 (”Option 2”) für nachfolgende Impulse berechnet.
  • Wenn Strahlungsverluste während des Impulses vernachlässigt werden, so kann die Heizrate zur Stromzufuhr in Beziehung gesetzt werden durch:
    Figure DE000010392472B4_0002
    y ist hier die Dicke der thermischen Diffusion entsprechend der Impulsbreite. Für den Vorimpuls ist y = ypr, und für das Impulsheizen ist y = yp. Diese sind gegeben durch:
    Figure DE000010392472B4_0003
    und ψ ist die Effizienz der optischen Konversion der Blitzlampe. ψ = ψpr wenn der Vorimpuls zugeführt wird und ψ = ψp für Impulsheizen. ψpr und ψp sind für die Blitzlampe charakteristisch und werden vorher bestimmt und zur Verwendung während der Verarbeitung gespeichert.
  • Der Temperaturanstieg der Oberseite des Wafers wird während des Vorimpulses der Leistungsdichte Ppr (Energie Epr) gemessen. Das ergibt:
    Figure DE000010392472B4_0004
  • Aus der obigen Gleichung kann das Absorptionsvermögen α ermittelt werden:
    Figure DE000010392472B4_0005
    wobei:
    Figure DE000010392472B4_0006
  • Wenn das Absorptionsvermögen des Wafers konstant ist, so wird die benötigte Impulsenergie für einen bestimmten Temperaturanstieg (T2 – Tm) folgendermaßen geschätzt:
    Figure DE000010392472B4_0007
    wobei:
    Figure DE000010392472B4_0008
  • Unter Verwendung des bestimmten oder errechneten Wertes wird die Impulsenergie an die Blitzlampe entladen 90, so dass die Lampe einen Impuls abgibt, um die Vorderseite des Wafers zu erhitzen. Im Anschluss an diesen Impuls wird die Temperatur der Vorderseite des Wafers durch pyrometrische Techniken bestimmt 92. Das Absorptionsvermögen des Wafers wird anhand der Messung der Oberflächentemperatur neu berechnet. Wenn ein nächster Impuls zugeführt werden soll, so kehrt das System in der Schleife zurück, um die Impulsenergie des nächsten Impulses als eine Funktion von T1, Tα und T2 zu berechnen 88. Sobald der gewünschte Heizvorgang beendet ist, kann der Wafer aus der Verarbeitungskammer herausgenommen werden 96. Diese Technik stützt sich im Wesentlichen auf einen induzierten Temperaturanstieg. Die Reaktion des Substrats oder eines anderen, derartigen verarbeiteten Objekts wird als eine Temperaturerhöhung erfasst. Diese erfasste Temperaturerhöhung bildet dann die Basis zum Festlegen der Behandlungsparameter, wie beispielsweise Impulsparameter zur Verwendung bei einer anschließenden Verarbeitung des behandelten Objekts. Eigenschaften des Behandlungsobjekts, wie beispielsweise das Absorptionsvermögen, lassen sich ohne Weiteres während dieses überaus vorteilhaften Verfahrens bestimmen.
  • Wafertemperatur, gemessen an der Ober- oder Unterseite ”Sp” Sekunden nach dem Impuls
  • Wenden wir uns nun 10 zu. Dieses Regelkreissteuerungsverfahren stützt sich auf die Messung des Temperaturanstiegs im Wafer-Grundmaterial infolge der Absorption von Impulsenergie. Dafür kann der Temperaturanstieg durch Messung der Wafertemperatur – und insbesondere entweder an der Oberseite oder der Unterseite des Wafers – bestimmt werden. Schritte in diesem Verfahren, die mit Schritten des Verfahrens von 9 identisch sind, wurden mit den gleichen Bezugszahlen bezeichnet.
  • Die Rückkopplung im vorliegenden Beispiel stützt sich auf die inkrementelle Änderung der Wafertemperatur, die bestimmt wird durch das Vergleichen einer vor dem Impuls gemessenen Temperatur mit einer Temperatur nach dem Impuls, die an einem festgelegten Zeitintervall nach der Zufuhr eines Energieimpulses bestimmt wurde. Nachdem der Wafer in die Verarbeitungskammer eingebracht wurde 80, werden die Verarbeitungsparameter für das Erhitzen festgelegt, und zwar werden die rückseitige Heiztemperatur T1, die vorderseitige Zielheiztemperatur T2, die Vorimpulsenergie Epr, die Vorimpulsband breite w und die Abtastzeit Sp festgelegt. Der Wafer wird auf die erste Temperatur T1 vorgeheizt 82. Es wird ein Vorimpuls mit einer bekannten Vorimpulsenergie Epr und Impulsbandbreite ω zugeführt 84. Der Anstieg der Wafertemperatur T (entweder der Vorderseite oder der Rückseite) wird mittels pyrometrischer Techniken an einem bestimmten Zeitintervall (Sp Sekunden) nach dem Vorimpuls gemessen 100. Unter Verwendung der Vorimpulsparameter und T wird das Absorptionsvermögen des Wafers berechnet. Eine Impulsenergie wird entweder anhand einer Nachschlagetabelle oder Kurvenanpassung (”Option 1”) bestimmt 101 oder wird als Funktion von T1, T und T2 (”Option 2”) für nachfolgende Impulse errechnet 102.
  • Wenn Strahlungsverluste während des Impulses vernachlässigt werden, so kann die Gesamtenergie, die vom Wafer aus dem Impuls absorbiert wurde, zur Wafererhitzung in Beziehung gesetzt werden durch:
    Figure DE000010392472B4_0009
  • Das Absorptionsvermögen des Wafers a auf der rechten Seite der obigen Gleichung wird durch die Zufuhr eines Vorimpulses mit der Energie Epr bestimmt:
    Figure DE000010392472B4_0010
  • Wenn sich die thermophysikalischen Eigenschaften über Zeiträume in der Größenordnung der Impulsbreite nicht nennenswert verändern, so ist die benötigte Impulsenergie zum Erzeugen des erforderlichen Temperaturanstiegs:
    Figure DE000010392472B4_0011
    wobei:
    Figure DE000010392472B4_0012
  • Unter Verwendung des bestimmten oder errechneten Wertes wird die Impulsenergie Ep an die Blitzlampe entladen 104, so dass die Lampe einen Impuls abgibt, um die Vorderseite des Wafers zu erhitzen. Die Wafertemperatur (entweder der Vorderseite oder der Rückseite) wird mittels pyrometrischer Techniken an einem Zeitintervall Sp Sekunden nach dem Vorimpuls bestimmt 106, und das Absorptionsvermögen des Wafers wird neu berechnet. Wenn ein anschließender Impuls zugeführt werden soll, so wird die benötigte Impulsenergie entweder anhand einer Nachschlagetabelle oder einer Kurvenanpassung (”Option 1”) oder anhand eines Modells (”Option 2”), wie in 10 gezeigt, neu berechnet. Sobald der Heizvorgang beendet ist, kann der Wafer aus der Verarbeitungskammer herausgenommen werden 96. Wie das Verfahren, das unmittelbar davor in Bezug auf 9 beschrieben wurde, stützt sich dieses Verfahren auf einen induzierten Temperaturanstieg. Die Reaktion des Substrats oder eines anderen derartigen, verarbeiteten Objekts wird als eine Temperaturerhöhung erfasst, aber zu einer Zeit nach der Zufuhr des Impulses und nicht während des Impulses. Auch hier bildet diese erfasste Temperaturerhöhung dann die Basis zum Festlegen von Behandlungsparametern, wie beispielsweise Impulsparameter zur Verwendung bei einer anschließenden Verarbeitung des behandelten Objekts. Des Weiteren lassen sich Eigenschaften des Behandlungsobjekts, wie beispielsweise das Absorptionsvermögen, ohne Weiteres während dieser Implementierung bestimmen.
  • Unabhängig davon, wann die Temperaturreaktion erfasst wird, sollte unbedingt angemerkt werden, dass das Stützen auf einen induzierten Temperaturanstieg wenigstens aus dem Grund als überaus vorteilhaft angesehen wird, dass der induzierte Temperaturanstieg von jeder beliebigen Anzahl physikalischer Eigenschaften am Substrat, welche sich auf das Zuführen jeglicher, weiterer Impulse auswirken, abhängt. Zu diesen physikalischen Eigenschaften gehören einschließlich beispielsweise das Reflexionsvermögen, das Absorptionsvermögen, die spezifische Wärme, die Wärmeleitfähigkeit oder die Materialdichte und -struktur (beispielsweise wirkt sich eine Mehrschichtstruktur optisch und thermisch aus). Dem Durchschnittsfachmann leuchtet daher ein, dass solche physikalischen Eigenschaften nicht auf optische Eigenschaften beschränkt sind, die nur eine Teilmenge möglicher Bedingungen, welche die Temperaturreaktion beeinflussen, umfassen. Des Weiteren bringt jede beliebige Kombination dieser Bedingungen eine überaus vorteilhafte, gebündelte Reaktion hervor, ohne dass man feststellen muss, welche physikalische Eigenschaft für welchen Anteil der Temperaturreaktion verantwortlich ist. Im Wesentlichen wird ein Vorimpuls oder jeder geeignete Impuls dazu verwendet, eine empirische Basis für die weitere Behandlung hervorzubringen.
  • An dieser Stelle sollte angemerkt werden, dass die Verwendung eines Vorimpulses (oder eines jeden, geeigneten Impulses) mit einem bestimmten Vorteil in Bezug auf die Heizvorrichtung einhergeht. Insbesondere kann eine und dieselbe Heizvorrichtung verwendet werden, um den Vorimpuls wie den Behandlungsimpuls zuzuführen. Auf diese Weise ist die geometrische Beziehung beispielsweise zwischen den Lampen einer Heizanordnung und einem Wafer sehr ähnlich, wenn nicht gar identisch, für den diagnostischen Vorimpuls wie für den Verarbeitungs/Behandlungsimpuls. Beispielsweise sind bei der Schätzung des Absorptionsvermögens geometrische Faktoren, wie beispielsweise die Verteilung der Einfallswinkel der Heizstrahlung auf den Wafer, von Bedeutung. Die Geometrie konstant zu halten, wie es durch die vorliegende Erfindung gelehrt wird, ist überaus vorteilhaft, weil dadurch eine genauere Vorhersage der benötigten Impulsenergie ermöglicht wird, ohne weitere Schritte der Charakterisierung und Extrapolation ausführen zu müssen.
  • Gemessenes Reflexionsvermögen und gemessener Transmissionsgrad
  • Wenden wir uns nun 11 zu. Die Rückkopplung stützt sich hier auf das gemessene Reflexionsvermögen r und den gemessenen Transmissionsgrad r des Wafers während der Zufuhr eines Energieimpulses. Nachdem der Wafer in die Verarbeitungskammer geladen wurde 80, werden die Verarbeitungsparameter für das Erhitzen festgelegt. Die rückseitige Heiztemperatur T1, die vorderseitige Zielheiztemperatur T2, die Vorimpulsenergie Epr, die Impulsbreite w und weitere Parameter werden festgelegt. Der Wafer wird auf die erste Temperatur T1 vorgeheizt 82. Es wird ein Vorimpuls mit einer bekannten Vorimpulsenergie Epr und Impulsbandbreite ω zugeführt 84. Das Reflexionsvermögen und der Transmissionsgrad des Wafers werden während des Vorimpulses mittels eines Sensors gemessen 110. Es ist anzumerken, dass dieser Schritt die Verwendung einer beliebigen, optischen Messung in Erwägung zieht, die als Grundlage für die anschließende Behandlung dienen kann. Eine Impulsenergie wird entweder anhand einer Nachschlagetabelle oder Kurvenanpassung (”Option 1”) ermittelt 111 oder als Funktion von T1 und T2 (”Option 2”) für nachfolgende Impulse berechnet 112.
  • Wenn Strahlungsverluste während des Impulses vernachlässigt werden, so kann die Heizrate zur Stromzufuhr in Beziehung gesetzt werden durch:
    Figure DE000010392472B4_0013
    wobei die Identität α = (l – r – τ) verwendet wird. y ist hier die Diffusionsdicke, die der Impulsbreite entspricht. Für den Vorimpuls ist y = ypr, und für das Impulsheizen ist y = yp. Diese sind gegeben durch:
    Figure DE000010392472B4_0014
    und ψ ist die Effizienz der optischen Konversion der Blitzlampe. ψ = ψpr, wenn der Vorimpuls zugeführt wird, und ψ = ψp für Impulsheizen. ψpr und ψp sind für die Blitzlampe charakteristisch und werden vorher bestimmt und zur Verwendung während der Verarbeitung gespeichert.
  • Ein Vorimpuls der Leistungsdichte PPS (Energie Epr) wird dem Wafer zugeführt, und während des Vorimpulses werden das Reflexionsvermögen und der Transmissionsgrad des Wafers gemessen. Diese Werte werden für die spätere Verwendung gespeichert. Wenn ein anschließender Energieimpuls zugeführt wird, so ergibt die Energiebilanz auf dem Wafer:
    Figure DE000010392472B4_0015
  • Wenn das Reflexionsvermögen und der Transmissionsgrad des Wafers konstant sind, so wird die benötigte Impulsenergie für einen bestimmten Temperaturanstieg (T2 – T„) folgendermaßen geschätzt:
    Figure DE000010392472B4_0016
    wobei:
    Figure DE000010392472B4_0017
  • Unter Verwendung des bestimmten oder errechneten Wertes für die Impulsenergie wird die Impulsenergie an die Blitzlampe entladen 114, so dass die Lampe einen Impuls abgibt, um die Vorderseite des Wafers zu erhitzen. Während des Impulses wird die Spitzentemperatur der Vorderseite des Wafers Tβ durch pyrometrische Techniken bestimmt 116. Das Reflexionsvermögen und der Transmissionsgrad des Wafers werden wieder gemessen. Wenn ein weiterer Impuls zugeführt werden soll, so wird die Impulsenergie erneut bestimmt oder berechnet. Sobald der Prozess abgeschlossen ist, kann der Wafer aus der Verarbeitungskammer herausgenommen werden 96.
  • Im Fall einer Mehrimpulsverarbeitung gewährleistet die Durchführung dieser Berechnungen in der Regelkreissteuerung für jedes der Verfahren von 9 bis 11 vor jedem Impuls, dass Änderungen bei den Wafereigenschaften, zu denen es im Zuge der Verarbeitung kommen kann, in den Berechnungen der Impulsenergie automatisch kompensiert werden. Es ist zu beachten, dass das Verfahren und die einzelnen Schritte, die in den 9 bis 11 gezeigt sind, in jeder geeigneten Weise umgeordnet werden können, insbesondere im Kontext einer Behandlung mittels einer Reihe von Impulsen. In diesem Zusammenhang ist zu beachten, dass die Impulsparameter von anschließend zugeführten Impulsen anhand von mehr als einer einzigen, physikalischen Eigenschaft des Behandlungsobjekts bestimmt werden können. Beispielsweise können die verschiedenen Parameter an verschiedenen Punkten während der Zufuhr der Reihe von Behandlungsimpulsen unterschiedlich wichtig sein. Des Weiteren kann sich die Reihenfolge der Wichtigkeit verschiedener Parameter im Verlauf des Prozesses ändern. Und es kann auch ein endgültiger Wert einiger physikalischer Eigenschaften kritisch sein. In diesem Fall kann ein solcher Parameter durch die Gesamtreihe zusätzlicher Impulse hindurch beobachtet werden, selbst in Verbindung mit dem Bestimmen einer anderen, physikalischen Eigenschaft. Beispielsweise kann ein Temperaturanstieg in Verbindung mit dem Überwachen des Reflexionsvermögens angewendet werden. In dieser Hinsicht kann es – wenn ein bestimmter Parameter am Ende der Behandlung einen Zielwert haben soll – wünschenswert sein, diesen Wert relativ frühzeitig innerhalb des Gesamtverarbeitungsschemas zu beobachten. Dieser bestimmte Parameter kann – entweder zusammen mit anderen Parameteranzeichen oder trotz anderer Parameteranzeichen – als ein Hinweis dafür dienen, die Verarbeitung zu beenden. Ebenso kann man sich auch auf andere physikalische Parameter stützen, oder das Erreichen eines für einen Parameter spezifizierten Zielwertes kann das Überwachen eines anderen Parameters oder das Stützen auf einen anderen Parameter auslösen. In dieser Hinsicht ist zu beachten, dass eine endlose Palette möglicher Konfigurationen in Erwägung gezogen wird, die allesamt als im Geltungsbereich der vorliegenden Erfindung liegend angesehen werden.
  • Zwar erfolgt die obige Besprechung zu dem Zweck, einem Durchschnittsfachmann die Herstellung und Nutzung der Erfindung, einschließlich aller ihrer verschiedenen Merkmale, zu ermöglichen, doch es ist zu beachten, dass diese Merkmale in einer nahezu endlosen Zahl von Möglichkeiten kombiniert werden. An dieser Stelle wird darum eine Anzahl alternativer Heizprofile beschrieben, welche die Verwendung bestimmter, oben gelehrter Konzepte veranschaulichen, um ein noch vollständigeres Verständnis dieser Konzepte und der vielfältigen Möglichkeiten ihrer Verwendung herauszubilden.
  • Wie oben erwähnt, kann allein für Messzwecke ein Vorimpuls zugeführt werden. Es kann aber auch ein Vorimpuls zugeführt werden, der nicht nur für Messzwecke verwendet wird, sondern außerdem teilweise ein gewünschtes Behandlungsergebnis in dem Behandlungsobjekt bewirkt. In dieser Hinsicht ist zu beachten, dass das Vorimpulskonzept im Kontext einer Reihe von Impulsen, die einem Substrat oder einem anderen, derartigen Behandlungsobjekt zugeführt werden sollen, überaus flexibel ist. Beispielsweise kann der erste Impuls einer Reihe von Behandlungsimpulsen als ein Vorimpuls verwendet werden, indem man einen Messwert des Temperaturanstiegs, der durch diesen ersten Impuls induziert wird, erhält. Es können dann Impulsparameter von einem oder mehreren der Impulse innerhalb der Reihe von Impulsen im Hinblick auf diesen induzierten Temperaturanstieg justiert werden.
  • Wenden wir uns nun 12 zu. Hier ist ein Heizprofil 280 veranschaulicht, das durch einen Vorimpuls erzeugt wurde, auf den eine Reihe weiterer Impulse folgt. Die resultierenden Behandlungswärmespitzen sind mit den Bezugszahlen 204a–c bezeichnet. Es wird ein Anstiegsintervall 202 mit konstanter Steigung erzeugt, indem die Grundheizleistung auf einen mit P1 bezeichneten Wert in dem Moment angehoben wird, da das Substrat die Temperatur T1 erreicht. In Reaktion auf das Erreichen von T1 wird während eines Dauerzustandsintervalls eine Vorimpulswärmespitze 282 erzeugt, wodurch die Substrattemperatur vor der Reihe weiterer Impulse kurzzeitig auf T2 steigt. Der erste, weitere Impuls 204a wird dann in zeitlichem Verhältnis zum Zurückkehren des Substrats zur Temperatur T1 im Anschluss an den Vorimpuls zugeführt. Danach werden – im Anschluss an den Impuls 204a – die Impulse 204b und 204c in gleichen Zeitinkrementen zugeführt, wobei dies aber nicht zwingend ist. Das Inkrement, das diese Impulse voneinander trennt, wird – wenigstens teilweise – bestimmt, damit das Substrat zur Temperatur T1 zurückkehren kann. Ein Grundheizprofil 284 dient der Regelung des Grundheizens in zeitlichem Verhältnis zur Zufuhr des Vorimpulses und anschließender Reihen von Behandlungsimpulsen.
  • Das Grundheizprofil 284 enthält einen negativ verlaufenden Impuls 286, der in zeitlichem Verhältnis zum Vorimpuls zugeführt wird und die Grundheizleistung auf einen mit P3 bezeichneten Wert verringert. Des Weiteren enthält das Grundheizprofil einen negativ verlaufenden Impuls 288, der eine Reaktion auf jeden Behandlungsimpuls 204a–c darstellt. Es ist zu beachten, dass jeder der Behandlungsimpulse 204a–c gemäß den obigen Lehren zugeführt werden kann, wie beispielsweise anhand einer vorhergesagten Reaktion des Substrats. Des Weiteren können die zusätzlichen Impulse in jeder beliebigen Anzahl unterschiedlicher Arten so konfiguriert werden, dass in dem Substrat ein Zielzustand erzeugt wird. Das heißt, jeder Impuls, einschließlich des Vorimpulses, kann wenigstens teilweise einen Zielzustand im gleichen Grad oder in einem anderen Grad hervorbringen. Es ist auch wichtig zu verstehen, dass die Impulsparameter der zusätzlichen Impulse von einem Puls zum nächsten variieren können, wie oben beschrieben. Für jede Reihe von Impulsen können zwischen den zusätzlichen Impulsen Messungen vorgenommen werden, um jede geeignete, physikalische Eigenschaft zu überwachen, wobei verschiedene Parameter zu verschiedenen Zeitpunkten während der Reihe zusätzlicher Impulse überwacht werden können. Beispielsweise können Impulsparameter im Anschluss an die Zufuhr des Impulses 204a durch eine Messung einer optischen Eigenschaft anstatt anhand einer Temperaturreaktion des Substrats bestimmt werden. Dieses Merkmal kann im Anschluss an den letzten Impuls einer Reihe besonders nützlich sein, wobei das System weitere Impulse anhand eines Zielwertes der optischen Eigenschaft einleiten kann. Es kann – wie auch schon oben beschrieben – eine optische Eigenschaft parallel zur Überwachung der Temperaturreaktion überwacht werden. Es wird betont, dass die offenbarten Merkmale ein hohes Maß an Flexibilität bieten.
  • Die Implementierungen der Heizprofile haben bis hierher die Verwendung eines einzelnen Vorimpulses veranschaulicht. Die Anzahl der Vorimpulse, mit denen jedes Substrat behandelt werden kann, ist aber unbegrenzt. Des Weiteren kann jeder Impuls – wie beschrieben – zwei Funktionen haben: 1. als Vorimpuls durch Ausführen einer Temperaturreaktionsmessung im Anschluss an diesen Impuls und 2. als Behandlungsimpuls.
  • 13 veranschaulicht ein Heizprofil 320, das vor jedem Behandlungsimpuls einer Reihe von Behandlungsimpulsen einen Vorimpuls verwendet. Das Profil 320 ist bis zum Ende eines ersten Behandlungsimpulses 204a identisch mit dem Profil 280 von 12. Danach sind aber die Vorimpulse 282b und 282c vor den Behandlungsimpulsen 204b bzw. 204c zu Messzwecken eingefügt. Diese Konfiguration ermöglicht das genaue Beobachten des Zielzustandes im Substrat. Gemäß der vorliegenden Erfindung wird ein Grundheizprofil 322 in zeitlichem Verhältnis zu der eingestreuten Reihe von Vorimpulsen und Impulsen geregelt, wobei negative Vorimpulsspitzen 286a–c jeweils den Vorimpulswärmespitzen 282a–c zugeordnet sind und negative Wärmespitzen 288a–c den Behandlungsimpulswärmespitzen 204a–c zugeordnet sind.
  • Wenden wir uns nun 14 zu. Hier ist ein Heizprofil 340 veranschaulicht, das intermittierend eingestreute Vorimpulse verwendet. Ein Grundleistungsheizprofil 342 wirkt mit einer Impulserhitzung dergestalt zusammen, dass sich ein Profil 340 ergibt. Das Profil 340 ist mit dem Profil 320 von 13 identisch, mit der Ausnahme, dass zwischen aufeinanderfolgenden Vorimpulsen eine Reihe von Impulsen vorhanden ist, während das Grundheizprofil 342 in ähnlicher Weise mit dem Grundheizprofil 322 von 13 identisch ist. Aus Gründen der Bündigkeit der Darstellung werden darum detaillierte Besprechungen gleicher Merkmale der Profile 340 und 342 nicht wiederholt. Im Hinblick auf die Verwendung einer Reihe von Behandlungsimpulsen zwischen aufeinanderfolgenden der Vorimpulse ist anzumerken, dass alle Lehren dieser Offenbarung in Bezug auf die Verwendung einer Impulsreihe auch im Kontext der 14 zutreffen.
  • Es ist festzuhalten, dass zwar in den Figuren Impulsreihen veranschaulicht sein mögen, die Impulse beinhalten, die identisch erscheinen, doch es versteht sich, dass dies nicht zwingend ist und dass Parameter einzelner Impulse in jeder geeigneten Weise angepasst werden können, um Behandlungsziele zu erreichen.
  • Die vorliegende Erfindung zieht die Verwendung von Abtastenergiequellen als Alternativen zu gepulsten Energiequellen in Erwägung. Das heißt, es kann ein Energieimpuls zu jeder Stelle auf dem Wafer in sequenzieller Form durch Abtasten eines Energiestrahls über der Oberfläche, wie beispielsweise mittels eines Laserstrahls, abgegeben werden. Der Energiestrahl braucht selbst nicht gepulst zu sein, und es können gewünschtenfalls Dauerstrichquellen (CW-Laser) verwendet werden. Bei diesem Abtastmodus kann man sich die effektive Impulsdauer als zur Größe des Energiestrahls, geteilt durch die Abtastgeschwindigkeit, in Bezug stehend vorstellen. Der Energiestrahl kann über der Oberfläche in einem Muster abgetastet werden, das den Wafer über seine gesamte Fläche bestreicht, beispielsweise mittels Rasterabtastung. Gewünschtenfalls können mehrere Abtastungen so überlappt werden, dass die Gleichmäßigkeit der Verarbeitung verbessert wird oder dass die Verarbeitungszeit an bestimmten Stellen verlängert wird (wobei das Letztgenannte dem Zuführen mehrerer Impulse entspricht). Ein weiterer Ansatz, der sich als nützlich erweisen kann, ist das Anordnen der Energiequelle in einer Linienform und das Bestreichen des Wafers mit dieser Linienform. Wenn die Linienform eine Länge enthält, die kürzer ist als der Waferdurchmesser, so können mehrere Bestreichungsdurchgänge ausgeführt werden, um die gesamte Waferfläche zu erfassen. Natürlich können mehrere Bestreichungsdurchgänge an jeder ausgewählten Stelle auf dem Wafer ausgeführt werden, um die effektive Verarbeitungszeit auf einen gewünschten Wert zu verlängern. Ein Energiestrahl, der wenigstens dem Durchmesser des Wafers entspricht, kann vorteilhaft sein, da der Strahl in einem einzigen Durchgang über den gesamten Wafer geführt werden kann, so dass die Verarbeitungsdauer wenigstens potenziell minimiert wird. Im Kontext dieses Abtastkonzepts ist es wichtig zu verstehen, dass die vorliegende Erfindung die Verwendung jeder Form von Energiequelle in Erwägung zieht, die an die Verwendung in einem Abtastmodus angepasst werden kann. Beispielsweise kann Energie von Lichtbogenlampen zu einer gewünschten Linien- oder Punktform angeordnet werden. Des Weiteren dienen auch Elektronenstrahlen und Mikrowellen (beispielsweise Gyrotron) als andere, geeignete Energieformen.
  • Ein Vorteil, der sich aus dem Abtaststrahlkonzept ergibt, liegt in der Tatsache, dass durch die recht kleine Größe des Strahls ein sehr hoher Temperaturanstieg auf der Waferoberfläche erzeugt wird, ohne einen sehr großen Energieimpuls zuführen zu müssen. Obgleich die Zeit für das Verarbeiten eines kompletten Wafers im Vergleich zu dem Fall, wo dem gesamten Wafer gleichzeitig gepulste Energie zugeführt wird, zunimmt, kann die Gerätschaft für das Zuführen der Energie kleiner und kosteneffektiver sein.
  • Es ist anzumerken, dass der Abtastverarbeitungsmodus zweckmäßig mit einem Grundheizen verknüpft werden kann. Ein solches Grundheizen dient dem Zweck, die benötigte Leistung noch weiter zu verringern, und dient außerdem dazu, die von der Abtastenergiequelle induzierte Wärmebeanspruchung zu verringern. Ein Verringern der Wärmebeanspruchung wiederum verringert die Gefahr eines Waferbruchs oder des Einbringens von Defekten infolge übermäßiger Belastung. Im Abtastmodus kann eine Grundheizwärmespitze, wie sie in 8 enthalten ist und auch in anderen der verschiedenen Figuren zu sehen ist, verwendet werden, indem man beispielsweise den kompletten Wafer mit einer Energielinie bestreicht. Eine solche Implementierung kann besonders interessant sein, da – in diesem Fall – die Verarbeitungsdauer minimiert werden kann, was die Vorteile einer geringeren, thermischen Belastung und einer höheren Waferdurchsatzes mit sich bringt. Es kann ein Heizzyklus entworfen werden, bei dem das Bestreichen mit Energie dann erfolgt, wenn der Wafer eine gewählte Temperatur erreicht, und das Konzept des Regelns der Abtastbestreichung und des Grundheizens in einem zeitlichen Verhältnis ist hier sinnvoll. Da jedoch ein Bestreichen üblicherweise länger dauert als die Impulse von Millisekundendauer, die normalerweise beim Impulsheizmodus in Erwägung gezogen werden, kann der Wafer für einen Zeitraum, welcher der Abtastdauer entspricht – beispielsweise entsprechend einem Zeitraum von wenigstens 0,5 Sekunden – bei einer festen Temperatur bleiben, während der Energiestrahl über der Waferoberfläche abgetastet wird.
  • Die überaus vorteilhafte Verwendung eines Vorimpulses, wie in den obigen Besprechungen gelehrt, findet auf dem Gebiet einer Abtastmodusimplementierung noch weiterreichende Anwendbarkeit. Beispielsweise kann die Energiequelle über der Oberfläche des Behandlungsobjekts abgetastet werden, und ihre Effekte werden durch eine der verschiedenen Methoden überwacht, die zuvor für den gepulsten Heizmodus in Erwägung gezogen wurden. Der Vorimpuls kann unter Verwendung des gleichen Leistungswertes, der gleichen Strahlgröße und der gleichen Abtastgeschwindigkeit ausgeführt werden, da das Zuführen von Verarbeitungsenergie oder jeder dieser Parameter für den Vorimpuls verändert werden kann, beispielsweise um zu gewährleisten, dass der Vorimpuls den Wafer nicht verarbeitet und lediglich einem Messzweck dient.
  • Bei einer Vorimpulsabtastmodusimplementierung dient ein optischer Sensor dem Erfassen des Temperaturanstiegs, der durch den abgetasteten Strahl auf der Oberfläche, wo der Strahl auf den Wafer auftrifft, induziert wird.
  • Alternativ kann auch die Oberfläche bestrichen werden, woraufhin die auf dem Wafer erreichte Temperatur gemessen wird (d. h. nach Beendigung des Bestreichungsvorgangs). Diese letztgenannte Art der Messung kann entweder auf der Vorder- oder auf der Rückseite vorgenommen werden. Man muss sich aber in diesem Fall unbedingt darüber im Klaren sein, dass die Zeit, die für das Zuführen der Energie benötigt wird, deutlich länger sein kann als die Zeit, die im gepulsten Heizmodus benötigt wird, wo der Impuls gleichzeitig der gesamten Waferoberfläche zugeführt wird, und dass die Energie nicht unbedingt in einer räumlich homogenen Weise zugeführt wird. In jedem Augenblick herrscht ein großer, lateraler Temperaturgradient auf der Waferoberfläche infolge der Abtastwirkung des Strahls in Verbindung mit seiner relativ geringen Größe (im Verhältnis zur Größe des Wafers). Eine Möglichkeit, dieses Problem in den Griff zu bekommen, ist die Erhöhung der Abtastgeschwindigkeit während des Vorimpulses. Dies dient zwei sinnvollen Zwecken: Erstens wird es dadurch möglich, dass die Energie, die einer beliebigen Stelle zugeführt wird, niedriger sein kann, wodurch auch der Temperaturanstieg an diesen Stellen geringer ist. Dementsprechend erzeugt auch der Vorimpuls keine unerwünschte Änderung des Waferzustandes. Und zweitens bedeutet eine Erhöhung der Abtastgeschwindigkeit, dass die Energie der gesamten, abgetasteten Region in kürzerer Zeit zugeführt wird. Dementsprechend steht weniger Zeit zur Verfügung, in der diese Energie während eines Abtastvorgangs von der Waferoberfläche verloren gehen kann (beispielsweise durch Strahlung), so dass die Messung des Temperaturanstiegs des Wafers am Ende des Abtastvorgangs in enger Beziehung zu der Energie steht, die während des Abtastvorgangs zugeführt wurde, wodurch eine genauere Schätzung der Leistungskopplung und damit eine verlässlichere Vorhersage der Verarbeitungsbedingungen, die für das Erreichen des gewünschten Ergebnisses benötigt werden, möglich wird.
  • Eine dritte Möglichkeit der Verwendung des Vorimpulskonzepts im Abtastverarbeitungsmodus besteht darin, den Energiestrahl über die Waferoberfläche hinweg abzutasten und reflektierte und/oder durchgelassene Strahlung während des Abtastvorgangs zu erfassen. Die gemessene, reflektierte und durchgelassene Energie kann dazu verwendet werden, abzuleiten, wie viel Energie im Wafer absorbiert wird, und um die Verarbeitungsbedingungen entsprechend einzustellen.
  • Jedes der vorgenannten Konzepte kann dazu verwendet werden, Verarbeitungsparameter einzustellen, beispielsweise die Leistung des Energiestrahls, die Abtastgeschwindigkeit oder die Größe oder Form des Strahls. Das Grund heizen kann ebenfalls eingestellt werden.
  • Im Abtastverarbeitungsmodus kann eine ausgeklügeltere Korrektur vorgenommen werden, wobei die Verarbeitungsparameter in Bezug auf die Position der Abtastenergiequelle auf dem Wafer eingestellt werden. Diese Implementierung kann in Fällen nützlich sein, wo der Wafer Musterstrukturen aufweist und verschiedene Abschnitte des Wafers unterschiedliche, physikalische Eigenschaften aufweisen. Wenn beispielsweise ein Sensor, wie beispielsweise eine Infrarotkamera, verwendet wird, um die Waferoberfläche während der Verarbeitung zu beobachten, so können die Beobachtungsergebnisse dazu verwendet werden, die räumliche Verteilung des Temperaturanstiegs abzuleiten, der durch den Heizstrahl während der Vorimpulsabtastung induziert wurde. Durch Erstellen einer Karte des induzierten Temperaturanstiegs kann eine vorherige Korrektur der Verarbeitungsbedingungen vorgenommen werden, wodurch das Erzeugen noch gleichmäßigerer Temperaturanstiege über den gesamten Wafer hinweg möglich wird. Natürlich kann ein solches System während der eigentlichen Verarbeitung dazu verwendet werden, eine Echtzeitrückmeldung zur Energiequelle zu geben, auch wenn Regelungsprobleme eine strikte Überwachung erfordern, um die gewünschten Ergebnisse zu gewährleisten.
  • Eine ähnliche Herangehensweise an die räumliche Regelung der Verarbeitungsbedingungen kann mittels einer Kamera realisiert werden, mit der vom Wafer reflektiertes oder durchgelassenes Licht beobachtet wird. In diesem Fall wird in Erwägung gezogen, dass gewünschte Informationen dadurch erhalten werden können, dass man den Wafer mit Energie bestrahlt, die spektrale Ähnlichkeit mit der Energie der Verarbeitungsenergiequelle aufweist, auch wenn es sich nicht buchstäblich um dieselbe Energiequelle handelt. Beispielsweise kann eine leistungsschwache Lichtquelle dazu verwendet werden, den Wafer vor der Verarbeitung zu beleuchten. Es sind jedoch einige Vorteile damit verbunden, die reflektierte oder durchgelassene Energie durch den Verarbeitungsstrahl selbst zu erfassen.
  • Beispielsweise sind die geometrischen Beleuchtungsbedingungen identisch mit jenen, die im Verarbeitungsmodus verwendet werden, so dass die Informationen die tatsächlichen Bedingungen verlässlicher widerspiegeln. Auch hier kann sich das Vorimpulskonzept insofern als nützlich erweisen, als es die benötigten Informationen zu erfassen vermag, ohne den Wafer einer übermäßigen Verarbeitung auszusetzen.
  • Die vorliegende Erfindung wird als überaus vorteilhaft für das Ausheilen von Ionenimplantationsschäden innerhalb von Zeiträumen angesehen, die hinreichend kurz sind, um unerwünschte Diffusionseffekte zu beseitigen, während gleichzeitig sehr hohe Temperaturen zum Einsatz kommen können, um Defekte zu beseitigen und Dotanden zu aktivieren. Es versteht sich, dass die sehr hohe Heizrate und Abkühlrate in Verbindung mit der extrem kurzen Dauer des Hochtemperaturausheilens den Zugang zu neuen Regimes für die Optimierung des Ausheilens von Ionenimplantaten gestattet. In dieser Hinsicht sind mehrere beispielhafte Aspekte der vorliegenden Erfindung interessant.
  • a) Beseitigung der übergangsverstärkten Diffusion (Transient-Enhanced Diffusion – TED)
  • Eine interessante Anwendung liegt im Ausheilen von Implantaten, die normalerweise während des RTP durch TED beeinflusst werden, einschließlich selbst der höchst aggressiven ”Spitzenausheilungen”. Es wurde vorgeschlagen, dass ultra-hohe Heizraten dazu verwendet werden können, die Auswirkungen von TED zu minimieren, und ein gepulstes Heizregime kann die notwendigen Anforderungen bezüglich Heiz- und Abkühlungsraten sowie des Erzeugens der extrem hohen Spitzentemperatur, die zur Beseitigung der für TED verantwortlichen Defekte nötig ist, erfüllen.
  • b) Maximierung der Dotandenaktivierung und Minimierung der Dotandendiffusion
  • Eine der großen Herausforderungen bei der Verkleinerung von Bauelementen liegt in der Schaffung flacher Übergänge mit genügend hoher, elektrischer Aktivierung. Die meisten, herkömmlichen Verarbeitungsweisen, einschließlich des Spitzenausheilungs-RTP, haben Probleme bei der Herstellung von Konzentrationen elektrischer Träger von deutlich über 1020/cm3, obgleich die Konzentration implantierter Dotanden weitaus höher sein kann. Dieses Limit kann zu einem unerwünscht hohen Widerstand durch die Quellen- und Abzugsregionen des Metalloxidhalbleiterbauelements hindurch führen. Man nimmt an, dass das Limit mit dem Festkörperlöslichkeitslimit für die Dotanden bei der Ausheilungstemperatur zusammenhängt. Mittels des Impulsausheilungsverfahrens lässt sich eine höhere Dotandenaktivierung durch Verwendung von Ausheilungen erreichen, die Spitzentemperaturen erzeugen, die deutlich höher sind als jene, die für herkömmliches RTP praktikabel sind, und wo die Festkörperlöslichkeit von Dotanden deutlich größer ist. Beispielsweise wäre es sehr schwierig, einen Wafer in einem isothermischen Modus bei Temperaturen von über 1.150°C auszuheilen, ohne eine übermäßige Dotandendiffusion, Oberflächenschäden und Belastungsdefekte, wie beispielsweise Gleitungen, herbeizuführen, wohingegen es unwahrscheinlich ist, dass eine Einwirkungsdauer dieser Temperaturen von weniger als 10 ms zu diesen unerwünschten Nebenwirkungen führt, während immer noch eine Dotandenaktivierung stattfinden kann. Insbesondere kann bei Implantationsenergien, die so gering sind, dass TED kein signifikanter Faktor bei der Bestimmung der Diffusion ist, die Mindestübergangstiefe erreicht werden, indem der kürzestmögliche Heizzyklus verwendet wird, der in der Lage ist, das gewünschte Maß an Dotandenaktivierung und Schadensausheilung herbeizuführen. Anhand dessen ergibt sich die Verwendung der höchstmöglichen Temperatur, der kürzesten Heiz- und Abkühlungszeiten und der Mindestverweilzeit bei der Spitzentemperatur. Das gepulste Erhitzen erfüllt alle diese Anforderungen, da die Aufheizzeit sehr kurz ist. Aufgrund der sehr hohen Energiedichte, die an die Waferoberfläche abgegeben wird, erfolgt die Abkühlung sehr schnell, da die Wärmeleitung einen sehr schnellen Mechanismus zum Abführen von Wärme von der Waferoberfläche in das Wafergrundmaterial bereitstellt. Des Weiteren ist die Verweilzeit kurz, weil die Impulslampen eine sehr schnelle, dynamische Reaktion aufweisen.
  • Es wird davon ausgegangen, dass man die vorliegende Erfindung als besonders effektiv finden wird, wenn sie – unter Verwendung beispielsweise der folgenden Spezies und ungefähren Energien – mit energiearmen Ionenimplantaten kombiniert wird: B mit Energie (E) < 2 keV; BF2 mit E < 5 keV; As mit E < 8 keV und P mit E < 4 keV. Die Kombination der Implantation von Ge- oder Si-Ionen für die Präamorphisation mit B-Dotierung funktioniert wahrscheinlich ebenfalls gut. Die Ge-Ionenimplantation würde in der Regel mit einer Energie im Bereich zwischen 2 und 10 keV erfolgen, und die Dosis wäre ~1015/cm2_. Der Präamorphisationsansatz könnte auch bei den P-Implantaten nützlich sein.
  • Ein Konzept, von dem erwartet wird, dass es sich als nützlich erweist, beinhaltet die Verwendung einer Niedrigtemperaturausheilung zur Rekristallisation eines amorphen Siliciumfilms, der während eines Ionenimplantationsprozesses hergestellt wurde, und das anschließende Zuführen eines Hochtemperaturimpulses. Dies kann einige Vorteile gegenüber einer einstufigen Ausheilung haben, weil eine Hochtemperaturausheilung einer amorphen Schicht zu einer möglicherweise unerwünschten Polykristallbildung führen kann. Eine Alternative wäre die Durchführung einer Ausheilung mit einem einzelnen Impuls (mit einer relativ niedrigen Spitzentemperatur von weniger als etwa 1.000°C), der den Film kristallisiert, gefolgt von einem zweiten, gepulsten Prozess mit einer recht hohen Spitzentemperatur (> 1.000°C), der den Ausheilungsprozess vervollständigt. Wenn während des Implantierungsprozesses eine amorphe Schicht gebildet wird, so wurde beobachtet, dass eine festphasen-epitaxiale (Solid-Phase Epitaxial – SPE) Kristallisation des Films selbst ohne weitere Hochtemperaturausheilung zu einer sehr hohen, elektrischen Aktivierung der Dotanden führen kann. Diese Prozesse können bei Temperaturen von nur 500°C ausgeführt werden. Ein Problem, das beobachtet wurde, besteht darin, dass das Vorhandensein hoher Konzentrationen an Störstellen, wie beispielsweise die implantierten Dotanden selbst, die Wachstumsrate des Kristallisationsprozesses verringern kann, und diese Verringerung der Wachstumsrate ist mit der Herausbildung von Defektstrukturen verknüpft. Das Phänomen verringert sich mit steigender Prozesstemperatur, aber bei herkömmlichen RTP-Systemen bedeutet die begrenzte, mögliche Heizrate (< 500°C/Sekunde), dass die meisten implantierten Filme kristallisieren, bevor der Wafer eine Temperatur von ~800°C erreichen kann. Infolge dessen ist es sehr schwierig, einen SPE-Prozess bei einer Temperatur oberhalb 800°C durchzuführen. Ein Konzept mit gepulster Heizung ermöglicht die Durchführung von SPE-Prozessen bei jeder beliebigen Temperatur, einschließlich noch höherer Temperaturen, wie beispielsweise 900°C, wo ein Neuwachstum nicht so stark durch die Dotierungseffekte beeinflusst wird.
  • Ein weiteres Problem entsteht infolge des Vorhandenseins von Defekten in dem Teil des Wafers, der jenseits der amorphen Schicht liegt. Diese Defekte werden möglicherweise nicht durch einen Niedrigtemperatur-SPE-Prozess ausgeheilt, und sie können zu Problemen in Bauelementstrukturen führen, einschließlich des Herbeiführens einer übermäßigen Ableitung am p-n-Übergang. Eine Lösung wäre, die Festphasenkristallisationsprozesse bei höheren Temperaturen auszuführen, um gleichzeitig die Effekte dieser Defekte zu verringern und dabei trotzdem noch die Dotanden zu aktivieren. Es kann auch wünschenswert sein, Kristallisationsprozesse bei relativ niedriger Temperatur mit gepulsten Ausheilungen zu kombinieren, wobei die gepulste Ausheilung die Defekte beeinflussen und der SPE-Prozess die Dotanden aktivieren kann. Diesen Vorteil erlangt man wenigstens potenziell durch das Ausführen einer gepulsten Ausheilung bei hoher Temperatur vor oder nach dem SPE-Prozess über eine geeignete Einstellung der Impulsparameter.
  • c) Ausführen einer Quellen-/Abzugsausheilung nach der Bildung dielektrischer Filme mit hohem K-Gehalt
  • In dem Maße, wie die Abmessungen von Bauelementen kleiner werden, ist es klar geworden, dass es wichtig ist, den herkömmlichen Siliciumdioxidgatterisolator durch ein Material mit einer höheren, dielektrischen Konstante zu ersetzen. Es wurden schon verschiedene Materialien vorgeschlagen, aber es ergibt sich ein bedeutsames Problem daraus, dass sie oft nicht thermisch stabil sind und die Ausheilung, die notwendig ist, um die Quellen-/Abzugsimplantate zu aktivieren, möglicherweise nicht unbeschadet überstehen. Dies kann zu alternativen Herstellungsschemata führen, wie beispielsweise dem ”Austauschgatter”-Verfahren, aber solche Abweichungen von der herkömmlichen Herstellungsabfolge sind unerwünscht. Ein Verfahren zur Vermeidung dieser Änderung ist das Durchführen der Quellen-/Abzugsausheilung in einer Weise, die ein effektives Ausheilen und eine effektive Dotandenaktivierung ermöglicht, ohne die Eigenschaften des Gatterdielektrikums zu verschlechtern. Das Impulsausheilungsverfahren der vorliegenden Erfindung wird hier als vorteilhaft erachtet, da der thermische Prozess für das Ausheilen in einer Zeitspanne ausgeführt werden kann, die so kurz ist, dass das Dielektrikum keine Möglichkeit hat, einer unerwünschten Reaktion oder einer kristallinen Transformation zu unterliegen. Auf diese Weise kann das Gattermaterial gebildet werden, bevor die Quellen/Abzugsimplantationen ausgeführt werden, was den Prozess vereinfacht. Die gepulste Ausheilung kann auf Wafern vorgenommen werden, wo Gatter- oder Kondensatorstrukturen solche Materialien enthalten, wie beispielsweise Zr- oder Hf-Oxide, Silicate oder Aluminate, Titanoxid, Tantalpentoxid, Aluminiumoxid, Lanthanoxid, Ytterbiumoxid, Barium-Strontium-Titanat oder andere Materialien mit hohem K-Gehalt.
  • d) Unterstützen der Abgabe von Dotanden aus Gasphasenspezies
  • Es ist möglich, Dotandenspezies auf einer Waferoberfläche durch Zersetzen von Gasphasenverbindungen, wie beispielsweise B21-16, PH3 oder AsH3 abzulagern. Dieser Ansatz kann im Prinzip die Notwendigkeit einer Ionenimplantation überflüssig machen. Nach der Ablagerung der Dotandenspezies auf der Waferoberfläche wird ein energiereicher Impuls zugeführt, um entweder die Oberfläche zu schmelzen oder die Dotanden per Feststoffdiffusion eindringen zu lassen. Dieser Ansatz ist in Verbindung mit Impulslaserbehandlungen vorgeschlagen worden; aber es ist auch möglich, einen solchen Prozess mittels eines Impulslampenkonzepts durchzuführen. Damit können sogar bestimmte Vorteile einhergehen. Beispielsweise erfordert die Zersetzung der Verbindungen, dass sie einer UV-Strahlung ausgesetzt werden, die man von einer gepulsten Lampe bekommen kann. Alternativ kann auch eine Excimer-Lampe oder ein Excimer-Laser verwendet werden, um das UV-Licht zu erzeugen, das für die Zersetzung der Spezies benötigt wird, und die gepulste Lampe kann für den thermischen Prozess verwendet werden.
  • Es wird davon ausgegangen, dass die vorliegende Erfindung – als eine weitgefasste Kategorie – auch auf dem Gebiet der dielektrischen Filme für Gatter und Kondensatoren zum Einsatz kommen kann. In dieser Hinsicht sind verschiedene, beispielhafte Aspekte der vorliegenden Erfindung interessant.
  • a) Impulsweises Wachstum dünner Oxidfilme
  • Ein gepulstes Erhitzen bietet die Möglichkeit, Siliciumdioxidfilme bei Temperaturen, die deutlich höher sind, als es in herkömmlichen Schemata möglich ist, sowohl in trockenem Sauerstoff als auch in dampfhaltiger Umgebung zu züchten. Weil Oxidfilme, die bei höheren Temperaturen gebildet wurden, bessere, elektrische Eigenschaften aufweisen können, beispielsweise deshalb, weil der Oxidfilm einer Spannungsentlastung unterzogen werden kann, kann es von Vorteil sein, sehr dünne Oxidschnittstellenschichten herzustellen, indem man die Wafer einer gepulsten Erhitzung unterzieht. Dies könnte man in einer Reihe von Umgebungen erreichen, einschließlich beispielsweise Sauerstoff, NO, N2O und dampfhaltiger Umgebungen. Das gepulste Verfahren gemäß den Lehren der vorliegenden Schrift ermöglicht eine straffe Prozesssteuerung des Dünnfilmwachstums trotz schneller Reaktionsraten bei gleichzeitiger Minimierung der thermischen Belastung.
  • b) Einbindung von Stickstoff in dünne Oxide
  • Die Möglichkeit, Oxidfilme mit Gasen in Berührung zu bringen, die Stickstoff enthalten (insbesondere NH3, NO und N2O), kann die Nitridierung des Oxidfilms gestatten, was sich für Metalloxidhalbleiterbauelemente als nützlich erwiesen hat. Die Möglichkeit der Verwendung hoher Temperaturen kann die Effizienz der Stickstoffeinbindung verbessern, ohne die thermische Belastung übermäßig zu erhöhen. Die Möglichkeit, den Großteil des Gases in der Reaktionskammer relativ kühl zu halten und gleichzeitig die Waferoberfläche selektiv zu erhitzen, gestattet Prozesse, bei denen man annimmt, dass sich in ihnen gasphasen-chemische Vorgänge abspielen. Indem man beispielsweise den Wafer erhitzt und die Gasphase relativ kühl hält, können Prozesse, wie beispielsweise die N2O-Oxidation, auf andere Weise ablaufen.
  • c) Siliciumnitridierung
  • Silicium reagiert normalerweise sehr langsam mit N2 oder mit NH3. Durch den Einsatz von gepulstem Erhitzen können auf der Siliciumoberfläche sehr hohe Temperaturen erzeugt werden, um die direkte Bildung von Dünnfilmen aus Siliciumnitrid oder Siliciumoxynitriden zu gestatten.
  • d) Ausheilungen von Materialien mit hohem K-Gehalt
  • Viele der neuen Materialien, die für Dielektrika vorgeschlagen wurden, erfordern Ausheilungen zur Verbesserung ihrer Stöchiometrie. Doch diese Ausheilungen müssen in einer Weise erfolgen, die keine übermäßigen, thermischen Belastungen hervorruft, die nicht zu einem übermäßigen Wachstum von Siliciumoxid führt und die keine Reaktionen oder Kristallisation des Materials mit hohem K-Gehalt verursacht. Ein gepulster Ansatz kann eine Verarbeitung bei höheren Temperaturen gestatten, was für diese Ausheilungen zweckmäßig sein kann.
  • e) Oberflächenvorbereitung
  • Kurze Energieimpulse können sich für das Vorbereiten von Oberflächen, beispielsweise vor der Bildung dünner, dielektrischer Beschichtungen, eignen.
  • Beispielsweise besteht eine allgemein bekannte Technik zum Reinigen von Siliciumoberflächen darin, sie auf über 1.200°C hochzuglühen. Für die normale Waferverarbeitung wäre dies ungeeignet, da ein langer Zyklus (länger als 1 Sekunde) oberhalb 1.200°C wahrscheinlich Defekte, Diffusion und Oberflächenschäden verursachen würde. Andererseits vermeidet die kurze Dauer eines gepulsten Zyklus', der gemäß der vorliegenden Erfindung ausgeführt wird, diese schädlichen Effekte. Gleichermaßen könnten auch andere Oberflächenvorbereitungsverfahren das Impulserhitzen verwenden, um das Entfernen von organischen Materialien von der Waferoberfläche oder das Entfernen metallischer Störstellen zu unterstützen. Für organische Materialien könnte die Kombination der Wärmebehandlung mit Sauerstoff oder Ozon vorteilhaft sein. Für metallische Störstellen könnte die Kombination mit halogenhaltigen Verbindungen vorteilhaft sein. Bei diesen Oberflächenvorbereitungskonzepten kann es nützlich sein, das volle Spektrum des Lichts von der gepulsten Lampe zu nutzen, wozu auch ein großer Anteil UV-Strahlung gehören kann. Die UV-Strahlung kann bei der Erzeugung von Ozon- und Sauerstoffradikalen aus sauerstoffhaltigen Gasen und bei der Erzeugung von Halogenradikalen aus halogenhaltigen Spezies nützlich sein.
  • Es wird davon ausgegangen, dass die vorliegende Erfindung – als eine weitere, weitgefasste Kategorie – auch auf dem Gebiet der Silicidverarbeitung und -Bildung zum Einsatz kommen kann. In dieser Hinsicht sind verschiedene, beispielhafte Aspekte der vorliegenden Erfindung interessant.
  • Titansilicidbildung
  • Es gibt ein Problem bei der Verwendung von Ti-Silicid in hoch-entwickelten Bauelementstrukturen, weil die C49-Phase des Materials Schwierigkeiten bei der Umwandlung in die gewünschte C54-Phase hat, wenn sie die Form einer schmalen Linie aufweist. Es wurde berichtet, dass schnelle Heizraten bei der Lösung dieses Problems helfen können, und in diesem Kontext können die sehr hohen Heizraten und Spitzentemperaturen, die in einem Impulsheizungsschema möglich sind, einen Ausweg aus diesem Problem bieten.
  • Probleme bei der Silicidverarbeitung
  • Allgemein werden die Vorteile, die mit der gepulsten Verarbeitung einhergehen, bei der Bildung von Titan-, Kobalt-, Nickel- und Platinsilicidfilmen erwartet. Beispielsweise kann die Reaktion der Metalle mit Silicium oder sogar mit anderen Materialien, wie beispielsweise Ge oder SiGe durch Erhöhen der Temperatur, aber Verringern der Prozessdauer, gefördert werden. Diese Ansätze beeinflussen die Nukleierung und das Wachstum von Körnern, wodurch die Verarbeitung flexibler wird. Impulserhitzen bietet einige interessante Vorteile für das Verarbeiten von Silicidfilmen (und sogar auch von anderen Metall- oder Metallverbindungsfilmen).
  • Das Impulslampenspektrum hat kürzere Wellenlängen als herkömmliche W-Halogen-Lampen und zeichnet sich durch eine effektivere Ankopplung an metallisierte Oberflächen aus, die in der Regel bei längeren Wellenlängen stärker reflektieren.
  • Die geringe Grundtemperatur und die sehr kurze Einwirkdauer hoher Temperaturen kann den Effekt der Sauerstoff- oder Wasserdampfkontaminierung auf den Prozess mindern.
  • Wir sind der Auffassung, dass die geringe Grundtemperatur, die durch die vorliegende Erfindung ermöglicht wird, den Durchsatz radikal verbessert, weil ein Großteil der Aufheiz- und Abkühlungszeit für den Wafer wegfällt. Der Abkühlungsaspekt ist besonders von Bedeutung, weil das Risiko der Reaktion des Metallfilms mit Sauerstoff- oder Wasserdampfstörstellen während der Waferentnahme minimiert werden kann.
  • Es wird davon ausgegangen, dass die vorliegende Erfindung – als eine weitere, weitgefasste Kategorie – auch von Vorteil ist, wenn sie in Verbindung mit Kupferfilmen zum Einsatz kommt. In dieser Hinsicht sind verschiedene, beispielhafte Aspekte der vorliegenden Erfindung interessant.
  • Beim Ausheilen von Kupferfilmen scheinen die Anforderungen an den Prozess hinsichtlich der Temperaturregelung nicht allzu kritisch zu sein; aber hier erlangen Probleme in Bezug auf den Durchsatz und die Kosten oberste Bedeutung. Eine Impulsverarbeitung kann die Durchsatzbeschränkungen beim RTP vollständig ändern, wo der Durchsatz immer stark von den Heiz- und besonders den Abkühlungsraten abhing, die stark von der thermischen Masse des Wafers beeinflusst werden. Die Vorteile, die in den obigen Besprechungen in Bezug auf Silicide angeführt wurden, gelten auch insbesondere für die Cu-Film-Verarbeitung.
  • Es kann auch ein thermischer Impuls verwendet werden, um den Rückfluss von auf dem Wafer abgelagertem Kupfer zu unterstützen. Mit diesem Prozess können Gräben mit Kupfer ausgefüllt werden, das beispielsweise mit einem Sputterverfahren abgelagert wurde. Der Energieimpuls kann die Kupferdiffusion beim Auffüllen des Grabens unterstützen, oder er kann sogar bewirken, dass der Kupferfilm schmilzt und in den Graben fließt. Durch die kurze Impulsdauer kann der Prozess auf der Waferoberfläche stattfinden, ohne dass es zu einer übermäßigen Wärmebelastung kommt, die andere, vorhandene Materialien beschädigen oder eine unerwünschte Dotandendiffusion verursachen könnte.
  • Es wird davon ausgegangen, dass die vorliegende Erfindung – als eine weitere, weitgefasste Kategorie – auch auf dem Gebiet der chemischen Aufdampfung zum Einsatz kommen kann. Es wird eine Kombination aus dem Impulsverfahren der vorliegenden Erfindung und der Ablagerung von Filmen mittels Verfahren der chemischen Aufdampfung in Erwägung gezogen. Die Verwendung eines Impulskonzepts bietet hier einige interessante Möglichkeiten. Beispielsweise könnte die Wafertemperatur weit niedriger gehalten werden, was den Wärmeaufbau in anderen Teilen des Systems verringert, wie beispielsweise in Quarzteilen, schlupffreien Ringen oder Duschköpfen. Diese Komponenten würden kühl bleiben und weniger anfällig für das Ansammeln von Verunreinigungen durch parasitische, chemische Aufdampfung sein. Die Verwendung kurzer Hochtemperaturzyklen könnte auch neue Möglichkeiten für das Variieren der Wachstumsraten und der Mikrostruktur der Filme eröffnen. Es könnte auch die Aspekte im Zusammenhang mit Gasphasen- oder Oberflächennukleierung verändern. Indem beispielsweise die Gasphase kühler gehalten wird, kann es möglich sein, die Bildung von Partikeln in der Gasphase zu verringern. Es gibt außerdem verbesserte Möglichkeiten der Prozesssteuerung. Beispielsweise können die vor Ort befindlichen Sensoren die Menge an Film erkennen, die während oder auch nach der Zufuhr eines Energieimpulses gezüchtet wurde, und die Prozessbedingungen können so verändert werden, dass der nächste Impuls zu einem gewünschten Effekt hinsichtlich des Filmwachstums führt. Diese Rückmeldung kann dazu verwendet werden, Faktoren, wie beispielsweise Impulsdauer, Formenergie oder Zeitintervall oder die ”Grundheiz”-Bedingungen oder auch andere Faktoren, wie beispielsweise Gasströme, Kammerdruck usw., einzustellen. Ein weiteres Konzept im Rahmen der chemischen Aufdampfung besteht darin, die Temperaturimpulse zum Steuern der Einbindung von Dotanden oder anderen Störstellen in den wachsenden Film zu verwenden. Die sehr kurze Einwirkdauer hoher Temperaturen könnte neue Möglichkeiten hinsichtlich der Herstellung abrupter oder geformter Dotierungsprofile eröffnen.
  • Die Anwendungsmöglichkeiten für die chemische Aufdampfung können ein weites Spektrum umfassen, beispielsweise die Ablagerung von Silicium, Siliciumdioxid, Siliciumnitrid sowie Materialien mit hohem und niedrigem K-Gehalt, Metallen und Metallverbindungen.
  • Auch andere Ausheilungsverfahren können von der Verwendung der vorliegenden Erfindung profitieren. Beispielsweise kann die Impulstechnik für eine ganze Reihe von Ausheilungsverfahren Anwendung finden, einschließlich des Ausheilens abgelagerter Filme zur Steuerung mechanischer Spannungen oder der Mikrostruktur oder für 'Härtungs'-Zwecke. Das Letztere kann für Filme mit niedrigem K-Gehalt nützlich sein.
  • Im Kontext der vorliegenden Erfindung wird des Weiteren festgestellt, dass das Einstellen der Grundtemperatur dazu verwendet werden kann, die Wiederholbarkeit von einem Wafer zum nächsten sowie die Gleichmäßigkeit innerhalb des Wafers zu verbessern. Die Einstellungen können anhand von in-situ-Messungen der Auswirkung des Impulses unter Verwendung von Sensoren, welche die Auswirkung des gepulsten Erhitzens auf den Wafer beobachten, vorgenommen werden, oder es können Einstellungen vorgenommen werden, indem die Prozessergebnisse für Wafer ausgewertet und anschließend die Grundheizbedingungen angepasst werden, um die Wiederholbarkeit und/oder die Gleichmäßigkeit zu verbessern.
  • Wenn beispielsweise festgestellt wird, dass die Impulsverarbeitungsbedingungen zu hohe Prozesstemperaturen zur Folge haben, so kann die Grundheiztemperatur dergestalt verringert werden, dass nachfolgende Impulse zu niedrigeren Spitzentemperaturen führen, was als Alternative zum Ändern der Heizimpulsbedingungen dient. Des Weiteren können die Grundheizbedingungen von einem Wafer zum nächsten oder sogar während der Verarbeitung eines einzelnen Wafers geändert werden. Wenn beispielsweise ein Vorimpuls zugeführt und seine Auswirkungen mit einem beliebigen, geeigneten Verfahren analysiert werden, einschließlich der in der vorliegenden Schrift beschriebenen Verfahren, so kann die Grundheiztemperatur in zeitlichem Verhältnis, wie beispielsweise vor dem Zuführen des Verarbeitungsimpulses, geändert werden. Ein ähnlicher Ansatz kann in jeder beliebigen Mehrimpulsverarbeitungsvorschrift Anwendung finden.
  • In einigen Fällen – beispielsweise infolge unterschiedlicher Oberflächenbeschichtungen auf verschiedenen Wafern – variieren die Ergebnisse von verschiedenen Wafern. In diesem Fall kann die Grundheiztemperatur so eingestellt werden, dass die Abweichungen bei den Auswirkungen der Impulse ausgeglichen werden. Die angemessene Temperaturänderung kann beispielsweise dadurch beurteilt werden, indem man die Prozessergebnisse für Wafer nach deren Verarbeitung auswertet, oder durch in-situ-Messung von Sensoren, die den Effekt des Erhitzens auf den Wafer beobachten, während er sich in der Verarbeitungskammer befindet.
  • Die Gleichmäßigkeit innerhalb eines Wafers kann ebenfalls mit dieser Art der Herangehensweise eingestellt werden. Wenn beispielsweise festgestellt wird, dass Abschnitte des Wafers zu heiß verarbeitet werden, beispielsweise infolge einer ungleichmäßigen Verteilung der Impulsheizenergie auf der Oberfläche des Wafers, so können die Grundheizbedingungen dergestalt geändert werden, dass die induzierte Grundtemperatur in jenen Abschnitten des Wafers niedriger ist. Wenn dann der Impuls zugeführt wird, so kompensiert die ungleichmäßige Grundtemperatur die ungleichmäßige Impulserhitzung, so dass gleichmäßige Prozessergebnisse erreicht werden. Ein ungleichmäßiges Grundheizen kann auf jede geeignete Weise bewerkstelligt werden, wie beispielsweise durch Erhitzen des Wafers mit einer Anordnung aus Grundheizlampen und Einstellen der Stromzufuhr zu den einzelnen Lampen innerhalb der Anordnung dergestalt, dass über den gesamten Wafer hinweg ein gewünschtes Temperaturprofil erreicht wird.
  • Es ist ebenfalls anzumerken, dass die Gleichmäßigkeit auf einem Wafer auch eingestellt werden kann, indem die Waferoberfläche einer ungleichmäßigen Impulserhitzung ausgesetzt wird. Wenn beispielsweise das Impulserhitzen von einer Lampenbank aus erfolgt, deren Lampen im gepulsten Modus betrieben werden, so kann die jeder Lampe zugeführte Energie dergestalt eingestellt werden, dass die räumliche Verteilung der gepulsten Energie auf der Waferoberfläche verändert wird. Die Einstellungen können anhand von Prozessergebnissen vorgenommen werden, die auf den Wafern nach deren Verarbeitung gemessen werden, oder durch den Einsatz von Sensoren innerhalb des Verarbeitungssystems, die den Effekt des Impulses an mehreren Stellen auf der Waferoberfläche beobachten. Es kann auch ein Bilddarstellungssystem oder eine Kamera verwendet werden, um die Informationen über die räumliche Verteilung des Temperaturanstiegs zu erhalten, der durch den Impuls auf dem Wafer induziert wurde. Natürlich kann das ungleichmäßige Grundheizen in Kombination mit der Zufuhr von Impulsenergie verwendet werden, die so konfiguriert ist, dass sie bewusst zu ungleichmäßigen Erhitzungsergebnissen führt.
  • Im Hinblick auf die Optimierung der Gleichmäßigkeit wird ein Vorimpulskonzept als sinnvoll angesehen, insbesondere wenn mehrere Sensoren verwendet werden oder ein Bilddarstellungssystem verwendet wird, um die Temperaturverteilung zu überwachen, die der Impuls auf dem Wafer induziert. Die Informationen können dazu verwendet werden, die Prozessgleichmäßigkeit einzustellen, indem die Grundheizverteilung oder die Impulsenergieverteilung dergestalt geändert wird, dass im nächsten Impuls Prozessgleichmäßigkeit erreicht wird.
  • Es können natürlich ähnliche Konzepte verwendet werden, um die Prozessgleichmäßigkeit in dem Fall zu verbessern, wo Energie durch eine abgetastete Energiequelle zugeführt wird. Auch hier können entweder der Grundheizvorgang oder die Strahlparameter für die abgetastete Energiequelle dergestalt eingestellt werden, dass sich Wiederholbarkeit und Gleichmäßigkeit verbessern.
  • Bei einem anderen Konzept kann eine gepulste Energiequelle verwendet werden, die nacheinander Energieimpulse an ausgewählte Bereiche des Wafers aussendet. Das kann vorteilhaft sein, weil die Energiequelle keine so große Energiemenge abzugeben braucht, als wenn der gesamte Wafer auf einmal mit einem einzigen Impuls bestrahlt werden würde. Dementsprechend kann eine kleinere und preisgünstigere Stromversorgung verwendet werden. Der gesamte Wafer kann bestrichen werden, indem der Wafer zwischen den Impulsen relativ zur Energiequelle (oder umgekehrt) bewegt wird. Auch in dieser Betriebsart können die Vorimpulskonzepte abwechselnd für jede Region des Wafers angewendet werden. Die Gleichmäßigkeit kann gleichermaßen optimiert werden, indem die Prozessbedingungen für jede bestrahlte Region angepasst werden. Dies kann von Vorteil sein, insbesondere wenn mehrere Sensoren oder ein Bilddarstellungssystem nicht verfügbar sind. Wenn beispielsweise die Impulsenergiequelle nur einen Abschnitt des Wafers bestrahlt, so kann ein Sensor die thermische Reaktion in diesem Bereich beobachten. Dann kann der Wafer relativ zu der Energiequelle und zu dem Sensor so verschoben werden, dass ein anderer Bereich bestrahlt werden kann, und auch hier kann der Sensor den Prozess beobachten. Auf diese Weise kann der gesamte Wafer verarbeitet werden, während die Prozessbedingungen weiterhin überwacht werden, jedoch unter Verwendung nur eines einzigen Sensors. Eine solche Konfiguration kann zu niedrigeren Kosten hergestellt werden und kann Vorteile aufweisen, die aus ihrer Einfachheit im Vergleich zu einem Mehrsensorensystem oder einem Bilddarstellungssystem erwachsen.
  • In Systemen, wo die gepulste Energiequelle nicht den gesamten Wafer in einem einzigen Durchgang bestrahlt, empfiehlt es sich, die zu bestrahlenden Regionen überlappen zu lassen, um die Gleichmäßigkeit des Bestreichens zu verbessern. Ein solches Überlappen sollte in einer Weise erfolgen, die zu gleichmäßigen Prozessergebnissen führt, und kann auf eine Weise durch Optimieren des Grades der Impulsüberlappung implementiert werden, beispielsweise durch Auswerten der Prozessergebnisse für verarbeitete Wafer und anschließendes Ändern des Betrages der Wafer- oder Energiequellenverschiebung zwischen den Impulsen.
  • Es ist wichtig zu verstehen, dass alle der oben genannten Konzepte, die sich auf einen Mehrimpulsbeschuss eines Abschnitts der Waferoberfläche beziehen, gleichermaßen für den Gebrauch von Abtastenergiequellen beziehen.
  • Was Anwendungen außerhalb des Gebietes der Halbleitertechnik anbelangt, kann die vorliegende Erfindung im Kontext dieser Gesamtoffenbarung ohne Weiteres auch bei der Verarbeitung von Nichthalbleitermaterialien angewendet werden. Beispielsweise kann die vorliegende Erfindung auf die Verarbeitung magnetischer Materialien angewendet werden oder kann in allen Fällen verwendet werden, bei denen schnelles Erhitzen oder schnelles Abschrecken zu gewünschten Eigenschaften und/oder Ergebnissen führt.
  • Nachdem das oben Dargelegte im Detail beschrieben wurde, lohnt es sich, noch einmal einen Blick auf bestimmte Aspekte des Standes der Technik zu werfen. Insbesondere ist es Impulsmoduserhitzungsimplementierungen nach dem Stand der Technik bisher nicht gelungen, das Problem der Unzulänglichkeit der thermostatischen Temperaturüberwachung im Rahmen eines Impulsmoduskonzepts zufriedenstellend zu lösen. Wie oben beschrieben, führt die thermostatische Überwachung unweigerlich zu einer verzögerten Reaktion, wenn sie als alleiniger Steuerungsmechanismus in einem Impulsmoduserhitzungssystem verwendet wird. Dieses Problem ergibt sich aus der Eigenart der gepulsten Erhitzung, da die Impulsparameter im Allgemeinen im Voraus bestimmt werden. Ein solcher Impuls führt dann eine große Energiemenge in einer sehr kurzen Zeitspanne zu, und es gibt keine Möglichkeit, eine Impulserhitzung zu steuern, nachdem der Impuls einmal ausgelöst oder abgeschossen wurde. Dementsprechend stellen wir fest, dass Verweisstellen zum Stand der Technik wie beispielsweise Logan (wie oben angesprochen) auf dem Gebiet der zufriedenstellenden Impulsmoduserhitzung unzulänglich sind.
  • Wir erachten, dass die vorliegende Offenbarung dieses Problem auf verschiedene, überaus vorteilhafte Arten löst, die Merkmale, wie beispielsweise eine zeitliche relationale Regelung und die Verwendung eines Vorimpulses oder eines anderen derartigen Testimpulses beinhaltet, wofür sich die anschließende Behandlung auf ein empirisches ”Verarbeitungszeit-” oder Laufzeitergebnis stützt. Diese Merkmale können allein oder in Kombination verwendet werden. Es wird des Weiteren davon ausgegangen, dass diese Merkmale bemerkenswerte und durchschlagende Vorteile gegenüber dem Stand der Technik, insbesondere im Hinblick auf die Prozesswiederholbarkeit, aufweisen. Das heißt, die vorliegende Erfindung erbringt gleichbleibende Ergebnisse, unabhängig von Abweichungen zwischen den Substraten und unabhängig von praktisch jedweder relevanten, physikalischen Eigenschaft, die von einem Substrat oder Behandlungsobjekt zum nächsten variieren kann.
  • Es ist zu beachten, dass die vorliegende Offenbarung auch für die Behandlung von Gruppen von Objekten, wie beispielsweise Halbleiterwafern, verwendet werden kann. Beispielsweise kann ein erster Wafer als Testwafer verwendet werden, wobei unter Verwendung jeder geeigneten Kombination der verschiedenen Merkmale, die in der vorliegenden Schrift offenbart wurden, eine Reihe von Behandlungsparametern entwickelt werden kann. Anschließend kann die Behandlung nachfolgender Wafer auf diese Reihe von Behandlungsparametern gestützt werden, die natürlich von einem Wafer zum nächsten noch einer weiteren Feinabstimmung unterzogen werden können.
  • Wir fassen abschließend zusammen: In dieser Schrift wurden gepulste Verarbeitungsverfahren und -systeme zum Erhitzen von Objekten, wie beispielsweise Halbleitersubstraten, offenbart, die eine Prozesssteuerung für die Mehrimpulsverarbeitung eines einzelnen Substrats oder für die Einzel- oder Mehrimpulsverarbeitung verschiedener Substrate mit unterschiedlichen, physikalischen Eigenschaften aufweisen. während eines Grundheizmodus' wird dem Objekt in geregelter Weise Wärme zugeführt, wodurch das Objekt dergestalt selektiv erhitzt wird, dass während des Grundheizvorgangs wenigstens allgemein ein Temperaturanstieg im gesamten Objekt erzeugt wird. Eine erste Oberfläche des Objekts wird in einem gepulsten Heizmodus erhitzt, indem sie wenigstens einem ersten Energieimpuls ausgesetzt wird. Der Grundheizvorgang wird in einem zeitlichen Verhältnis zu dem ersten Impuls geregelt. Es kann eine erste Temperaturreaktion des Objekts auf den ersten Energieimpuls erfasst und dazu verwendet werden, wenigstens eine zweite Reihe von Impulsparametern für wenigstens einen zweiten Energieimpuls festzulegen, um wenigstens teilweise einen Zielzustand zu erzeugen.

Claims (64)

  1. Verfahren zur Verarbeitung eines Halbleitersubstrats mit gegenüberliegenden Hauptoberflächen mit ersten und zweiten Oberflächen, wobei das genannte Verfahren die folgenden Schritte umfasst: das kontrollierte Zuführen von Hitze an das Halbleitersubstrat während eines Grundheizmodus unter Verwendung einer Heizanordnung, wodurch das Halbleitersubstrat selektiv erhitzt wird, so dass ein Temperaturanstieg in dem Halbleitersubstrat erzeugt und dann das Halbleitersubstrat auf einer ersten Temperatur gehalten wird, die unter der gewünschten, maximalen Behandlungstemperatur liegt; das Erhitzen der ersten Oberfläche des Halbleitersubstrats unter Verwendung der Heizanordnung in einem gepulsten Heizmodus, zusammenwirkend mit dem genannten Grundheizmodus, indem die erste Oberfläche zumindest einem ersten Energieimpuls mit einer Impulsdauer ausgesetzt wird, wobei der erste Impuls zu einem Zeitpunkt während des Grundheizmodus zugeführt wird, und das Kontrollieren des genannten Grundheizmodus in einem zeitlich gesteuerten Verhältnis zu dem genannten ersten Impuls, wobei die durch den Grundheizmodus zugeführte Hitze innerhalb eines bestimmten Intervalls im Verhältnis zu dem Einleiten des genannten, ersten Impulses derart reduziert wird, dass die Temperatur der zweiten Oberfläche bei der ersten Temperatur bleibt.
  2. Verfahren nach Anspruch 1, wobei das Verfahren den Schritt der Verwendung einer ersten Heizquelle und einer zweiten Heizquelle als Bestandteile der genannten Heizanordnung zur entsprechenden Ausführung des Grundheizmodus und des gepulsten Heizmodus aufweist.
  3. Verfahren nach Anspruch 1, wobei die durch den Grundmodus zugeführte Hitze vor dem Einleiten des genannten, ersten Impulses reduziert wird.
  4. Verfahren nach Anspruch 1, wobei die durch den Grundmodus zugeführte Hitze zu einem Zeitpunkt reduziert wird, der als einer der Zeitpunkte (i) bei Einleitung des genannten, ersten Impulses und (ii) nach Einleitung des genannten, ersten Impulses ausgewählt wird.
  5. Verfahren nach Anspruch 1, wobei dem Halbleitersubstrat Hitze, kontrolliert durch den Schritt der selektiven Zufuhr eines elektrischen Stromwertes an einen Grundheizabschnitt der Heizanordnung zugeführt wird.
  6. Verfahren nach Anspruch 5, wobei der genannte Stromwert auf ungefähr Null reduziert wird, um den Grundheizabschnitt zu kontrollieren.
  7. Verfahren nach Anspruch 1, wobei dem Halbleitersubstrat Hitze, kontrolliert in dem Grundheizmodus durch den Schritt der selektiven Zufuhr eines elektrischen Stromwertes an einen Grundheizabschnitt der Heizanordnung zugeführt wird, und wobei der genannte, elektrische Stromwert vor der Zufuhr des genannten, ersten Energieimpulses reduziert wird.
  8. Verfahren nach Anspruch 7, wobei der genannte Stromwert vor der Einleitung des genannten, ersten Impulses und zumindest über einen anfänglichen Abschnitt des genannten, ersten Impulses auf ungefähr Null reduziert wird.
  9. Verfahren nach Anspruch 1, wobei der genannte Grundheizmodus ein anfängliches Anstiegsintervall verwendet, um das Halbleitersubstrat auf eine erste Temperatur zu bringen, und wobei der Modus das Halbleitersubstrat während eines Dauerzustandsintervalls mit einem Intervallende auf einer allgemein konstanten Temperatur hält, und wobei der genannte, erste Impuls in zeitlichem Verhältnis zu dem Intervallende des Dauerzustandsintervalls eingeleitet wird.
  10. Verfahren nach Anspruch 1, wobei der genannte Grundheizmodus die Schritte des Bringens des Halbleitersubstrats auf eine erste Temperatur in einem Anstiegsintervall verwendet, und wobei der genannte, erste Impuls in zeitlichem Verhältnis dem Halbleitersubstrat zugeführt wird, welches die genannte, erste Temperatur erreicht hat.
  11. Verfahren nach Anspruch 10, wobei die Temperatur des Halbleitersubstrats während des genannten Anstiegsintervalls kontinuierlich erhöht wird.
  12. Verfahren nach Anspruch 10, wobei der genannte, erste Impuls innerhalb einer Sekunde zugeführt wird, nachdem das Halbleitersubstrat zuerst die genannte, erste Temperatur erreicht hat.
  13. Verfahren nach Anspruch 10, wobei die erste Temperatur bis zu 1000°C beträgt.
  14. Verfahren nach Anspruch 10, wobei die erste Temperatur im Bereich von 200°C bis 1100°C liegt.
  15. Verfahren nach Anspruch 10, wobei die erste Temperatur im Bereich von 600°C bis 1000°C liegt.
  16. Verfahren nach Anspruch 10, wobei der genannte erste Impuls das Halbleitersubstrat auf eine zweite Temperatur im Bereich von 600°C bis 1410°C erhitzt.
  17. Verfahren nach Anspruch 10, wobei der genannte, erste Impuls das Halbleitersubstrat auf eine zweite Temperatur im Bereich von 1050°C bis 1400°C erhitzt.
  18. Verfahren nach Anspruch 10, wobei das Halbleitersubstrat während des genannten Anstiegsintervall mit einer Rate von mindestens 20°C pro Sekunde erhitzt wird.
  19. Verfahren nach Anspruch 1, wobei das Halbleitersubstrat während des genannten Grundheizmodus in mehreren variierenden Raten erhitzt wird, wobei eine maximale Momentanstiegsrate mindestens 10°C pro Sekunde beträgt.
  20. Verfahren nach Anspruch 1, wobei der genannte gepulste Heizmodus die erste Oberfläche des Halbleitersubstrats unter Verwendung des ersten Impulses mit Strahlung bestrahlt, die durch mindestens eine der folgenden Quellen erzeugt wird: eine Bogenlampe, eine Blitzlampe oder einen Laser.
  21. Verfahren nach Anspruch 1, wobei der genannte Grundheizmodus die genannte Heizanordnung zur Bestrahlung der zweiten Oberfläche des Halbleitersubstrats zur Erzeugung eines Temperaturanstiegs verwendet, und wobei der genannte, gepulste Heizmodus die Heizanordnung zur Bestrahlung der ersten Oberfläche des Halbleitersubstrats zur Erhitzung der ersten Oberfläche auf eine Behandlungstemperatur verwendet, die höher ist als eine durch den genannten Temperaturanstieg erzeugte Halbleitersubstrattemperatur.
  22. Verfahren nach Anspruch 1, wobei das Verfahren den Schritt des Zuführens eines zweiten Energieimpulses von der Heizanordnung während des gepulsten Heizmodus nach dem genannten, ersten Impuls aufweist, und wobei der genannte Grundheizmodus in zeitlichem Verhältnis zu dem genannten, zweiten Impuls kontrolliert wird.
  23. Verfahren nach Anspruch 22, wobei das Verfahren ferner den Schritt des Haltens der zweiten Oberfläche auf der ersten Temperatur umfasst, während der zweite Energieimpuls zugeführt wird.
  24. Verfahren nach Anspruch 22, wobei der genannte Grundheizmodus durch Reduzieren der dem Halbleitersubstrat in dem Grundheizmodus zugeführten Energie vor der Zufuhr des zweiten Energieimpulses kontrolliert wird.
  25. Verfahren nach Anspruch 22, wobei Hitze in der besagten kontrollierten Weise während des Grundheizmodus durch den Schritt der selektiven Zufuhr eines elektrischen Stromwertes an einen Grundheizabschnitt der Heizanordnung zugeführt wird, und wobei der genannte, elektrische Stromwert während des genannten, zweiten Energieimpuls auf ungefähr Null reduziert wird.
  26. Verfahren nach Anspruch 1, wobei das Verfahren ferner den Schritt des Messens der Temperatur der zweiten Oberfläche des Halbleitersubstrats, die der genannten, ersten Oberfläche gegenüberliegt, in zeitlichem Verhältnis zum Einleiten des genannten, ersten Impulses umfasst.
  27. Verfahren nach Anspruch 26, wobei das Verfahren ferner den Schritt des Verwendens der gemessenen Temperatur der zweiten Oberfläche umfasst, so dass die zweite Oberfläche auf der ersten Temperatur gehalten wird, während der erste Energieimpuls zugeführt wird.
  28. Verfahren nach Anspruch 27, wobei die erste Temperatur der zweiten Oberfläche des Halbleitersubstrats aufrechterhalten wird, indem selektiv ein elektrischer Stromwert dem Grundheizabschnitt der Heizanordnung zugeführt und der genannte, elektrische Stromwert während des genannten, ersten Energieimpuls auf ungefähr Null reduziert wird.
  29. Verfahren nach Anspruch 27, wobei die Temperatur der zweiten Oberfläche des Halbleitersubstrats aufrechterhalten wird, indem die in dem Grundheizmodus zugeführte Leistung vor dem Eintritt in den gepulsten Heizmodus kontrolliert wird.
  30. Verfahren nach Anspruch 29, wobei ein Stromwert einem Grundheizabschnitt der Grundheizanordnung zugeführt wird, und wobei der genannte Grundheizabschnitt durch eine Regelkreisrückkopplung als Reaktion auf die gemessene Temperatur der zweiten Oberfläche des Halbleitersubstrats kontrolliert wird.
  31. Verfahren nach Anspruch 1, wobei der erste Energieimpuls durch einen Satz von Impulsparametern gekennzeichnet ist, und wobei das genannte Verfahren den Schritt des Bestimmens des ersten Satzes von Impulsparametern zumindest teilweise auf der Basis einer in-situ-Bestimmung zumindest eines optischen Merkmals aufweist.
  32. Verfahren nach Anspruch 31, wobei das genannte, optische Merkmal als mindestens ein Merkmal des Reflexionsvermögens und des Absorptionsvermögens ausgewählt wird.
  33. Verfahren nach Anspruch 1, wobei der erste Energieimpuls durch einen Satz von Impulsparametern gekennzeichnet ist, und wobei das genannte Verfahren den Schritt des Bestimmens dieses Satzes von Impulsparametern in Bezug auf einen Satz gespeicherter, empirischer Daten aufweist, die im Verhältnis zu mindestens einem optischen Merkmal des Halbleitersubstrats stehen.
  34. System zur Verarbeitung eines Halbleitersubstrats mit gegenüberliegenden Hauptoberflächen mit ersten und zweiten, gegenüberliegenden Oberflächen, wobei das System folgendes umfasst: eine Heizanordnung, die konfiguriert ist zum kontrollierten Zuführen von Hitze an das Halbleitersubstrat während eines Grundheizmodus, wodurch das Halbleitersubstrat selektiv erhitzt wird, so dass ein Temperaturanstieg in dem Halbleitersubstrat erzeugt und dann das Halbleitersubstrat auf einer ersten Temperatur gehalten wird, die unter der gewünschten, maximalen Behandlungstemperatur liegt, und zum Erhitzen der ersten Oberfläche des Halbleitersubstrats unter Verwendung der Heizanordnung in einem gepulsten Heizmodus, zusammenwirkend mit dem genannten Grundheizmodus, indem die erste Oberfläche zumindest einem ersten Energieimpuls mit einer Impulsdauer ausgesetzt wird, und eine Kontrollanordnung, die mit der Heizanordnung operativ verbunden ist, zum Kontrollieren des genannten Grundheizmodus in einem zeitlich gesteuerten Verhältnis zu dem genannten, ersten Impuls, wobei die Heizanordnung für die Zufuhr des ersten Impulses zu einem Zeitpunkt während des Grundheizmodus konfiguriert ist sowie zur derartigen Reduzierung der durch den Grundheizmodus zugeführten Hitze innerhalb eines bestimmten Intervalls im Verhältnis zu dem Einleiten des genannten, ersten Impulses, dass die Temperatur der zweiten Oberfläche bei der ersten Temperatur bleibt.
  35. System nach Anspruch 34, wobei das System als Bestandteile der genannten Heizanordnung eine erste Heizquelle und eine zweite Heizquelle zur entsprechenden Ausführung des Grundheizmodus und des gepulsten Heizmodus aufweist.
  36. System nach Anspruch 34, wobei die Heizanordnung so konfiguriert ist, dass sie die durch den Grundmodus zugeführte Hitze vor dem Einleiten des genannten, ersten Impulses reduziert.
  37. System nach Anspruch 34, wobei die Heizanordnung so konfiguriert ist, dass die durch den Grundmodus zugeführte Hitze zu einem Zeitpunkt reduziert wird, der als einer der Zeitpunkte (i) bei Einleitung des genannten, ersten Impulses und (ii) nach Einleitung des genannten, ersten Impulses ausgewählt wird.
  38. System nach Anspruch 34, wobei die Heizanordnung einen Grundheizabschnitt zur Ausführung des Grundheizmodus aufweist, und wobei die genannte Kontrollanordnung dem Halbleitersubstrat kontrolliert Hitze zuführt, indem selektiv ein elektrischer Stromwert dem Grundheizabschnitt der Heizanordnung zugeführt wird.
  39. System nach Anspruch 38, wobei die genannte Kontrollanordnung bei der Kontrolle des Grundheizabschnitts den Stromwert auf ungefähr Null reduziert.
  40. System nach Anspruch 34, wobei die genannte Heizanordnung einen Grundheizabschnitt aufweist, und wobei die genannte Kontrollanordnung so konfiguriert ist, dass dem Halbleitersubstrat Hitze, kontrolliert in dem Grundheizmodus durch die selektive Zufuhr eines elektrischen Stromwertes an den Grundheizabschnitt zugeführt wird, und wobei der genannte, elektrische Stromwert vor der Zufuhr des genannten, ersten Energieimpulses reduziert wird.
  41. System nach Anspruch 40, wobei die Kontrollanordnung den genannten Stromwert vor der Einleitung des genannten, ersten Impulses und zumindest über einen anfänglichen Abschnitt des genannten, ersten Impulses auf ungefähr Null reduziert.
  42. System nach Anspruch 34, wobei die genannte Heizanordnung so konfiguriert ist, dass sie das Halbleitersubstrat in einem Anstiegsintervall während des genannten Grundheizmodus auf eine erste Temperatur bringt, und wobei sie ferner so konfiguriert wird, dass der genannte, erste Impuls in zeitlichem Verhältnis dem Halbleitersubstrat zugeführt wird, welches die genannte, erste Temperatur erreicht hat.
  43. System nach Anspruch 42, wobei die Heizeinrichtung die Temperatur des Halbleitersubstrats während des genannten Anstiegsintervalls kontinuierlich erhöht.
  44. System nach Anspruch 42, wobei die Heizeinrichtung den genannten, ersten Impuls innerhalb einer Sekunde zuführt, nachdem das Halbleitersubstrat zuerst die genannte, erste Temperatur erreicht hat.
  45. System nach Anspruch 42, wobei die erste Temperatur bis zu 1000°C beträgt.
  46. System nach Anspruch 42, wobei die erste Temperatur im Bereich von 200°C bis 1100°C liegt.
  47. System nach Anspruch 42, wobei die erste Temperatur im Bereich von 600°C bis 1000°C liegt.
  48. System nach Anspruch 42, wobei die genannte Heizanordnung den genannten, ersten Impuls zuführt, um das Halbleitersubstrat auf eine zweite Temperatur im Bereich von 600°C bis 1410°C zu erhitzen.
  49. System nach Anspruch 42, wobei die genannte Heizanordnung den genannten, ersten Impuls zuführt, um das Halbleitersubstrat auf eine zweite Temperatur im Bereich von 1050°C bis 1400°C zu erhitzen.
  50. System nach Anspruch 34, wobei die Heizanordnung das Halbleitersubstrat während des genannten Anstiegsintervalls mit einer Rate von mindestens 20°C pro Sekunde erhitzt.
  51. System nach Anspruch 34, wobei die Heizanordnung während des genannten Grundheizmodus so konfiguriert ist, dass das Halbleitersubstrat in mehreren variierenden Raten erhitzt wird, wobei eine maximale Momentanstiegsrate mindestens 10°C pro Sekunde beträgt.
  52. System nach Anspruch 34, wobei der genannte, gepulste Heizmodus die erste Oberfläche des Halbleitersubstrats unter Verwendung des ersten Impulses mit Strahlung bestrahlt, die durch mindestens eine der folgenden Quellen erzeugt wird: eine Bogenlampe, eine Blitzlampe oder einen Laser.
  53. System nach Anspruch 34, wobei die genannte Heizanordnung so konfiguriert ist, dass sie die zweite Oberfläche des Halbleitersubstrats zur Erzeugung des genannten Temperaturanstiegs bestrahlt, und wobei sie ferner so konfiguriert ist, dass sie die erste Oberfläche des Halbleitersubstrats bestrahlt, um die erste Oberfläche auf eine Behandlungstemperatur zu erhitzen, die höher ist als eine durch den genannten Temperaturanstieg erzeugte Halbleitersubstrattemperatur.
  54. System nach Anspruch 34, wobei die genannte Heizanordnung und die genannte Kontrollanordnung zusammenwirkend so konfiguriert sind, dass ein zweiter Energieimpuls von der Heizanordnung während des gepulsten Heizmodus nach dem genannten, ersten Impuls zugeführt wird, und so dass der genannte Grundheizmodus in zeitlichem Verhältnis zu dem genannten, zweiten Impuls kontrolliert wird.
  55. System nach Anspruch 54, wobei die Kontrollanordnung so konfiguriert ist, dass die Temperatur der zweiten Oberfläche auf der ersten Temperatur gehalten wird, während der zweite Energieimpuls zugeführt wird.
  56. System nach Anspruch 54, wobei die genannte Kontrollanordnung den genannten Grundheizmodus kontrolliert, indem die dem Halbleitersubstrat in dem Grundheizmodus zugeführte Energie vor der Zufuhr des zweiten Energieimpulses reduziert wird.
  57. System nach Anspruch 54, wobei die genannte Heizanordnung einen Grundheizabschnitt aufweist, und wobei Hitze in der besagten kontrollierten Weise unter Verwendung der Kontrollanordnung zugeführt wird, um einen elektrischen Stromwert selektiv dem Grundheizabschnitt zuzuführen, und um den genannten, elektrischen Stromwert während des genannten, zweiten Energieimpulses auf ungefähr Null zu reduzieren.
  58. System nach Anspruch 34, mit einer Fühleranordnung zum Messen der Temperatur der zweiten Oberfläche des Halbleitersubstrats, in zeitlichem Verhältnis zum Einleiten des genannten, ersten Impulses.
  59. System nach Anspruch 58, wobei die Kontrollanordnung mit der Heizanordnung derart zusammenwirkt, dass die gemessene Temperatur der zweiten Oberfläche dazu verwendet wird, die zweite Oberfläche auf oder nahe an einer ersten Temperatur zu halten, während der erste Energieimpuls zugeführt wird.
  60. System nach Anspruch 59, die Heizanordnung einen Grundheizabschnitt aufweist, und wobei die Kontrollanordnung die erste Temperatur der zweiten Oberfläche des Halbleitersubstrats aufrechterhält, indem selektiv ein elektrischer Stromwert dem Grundheizabschnitt der Heizanordnung zugeführt wird, und wobei sie danach den genannten, elektrischen Stromwert während des genannten, ersten Energieimpulses auf ungefähr Null reduziert.
  61. System nach Anspruch 58, die Heizanordnung einen Grundheizabschnitt aufweist, und wobei die Kontrollanordnung eine erste Temperatur der zweiten Oberfläche des Halbleitersubstrats aufrechterhält, indem selektiv ein elektrischer Stromwert dem Grundheizabschnitt der Heizanordnung zugeführt wird, und wobei sie den genannten, elektrischen Stromwert reduziert, bevor der Eintritt in den gepulsten Heizmodus erfolgt.
  62. System nach Anspruch 34, wobei der erste Energieimpuls durch einen Satz von Impulsparametern gekennzeichnet ist, und wobei die genannte Kontrollanordnung zum Bestimmen dieses Satzes von Impulsparametern zumindest teilweise auf der Basis einer in-situ-Bestimmung zumindest eines optischen Merkmals konfiguriert ist.
  63. System nach Anspruch 62, wobei das genannte, optische Merkmal als mindestens ein Merkmal des Reflexionsvermögens und des Absorptionsvermögens ausgewählt wird.
  64. System nach Anspruch 34, wobei der erste Energieimpuls durch einen Satz von Impulsparametern gekennzeichnet ist, und wobei die genannte Kontrollanordnung zum Bestimmen dieses Satzes von Impulsparametern in Bezug auf einen Satz gespeicherter, empirischer Daten konfiguriert ist, die im Verhältnis zu mindestens einem optischen Merkmal des Halbleitersubstrats stehen.
DE10392472.8T 2002-03-29 2003-03-19 Halbleitererhitzungsverfahren mit gepulster Verarbeitung unter Verwendung von kombinierten Heizquellen Expired - Lifetime DE10392472B4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36886302P 2002-03-29 2002-03-29
US60/368,863 2002-03-29
US10/209,155 US6849831B2 (en) 2002-03-29 2002-07-30 Pulsed processing semiconductor heating methods using combinations of heating sources
US10/209,155 2002-07-30
PCT/US2003/008623 WO2003085343A1 (en) 2002-03-29 2003-03-19 Pulsed processing semiconductor heating methods using combinations of heating sources

Publications (2)

Publication Number Publication Date
DE10392472T5 DE10392472T5 (de) 2005-02-17
DE10392472B4 true DE10392472B4 (de) 2018-01-18

Family

ID=28456815

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10392472.8T Expired - Lifetime DE10392472B4 (de) 2002-03-29 2003-03-19 Halbleitererhitzungsverfahren mit gepulster Verarbeitung unter Verwendung von kombinierten Heizquellen

Country Status (8)

Country Link
US (5) US6849831B2 (de)
JP (1) JP2005527972A (de)
KR (1) KR101078708B1 (de)
CN (2) CN101392409B (de)
AU (1) AU2003218303A1 (de)
DE (1) DE10392472B4 (de)
TW (1) TWI228778B (de)
WO (1) WO2003085343A1 (de)

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
KR20040052468A (ko) * 2001-11-12 2004-06-23 소니 가부시끼 가이샤 레이저 어닐 장치 및 박막 트랜지스터의 제조 방법
KR101067901B1 (ko) * 2001-12-26 2011-09-28 맷슨 테크날러지 캐나다 인코퍼레이티드 온도 측정 및 열처리 방법과 시스템
US6809801B2 (en) * 2002-03-11 2004-10-26 Sharp Laboratories Of America, Inc. 1:1 projection system and method for laser irradiating semiconductor films
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US7223660B2 (en) * 2002-07-31 2007-05-29 Intel Corporation Flash assisted annealing
JP2004134674A (ja) * 2002-10-11 2004-04-30 Toshiba Corp 基板処理方法、加熱処理装置、パターン形成方法
US7921802B2 (en) * 2002-12-09 2011-04-12 Nxp B.V. System and method for suppression of wafer temperature drift in cold-wall CVD systems
JP4988202B2 (ja) 2002-12-20 2012-08-01 マトソン テクノロジー カナダ インコーポレイテッド 工作物の支持及び熱処理の方法とシステム
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
JP2005079110A (ja) * 2003-08-29 2005-03-24 Toshiba Corp 半導体装置およびその製造方法
JP4411907B2 (ja) * 2003-08-29 2010-02-10 セイコーエプソン株式会社 半導体装置の製造方法
JP4618705B2 (ja) * 2003-09-18 2011-01-26 大日本スクリーン製造株式会社 熱処理装置
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
JP2007507900A (ja) * 2003-10-03 2007-03-29 アプライド マテリアルズ インコーポレイテッド 動的表面アニール処理のための吸収層
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
CN100389489C (zh) * 2003-12-30 2008-05-21 中芯国际集成电路制造(上海)有限公司 利用注入晶片的注入机的低能量剂量监测
US7781947B2 (en) * 2004-02-12 2010-08-24 Mattson Technology Canada, Inc. Apparatus and methods for producing electromagnetic radiation
WO2006001923A2 (en) * 2004-05-17 2006-01-05 The Regents Of The University Of California Bistable nanoparticle- polymer composite for use in memory devices
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
US20140003800A1 (en) * 2004-09-24 2014-01-02 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
TWI237857B (en) * 2004-10-21 2005-08-11 Nanya Technology Corp Method of fabricating MOS transistor by millisecond anneal
JP4444090B2 (ja) * 2004-12-13 2010-03-31 東京エレクトロン株式会社 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
US20070037346A1 (en) * 2005-02-22 2007-02-15 Grant Robert W Rapid thermal annealing of targeted thin film layers
US7655160B2 (en) * 2005-02-23 2010-02-02 Electromagnetics Corporation Compositions of matter: system II
US7642205B2 (en) 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
WO2006130573A2 (en) 2005-06-01 2006-12-07 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
WO2007011523A2 (en) * 2005-06-30 2007-01-25 Sc Materials, Inc. Rapid thermal annealing of targeted thin film layers
US8152365B2 (en) 2005-07-05 2012-04-10 Mattson Technology, Inc. Method and system for determining optical properties of semiconductor wafers
WO2007030941A1 (en) * 2005-09-14 2007-03-22 Mattson Technology Canada, Inc. Repeatable heat-treating methods and apparatus
US7184657B1 (en) 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
US10279557B2 (en) 2006-01-13 2019-05-07 Cmd Corporation Method and apparatus for making skirtless seals
US7445590B2 (en) * 2006-01-13 2008-11-04 Cmd Corporation Method and apparatus for making bags
US8029428B2 (en) * 2006-01-13 2011-10-04 Cmd Corporation Method and apparatus for making skirtless seals
US20070221640A1 (en) * 2006-03-08 2007-09-27 Dean Jennings Apparatus for thermal processing structures formed on a substrate
US7981212B2 (en) * 2006-03-29 2011-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Flash lamp annealing device
JP4896555B2 (ja) * 2006-03-29 2012-03-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
DE102006019807B3 (de) * 2006-04-21 2007-08-23 Leibnitz-Institut für Festkörper- und Werkstoffforschung Dresden e.V. Verfahren zur pyrometrischen Messung der Temperatur des Schmelzgutes in Einkristallzüchtungsanlagen
US20070293026A1 (en) * 2006-06-16 2007-12-20 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
US9301340B2 (en) 2006-06-26 2016-03-29 Tp Solar, Inc. IR conveyor furnace having single belt with multiple independently controlled processing lanes
US8571396B2 (en) * 2006-06-26 2013-10-29 Tp Solar, Inc. Rapid thermal firing IR conveyor furnace having high intensity heating section
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
JP5967859B2 (ja) * 2006-11-15 2016-08-10 マトソン テクノロジー、インコーポレイテッド 熱処理中の被加工物を支持するシステムおよび方法
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
US7718707B2 (en) * 2006-12-21 2010-05-18 Innovalight, Inc. Method for preparing nanoparticle thin films
JP4874830B2 (ja) * 2007-02-06 2012-02-15 株式会社東芝 半導体装置の製造方法
JP5214153B2 (ja) 2007-02-09 2013-06-19 大日本スクリーン製造株式会社 熱処理装置
WO2008102596A1 (ja) * 2007-02-20 2008-08-28 National Institute Of Advanced Industrial Science And Technology 半導体表面温度測定方法及びその装置
JP2008235858A (ja) * 2007-02-20 2008-10-02 National Institute Of Advanced Industrial & Technology 半導体表面温度測定方法及びその装置
CN101702950B (zh) * 2007-05-01 2012-05-30 加拿大马特森技术有限公司 辐照脉冲热处理方法和设备
US8471170B2 (en) * 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US8968438B2 (en) * 2007-07-10 2015-03-03 Innovalight, Inc. Methods and apparatus for the in situ collection of nucleated particles
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US20090053878A1 (en) * 2007-08-21 2009-02-26 Maxim Kelman Method for fabrication of semiconductor thin films using flash lamp processing
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
JP5221099B2 (ja) * 2007-10-17 2013-06-26 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US20090107527A1 (en) * 2007-10-31 2009-04-30 United Microelectronics Corp. Method of cleaning transparent device in a thermal process apparatus, thermal process apparatus and process using the same thermal process apparatus
US7800081B2 (en) * 2007-11-08 2010-09-21 Applied Materials, Inc. Pulse train annealing method and apparatus
US9498845B2 (en) * 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US20090130864A1 (en) * 2007-11-19 2009-05-21 Narendra Singh Mehta Systems and methods for flash annealing of semiconductor devices
DE102007058002B4 (de) * 2007-12-03 2016-03-17 Mattson Thermal Products Gmbh Vorrichtung zum thermischen Behandeln von scheibenförmigen Halbleitersubstraten
JP4816634B2 (ja) 2007-12-28 2011-11-16 ウシオ電機株式会社 基板加熱装置及び基板加熱方法
JP2009164451A (ja) * 2008-01-09 2009-07-23 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009164525A (ja) * 2008-01-10 2009-07-23 Dainippon Screen Mfg Co Ltd 熱処理装置
JP5228495B2 (ja) 2008-01-11 2013-07-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2009188209A (ja) * 2008-02-06 2009-08-20 Panasonic Corp 不純物活性化熱処理方法及び熱処理装置
US10260811B2 (en) * 2008-03-05 2019-04-16 Ivoclar Vivadent Ag Dental furnace
JP5349819B2 (ja) * 2008-03-25 2013-11-20 大日本スクリーン製造株式会社 熱処理装置
JP5291965B2 (ja) * 2008-03-25 2013-09-18 大日本スクリーン製造株式会社 熱処理装置
JP5346484B2 (ja) * 2008-04-16 2013-11-20 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
JP5289815B2 (ja) * 2008-04-17 2013-09-11 大日本スクリーン製造株式会社 石英窓の製造方法
JP5562529B2 (ja) * 2008-04-17 2014-07-30 大日本スクリーン製造株式会社 熱処理装置
KR101892467B1 (ko) * 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
JP5718809B2 (ja) 2008-05-16 2015-05-13 マトソン テクノロジー、インコーポレイテッド 加工品の破壊を防止する方法および装置
JP5214347B2 (ja) * 2008-06-24 2013-06-19 株式会社東芝 半導体装置の製造方法および半導体装置の製造装置
JP5642359B2 (ja) * 2009-06-04 2014-12-17 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP2010123588A (ja) * 2008-11-17 2010-06-03 Sumco Corp シリコンウェーハ及びその熱処理方法
JP2010141103A (ja) * 2008-12-11 2010-06-24 Toshiba Corp 半導体装置の製造方法および熱処理装置
JP5668270B2 (ja) * 2008-12-11 2015-02-12 富士電機株式会社 半導体素子の製造方法
KR101610260B1 (ko) * 2008-12-15 2016-04-08 삼성전자주식회사 전자빔 어닐링 장치 및 이를 이용한 어닐링 방법
KR101565794B1 (ko) * 2008-12-16 2015-11-05 삼성전자주식회사 게더링 효과를 향상시킬 수 있는 실리콘 기판 및 실리콘 웨이퍼, 상기 실리콘 웨이퍼의 열처리 방법
US8461033B2 (en) * 2009-01-13 2013-06-11 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and method for heating substrate by light-irradiation
US8912102B2 (en) * 2009-03-02 2014-12-16 Globalfoundries Singapore Pte. Ltd. Laser annealing
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
JP5507102B2 (ja) * 2009-03-19 2014-05-28 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US8129284B2 (en) * 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
GB2474032B (en) 2009-10-01 2016-07-27 Heraeus Noblelight Gmbh Flash lamp or gas discharge lamp with integrated reflector
JP5507195B2 (ja) * 2009-10-13 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
JP5507227B2 (ja) * 2009-12-07 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
JP5507274B2 (ja) 2010-01-29 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
KR101206500B1 (ko) * 2010-02-26 2012-11-29 에스케이하이닉스 주식회사 반도체 장치의 트랜지스터 제조 방법
KR101097348B1 (ko) * 2010-03-11 2011-12-23 삼성모바일디스플레이주식회사 결정화 장치, 결정화 방법, 박막 트랜지스터 제조 방법 및 유기 발광 표시 장치의 제조 방법
JP5813291B2 (ja) * 2010-03-24 2015-11-17 株式会社Screenホールディングス 熱処理装置および熱処理方法
US8907258B2 (en) * 2010-04-08 2014-12-09 Ncc Nano, Llc Apparatus for providing transient thermal profile processing on a moving substrate
JP5604955B2 (ja) 2010-04-19 2014-10-15 ウシオ電機株式会社 放電ランプ点灯装置
JP5556431B2 (ja) * 2010-06-24 2014-07-23 富士電機株式会社 半導体装置の製造方法
US20120181265A1 (en) * 2010-07-15 2012-07-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
JP5530856B2 (ja) * 2010-08-18 2014-06-25 信越半導体株式会社 ウエーハの熱処理方法及びシリコンウエーハの製造方法並びに熱処理装置
TWI435391B (zh) 2010-09-16 2014-04-21 Dainippon Screen Mfg 閃光熱處理裝置
JP5606852B2 (ja) * 2010-09-27 2014-10-15 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
CN102538453B (zh) * 2010-09-28 2015-03-04 Tp太阳能公司 具有高反射率加热区段的快速热焙烧红外线传送带式热处理炉
JP2012074430A (ja) 2010-09-28 2012-04-12 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
US8383513B2 (en) * 2010-10-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric rapid thermal annealing to reduce pattern effect
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP2012104808A (ja) * 2010-10-14 2012-05-31 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
US9279727B2 (en) 2010-10-15 2016-03-08 Mattson Technology, Inc. Methods, apparatus and media for determining a shape of an irradiance pulse to which a workpiece is to be exposed
EP2643487A4 (de) 2010-11-22 2018-05-30 Electromagnetics Corporation Vorrichtungen zum zuschneiden von materialien
CN102485935B (zh) * 2010-12-06 2013-11-13 北京北方微电子基地设备工艺研究中心有限责任公司 均热板及应用该均热板的基片处理设备
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
TWI467660B (zh) 2011-03-14 2015-01-01 Screen Holdings Co Ltd Heat treatment method and heat treatment device
TWI566300B (zh) * 2011-03-23 2017-01-11 斯克林集團公司 熱處理方法及熱處理裝置
US8247741B2 (en) 2011-03-24 2012-08-21 Primestar Solar, Inc. Dynamic system for variable heating or cooling of linearly conveyed substrates
US20120060758A1 (en) * 2011-03-24 2012-03-15 Primestar Solar, Inc. Dynamic system for variable heating or cooling of linearly conveyed substrates
US10150230B2 (en) 2011-04-08 2018-12-11 Ncc Nano, Llc Method for drying thin films in an energy efficient manner
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
JP5951209B2 (ja) * 2011-09-26 2016-07-13 株式会社Screenホールディングス 熱処理方法
JP5944131B2 (ja) 2011-09-27 2016-07-05 株式会社Screenホールディングス 熱処理方法
JP5951241B2 (ja) * 2011-12-07 2016-07-13 株式会社Screenホールディングス 熱処理方法および熱処理装置
US20140011373A1 (en) * 2011-12-28 2014-01-09 Aravind Killampalli Annealing a sacrificial layer
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US9449825B2 (en) * 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
DE102012005428B4 (de) * 2012-03-16 2014-10-16 Centrotherm Photovoltaics Ag Vorrichtung zum Bestimmen der Temperatur eines Substrats
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
CN103374698A (zh) * 2012-04-23 2013-10-30 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室以及等离子体加工设备
TWI494174B (zh) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
TWI582837B (zh) * 2012-06-11 2017-05-11 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
JP5955658B2 (ja) * 2012-06-15 2016-07-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
KR101428569B1 (ko) * 2012-07-04 2014-09-25 엘지디스플레이 주식회사 개선된 기판 열처리용 챔버 및 이를 구비한 기판 열처리 장치 및 방법
KR101503117B1 (ko) * 2012-08-31 2015-03-16 엘지디스플레이 주식회사 경화장치
US9750091B2 (en) * 2012-10-15 2017-08-29 Applied Materials, Inc. Apparatus and method for heat treatment of coatings on substrates
JP5996409B2 (ja) * 2012-12-12 2016-09-21 株式会社Screenホールディングス 熱処理装置および熱処理方法
KR102090708B1 (ko) * 2013-01-22 2020-04-16 삼성디스플레이 주식회사 레이저 어닐링 장치
US9786529B2 (en) 2013-03-11 2017-10-10 Applied Materials, Inc. Pyrometry filter for thermal process chamber
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
US9754807B2 (en) * 2013-03-12 2017-09-05 Applied Materials, Inc. High density solid state light source array
US20140342473A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Semiconductor processing method
WO2014186085A1 (en) * 2013-05-15 2014-11-20 Applied Materials, Inc. Diffuser for lamp heating assembly
CN103325961B (zh) * 2013-05-22 2016-05-18 上海和辉光电有限公司 Oled封装加热装置及工艺方法
US10526232B2 (en) * 2013-05-30 2020-01-07 Ppg Industries Ohio, Inc. Microwave heating glass bending process
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
KR101464662B1 (ko) * 2013-07-24 2014-11-25 주식회사 나래나노텍 개선된 보트, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
KR101462460B1 (ko) * 2013-07-24 2014-11-18 주식회사 나래나노텍 기판 열처리용 챔버의 분할된 윈도우 플레이트 지지 장치, 및 이를 구비한 기판 열처리용 챔버 및 기판 열처리 장치
US9958709B2 (en) * 2013-08-16 2018-05-01 Applied Materials, Inc. Dynamic optical valve for mitigating non-uniform heating in laser processing
JP5602917B2 (ja) * 2013-08-19 2014-10-08 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
DE102013113866B4 (de) 2013-12-11 2019-03-07 Fhr Anlagenbau Gmbh Anordnung zur thermischen Prozessierung von Substraten
WO2015095742A1 (en) * 2013-12-20 2015-06-25 Xenon Corporation Continuous flash lamp sintering
US20150311067A1 (en) * 2014-04-24 2015-10-29 Applied Materials, Inc. Millisecond annealing in ammonia ambient for precise placement of nitrogen in thin film stacks
JP6087874B2 (ja) * 2014-08-11 2017-03-01 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP5998191B2 (ja) * 2014-12-01 2016-09-28 株式会社Screenホールディングス 熱処理方法
US11089657B2 (en) 2015-03-06 2021-08-10 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
JP6473659B2 (ja) * 2015-05-13 2019-02-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP6560550B2 (ja) 2015-07-06 2019-08-14 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP2017017277A (ja) 2015-07-06 2017-01-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
US10403880B2 (en) * 2015-09-11 2019-09-03 Iftikhar Ahmad Apparatus and method for processing battery electrodes
JP6539568B2 (ja) 2015-11-04 2019-07-03 株式会社Screenホールディングス 熱処理方法および熱処理装置
WO2017116685A1 (en) * 2015-12-30 2017-07-06 Mattson Technology, Inc. Preheat processes for millisecond anneal system
US20170194162A1 (en) * 2016-01-05 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing equipment and method for treating wafer
JP6622617B2 (ja) 2016-02-18 2019-12-18 株式会社Screenホールディングス 熱処理装置
JP6587955B2 (ja) 2016-02-24 2019-10-09 株式会社Screenホールディングス 熱処理装置
US10009957B2 (en) 2016-03-30 2018-06-26 The Markov Corporation Electronic oven with infrared evaluative control
KR102527578B1 (ko) * 2016-05-24 2023-05-02 삼성전자주식회사 기판 가열 방법
JP6839939B2 (ja) * 2016-07-26 2021-03-10 株式会社Screenホールディングス 熱処理方法
US10840114B1 (en) * 2016-07-26 2020-11-17 Raytheon Company Rapid thermal anneal apparatus and method
RU2638690C1 (ru) * 2016-08-11 2017-12-15 Общество с ограниченной ответственностью НТЦ "АгроЭСБ" Способ сушки семян рапса
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
DE102016119703A1 (de) * 2016-10-17 2018-04-19 Kraussmaffei Technologies Gmbh Verfahren und Vorrichtung zur Herstellung von Formteilen mit einem Halbzeug
KR102589287B1 (ko) * 2017-01-19 2023-10-13 내션얼 리서치 카운슬 오브 캐나다 배터리에서 열폭주를 개시하기 위한 장치 및 방법
US11193178B2 (en) 2017-08-16 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Thermal processing of closed shape workpieces
JP6982446B2 (ja) 2017-09-21 2021-12-17 株式会社Screenホールディングス 熱処理装置
JP6942615B2 (ja) 2017-11-20 2021-09-29 株式会社Screenホールディングス 熱処理方法および熱処理装置
TWI778205B (zh) * 2018-03-13 2022-09-21 日商住友重機械工業股份有限公司 雷射功率控制裝置、雷射加工裝置及雷射功率控制方法
WO2019199601A1 (en) * 2018-04-12 2019-10-17 Mattson Technology, Inc. Low thermal budget annealing
US10879371B2 (en) * 2018-06-12 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment for gate dielectrics
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
EP3667704A1 (de) * 2018-12-13 2020-06-17 Laser Systems & Solutions of Europe Verfahren zur thermischen bearbeitung eines substrats und zugehöriges system
CN109798768A (zh) * 2018-12-17 2019-05-24 浙江智造热成型科技有限公司 高效率的箱式加热炉
JP7304768B2 (ja) * 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
CN115461850A (zh) * 2020-02-28 2022-12-09 玛特森技术公司 热处理系统中的工件的基于发射的温度测量
JP7461214B2 (ja) * 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置
CN111621853A (zh) * 2020-05-29 2020-09-04 武汉大学 脉冲微波及射频电磁感应两段式晶体生长退火装置
JP2023553774A (ja) * 2020-08-13 2023-12-26 シーアイ システムズ(イスラエル)エルティーディー. 温度測定装置と放射源との間の同期
CN113238279B (zh) * 2021-05-10 2023-05-12 中国辐射防护研究院 一种可产生单脉冲γ射线的辐射装置
WO2023212325A1 (en) * 2022-04-29 2023-11-02 Cornell University Microwave annealer for semiconductor wafers
US11621168B1 (en) 2022-07-12 2023-04-04 Gyrotron Technology, Inc. Method and system for doping semiconductor materials

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US5219786A (en) * 1991-06-12 1993-06-15 Sony Corporation Semiconductor layer annealing method using excimer laser
US5508934A (en) * 1991-05-17 1996-04-16 Texas Instruments Incorporated Multi-point semiconductor wafer fabrication process temperature control system
US5612251A (en) * 1993-05-27 1997-03-18 Samsung Electronics Co., Ltd. Manufacturing method and device for a polycrystalline silicon
US5727017A (en) * 1995-04-11 1998-03-10 Ast Electronik, Gmbh Method and apparatus for determining emissivity of semiconductor material

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151008A (en) 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
JPS5567132A (en) * 1978-11-15 1980-05-21 Toshiba Corp Method for manufacturing semiconductor device
JPS5750427A (en) 1980-09-12 1982-03-24 Ushio Inc Annealing device and annealing method
JPS58127381A (ja) * 1982-01-26 1983-07-29 Seiko Epson Corp 半導体装置の製造方法
US4501323A (en) * 1982-12-27 1985-02-26 Hughes Tool Company Method and apparatus for monitoring the corrosive effects of well fluids
JPS59169125A (ja) 1983-03-16 1984-09-25 Ushio Inc 半導体ウエハ−の加熱方法
US4649261A (en) 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
JPS60258928A (ja) * 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド 半導体ウエ−ハの加熱装置および方法
US4615765A (en) 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
JPS63181419A (ja) * 1987-01-23 1988-07-26 Matsushita Electronics Corp 電界効果トランジスタの製造方法
US4865683A (en) * 1988-11-03 1989-09-12 Lasa Industries, Inc. Method and apparatus for laser process control
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
FR2674768B1 (fr) 1991-04-02 1994-09-02 France Telecom Procede de traitement photochimique d'un materiau utilisant une source de lumiere a tubes a eclairs.
JPH05114570A (ja) * 1991-10-03 1993-05-07 Dainippon Screen Mfg Co Ltd 光照射加熱装置
JP3277533B2 (ja) * 1992-01-08 2002-04-22 ソニー株式会社 半導体装置の製造方法
US5487768A (en) 1994-01-31 1996-01-30 Zytka; Donald J. Minienvironment for material handling
US6059873A (en) * 1994-05-30 2000-05-09 Semiconductor Energy Laboratory Co., Ltd. Optical processing method with control of the illumination energy of laser light
EP0708318A1 (de) * 1994-10-17 1996-04-24 International Business Machines Corporation Strahlunsmessung durch Richtungsfiltrieren zur bestimmung der Temperatur eines strahlenden Objektes
JPH09246202A (ja) 1996-03-07 1997-09-19 Shin Etsu Handotai Co Ltd 熱処理方法および半導体単結晶基板
US6179465B1 (en) 1996-03-28 2001-01-30 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a thermal processing system using multiple light sources
US5847768A (en) * 1996-04-26 1998-12-08 Lg Electronics Inc. Apparatus for converting screen aspect ratio
US6156030A (en) * 1997-06-04 2000-12-05 Y-Beam Technologies, Inc. Method and apparatus for high precision variable rate material removal and modification
US5841110A (en) * 1997-08-27 1998-11-24 Steag-Ast Gmbh Method and apparatus for improved temperature control in rapid thermal processing (RTP) systems
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
EP0924500B1 (de) * 1997-12-08 2006-10-18 STEAG RTP Systems GmbH Verfahren zum Messen elektromagnetischer Strahlung
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6594446B2 (en) 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP2002198322A (ja) * 2000-12-27 2002-07-12 Ushio Inc 熱処理方法及びその装置
WO2002065514A1 (en) 2001-02-12 2002-08-22 Micro C Technologies, Inc. Ultra fast rapid thermal processing chamber and method of use
US6656749B1 (en) * 2001-12-13 2003-12-02 Advanced Micro Devices, Inc. In-situ monitoring during laser thermal annealing
KR101067901B1 (ko) 2001-12-26 2011-09-28 맷슨 테크날러지 캐나다 인코퍼레이티드 온도 측정 및 열처리 방법과 시스템
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US5508934A (en) * 1991-05-17 1996-04-16 Texas Instruments Incorporated Multi-point semiconductor wafer fabrication process temperature control system
US5219786A (en) * 1991-06-12 1993-06-15 Sony Corporation Semiconductor layer annealing method using excimer laser
US5612251A (en) * 1993-05-27 1997-03-18 Samsung Electronics Co., Ltd. Manufacturing method and device for a polycrystalline silicon
US5727017A (en) * 1995-04-11 1998-03-10 Ast Electronik, Gmbh Method and apparatus for determining emissivity of semiconductor material

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
J.L. Altrip,et al., High temperature millisecond annealing of arsenic implanted silicon, Solid-State Electronics, Volume 33, Issue 6, June 1990, Pages 659-664, ISSN 0038-1101, DOI: 10.1016/0038-1101(90)90179-I. *
J.R. Logan et al., Recrystallisation of amorphous silicon films by rapid isothermal and transient annealing , Semicond. Sci. Technol. 3, 1988, 437 *

Also Published As

Publication number Publication date
US6951996B2 (en) 2005-10-04
US8000587B2 (en) 2011-08-16
US20080069550A1 (en) 2008-03-20
US6849831B2 (en) 2005-02-01
CN101392409A (zh) 2009-03-25
WO2003085343A1 (en) 2003-10-16
CN101392409B (zh) 2010-12-01
US20050236395A1 (en) 2005-10-27
US8837923B2 (en) 2014-09-16
TW200306630A (en) 2003-11-16
US7317870B2 (en) 2008-01-08
KR20050007451A (ko) 2005-01-18
AU2003218303A1 (en) 2003-10-20
US20030183612A1 (en) 2003-10-02
DE10392472T5 (de) 2005-02-17
TWI228778B (en) 2005-03-01
US20110236844A1 (en) 2011-09-29
KR101078708B1 (ko) 2011-11-01
US20040149715A1 (en) 2004-08-05
CN100444334C (zh) 2008-12-17
JP2005527972A (ja) 2005-09-15
CN1643323A (zh) 2005-07-20

Similar Documents

Publication Publication Date Title
DE10392472B4 (de) Halbleitererhitzungsverfahren mit gepulster Verarbeitung unter Verwendung von kombinierten Heizquellen
US4234358A (en) Patterned epitaxial regrowth using overlapping pulsed irradiation
US6172399B1 (en) Formation of ultra-shallow semiconductor junction using microwave annealing
DE112006002027B4 (de) Verfahren zum Herstellen von Halbleitervorrichtungen und System zum Herstellen von Halbleitervorrichtungen
DE10297622B4 (de) Temperaturmessung sowie Verfahren und Systeme zur Wärmebehandlung
DE69432615T2 (de) Halbleiteranordnung mit einer gerichteten nichtmonocristallinen Siliziumdünnschicht und Verfahren zur Herstellung
DE112010004232T5 (de) Laserannealingvorrichtung und Laserannealingverfahren
DE2947180A1 (de) Verfahren zum vermindern des spezifischen widerstandes einer siliziumschicht
DE102006025408B4 (de) Verfahren zur Steigerung des Transistorsleitungsvermögens durch Dotierstoffaktivierung nach der Silizidierung
DE10222879A1 (de) Messung niedriger Wafer-Temperaturen
DE3136105A1 (de) &#34;verfahren und vorrichtung zum tempern von halbleitern&#34;
DE60023278T2 (de) Verbesserungen beim glühen
DE102004041346B4 (de) Verfahren zum Herstellen einer Halbleitereinrichtung
WO2011095560A2 (de) Verfahren und vorrichtung zur wärmebehandlung des scheibenförmigen grundmaterials einer solarzelle, insbesondere einer kristallinen oder polykristallinen silizium-solarzelle
EP1060505B1 (de) Verfahren zur herstellung eines mikroelektronischen halbleiterbauelements
DE10197002B3 (de) Verfahren und System zur Wärmebehandlung
Klabes et al. Flash lamp annealing of arsenic implanted silicon
DE102018219323A1 (de) Technik und zugehörige Halbleiterbauelemente auf Basis von kristallinem Halbleitermaterial, das auf Basis von abgeschiedenem amorphen Halbleitermaterial hergestellt ist
WO2016050605A2 (de) Verfahren für das aufwachsen von halbleiterschichten und träger zum aufwachsen von halbleiterschichten
Raevski et al. Comparative study of cation ordering effects in single crystals of 1: 1 and 1: 2 complex perovskites solid solutions
EP1060151B1 (de) Verfahren zur herstellung einer oder mehrerer kristallisierter keramischer dünnschichten sowie bauelement mit einer solchen schicht
JPH025293B2 (de)
DD235008A3 (de) Verfahren zur kristallisation oder rekristallisation von halbleiterschichten
DE102005038672A1 (de) Vorrichtung zum thermischen Behandeln von Halbleitersubstraten
DE2953138A1 (de) Dual wavelength laser annealing of materials

Legal Events

Date Code Title Description
8128 New person/name/address of the agent

Representative=s name: CANZLER & BERGMEIER, PATENTANWAELTE, 85055 INGOLSTADT

8110 Request for examination paragraph 44
8125 Change of the main classification

Ipc: H01L 21/324 AFI20051017BHDE

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: MATTSON TECHNOLOGY, INC., FREMONT, US

Free format text: FORMER OWNER: MATTSON TECHNOLOGY INC., FREMONT, CALIF., US

Owner name: BEIJING E-TOWN SEMICONDUCTOR CO., LTD., CN

Free format text: FORMER OWNER: MATTSON TECHNOLOGY INC., FREMONT, CALIF., US

R082 Change of representative

Representative=s name: PATENTANWAELTE CANZLER & BERGMEIER PARTNERSCHA, DE

R071 Expiry of right