AU2001267174A1 - Heat-treating methods and systems - Google Patents

Heat-treating methods and systems

Info

Publication number
AU2001267174A1
AU2001267174A1 AU2001267174A AU6717401A AU2001267174A1 AU 2001267174 A1 AU2001267174 A1 AU 2001267174A1 AU 2001267174 A AU2001267174 A AU 2001267174A AU 6717401 A AU6717401 A AU 6717401A AU 2001267174 A1 AU2001267174 A1 AU 2001267174A1
Authority
AU
Australia
Prior art keywords
systems
heat
treating methods
treating
methods
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001267174A
Inventor
David Malcolm Camm
J. Kiefer Elliott
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Canada Inc
Original Assignee
Vortek Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vortek Industries Ltd filed Critical Vortek Industries Ltd
Publication of AU2001267174A1 publication Critical patent/AU2001267174A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • F27D2019/0003Monitoring the temperature or a characteristic of the charge and using it as a controlling value
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D99/00Subject matter not provided for in other groups of this subclass
    • F27D99/0001Heating elements or systems
    • F27D99/0006Electric heating elements or system
    • F27D2099/0026Electric heating elements or system with a generator of electromagnetic radiations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing
AU2001267174A 2000-12-04 2001-05-30 Heat-treating methods and systems Abandoned AU2001267174A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/729,747 US6594446B2 (en) 2000-12-04 2000-12-04 Heat-treating methods and systems
US09729747 2000-12-04
PCT/CA2001/000776 WO2002047143A1 (en) 2000-12-04 2001-05-30 Heat-treating methods and systems

Publications (1)

Publication Number Publication Date
AU2001267174A1 true AU2001267174A1 (en) 2002-06-18

Family

ID=24932436

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001267174A Abandoned AU2001267174A1 (en) 2000-12-04 2001-05-30 Heat-treating methods and systems

Country Status (4)

Country Link
US (4) US6594446B2 (en)
JP (2) JP4944353B2 (en)
AU (1) AU2001267174A1 (en)
WO (1) WO2002047143A1 (en)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6594446B2 (en) 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP4581240B2 (en) * 2000-12-12 2010-11-17 株式会社デンソー Method for manufacturing silicon carbide semiconductor device
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
JP3798674B2 (en) * 2001-10-29 2006-07-19 大日本スクリーン製造株式会社 Heat treatment apparatus and heat treatment method
US7255899B2 (en) * 2001-11-12 2007-08-14 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and heat treatment method of substrate
JP2005515425A (en) * 2001-12-26 2005-05-26 ボルテック インダストリーズ リミテッド Temperature measurement and heat treatment method and system
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6828204B2 (en) * 2002-10-16 2004-12-07 Varian Semiconductor Equipment Associates, Inc. Method and system for compensating for anneal non-uniformities
US7062161B2 (en) * 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
JP2006509367A (en) * 2002-12-09 2006-03-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ System and method for suppressing wafer temperature fluctuations in a cold wall CVD system
CN1729554B (en) 2002-12-20 2014-05-07 马特森技术有限公司 Methods and systems for supporting a workpiece and for heat-treating the workpiece
DE10260672A1 (en) 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Method and device for the thermal treatment of disk-shaped substrates
US20040235281A1 (en) * 2003-04-25 2004-11-25 Downey Daniel F. Apparatus and methods for junction formation using optical illumination
US7115837B2 (en) * 2003-07-28 2006-10-03 Mattson Technology, Inc. Selective reflectivity process chamber with customized wavelength response and method
US20050104072A1 (en) * 2003-08-14 2005-05-19 Slater David B.Jr. Localized annealing of metal-silicon carbide ohmic contacts and devices so formed
JP2005079110A (en) * 2003-08-29 2005-03-24 Toshiba Corp Semiconductor device and manufacturing method thereof
EP1671087A4 (en) * 2003-09-24 2006-11-29 Squared Thermal Technologies L Pulse forming network and pulse generator
US7473656B2 (en) * 2003-10-23 2009-01-06 International Business Machines Corporation Method for fast and local anneal of anti-ferromagnetic (AF) exchange-biased magnetic stacks
TWI365519B (en) * 2003-12-19 2012-06-01 Mattson Tech Canada Inc Apparatuses and methods for suppressing thermally induced motion of a workpiece
TWI476858B (en) * 2003-12-19 2015-03-11 Mattson Tech Inc Methods and systems for supporting a workpiece and for heat-treating the workpiece
JP5630935B2 (en) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド Apparatus and apparatus for suppressing thermally induced motion of workpiece
US7781947B2 (en) * 2004-02-12 2010-08-24 Mattson Technology Canada, Inc. Apparatus and methods for producing electromagnetic radiation
EP1569263B1 (en) * 2004-02-27 2011-11-23 OSRAM Opto Semiconductors GmbH Method for joining two wafers
US7846822B2 (en) * 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US20060035477A1 (en) * 2004-08-12 2006-02-16 Karen Mai Methods and systems for rapid thermal processing
US20140003800A1 (en) * 2004-09-24 2014-01-02 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20070037346A1 (en) * 2005-02-22 2007-02-15 Grant Robert W Rapid thermal annealing of targeted thin film layers
US20060220112A1 (en) * 2005-04-01 2006-10-05 International Business Machines Corporation Semiconductor device forming method and structure for retarding dopant-enhanced diffusion
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060291833A1 (en) * 2005-06-01 2006-12-28 Mattson Techonology, Inc. Switchable reflector wall concept
US7745762B2 (en) * 2005-06-01 2010-06-29 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
CN101288035B (en) * 2005-09-14 2013-06-19 马特森技术有限公司 Repeatable heat-treating methods and apparatus
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
US20070221640A1 (en) 2006-03-08 2007-09-27 Dean Jennings Apparatus for thermal processing structures formed on a substrate
US7795122B2 (en) * 2006-03-20 2010-09-14 Texas Instruments Incorporated Antimony ion implantation for semiconductor components
KR100775963B1 (en) * 2006-07-12 2007-11-15 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
WO2008058397A1 (en) 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US7763869B2 (en) * 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
WO2008131513A1 (en) 2007-05-01 2008-11-06 Mattson Technology Canada, Inc. Irradiance pulse heat-treating methods and apparatus
US7851343B2 (en) * 2007-06-14 2010-12-14 Cree, Inc. Methods of forming ohmic layers through ablation capping layers
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
JP5465373B2 (en) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 Heat treatment equipment
JP5396703B2 (en) * 2007-10-09 2014-01-22 富士通セミコンダクター株式会社 Heat treatment apparatus and method, and semiconductor device manufacturing method
JP2009164525A (en) * 2008-01-10 2009-07-23 Dainippon Screen Mfg Co Ltd Heat treatment apparatus
JP2009188210A (en) * 2008-02-06 2009-08-20 Panasonic Corp Impurity activating thermal processing method, and thermal processing apparatus
US7968440B2 (en) * 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
JP5497992B2 (en) * 2008-03-25 2014-05-21 大日本スクリーン製造株式会社 Heat treatment equipment
US8283607B2 (en) * 2008-04-09 2012-10-09 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
US8548311B2 (en) * 2008-04-09 2013-10-01 Applied Materials, Inc. Apparatus and method for improved control of heating and cooling of substrates
US8367983B2 (en) * 2008-04-09 2013-02-05 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
JP5318455B2 (en) * 2008-05-02 2013-10-16 大日本スクリーン製造株式会社 Heat treatment equipment
KR101610269B1 (en) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. Workpiece breakage prevention method and apparatus
JP5642359B2 (en) * 2009-06-04 2014-12-17 株式会社Screenホールディングス Heat treatment method and heat treatment apparatus
US8785814B1 (en) * 2009-05-05 2014-07-22 Lsp Technologies, Inc. Optic protection via stagnant liquid film
KR101151569B1 (en) * 2009-10-26 2012-05-31 주식회사 경동나비엔 Welding method of stainless steel
KR101097348B1 (en) * 2010-03-11 2011-12-23 삼성모바일디스플레이주식회사 Crystallization apparatus, crystallization method, method of manufacturing thin film transistor and method of manufacturing organic light emitting display apparatus
WO2011150058A2 (en) 2010-05-25 2011-12-01 Mossey Creek Solar, LLC Method of producing a semiconductor
US9536762B2 (en) 2010-05-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for thermal mapping and thermal process control
US20110295539A1 (en) * 2010-05-28 2011-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for measuring intra-die temperature
KR101733179B1 (en) 2010-10-15 2017-05-08 맛선 테크놀러지, 인코포레이티드 Methods, apparatus and media for determining a shape of an irradiance pulse to which a workpiece is to be exposed
US8871670B2 (en) 2011-01-05 2014-10-28 The Board Of Trustees Of The University Of Illinois Defect engineering in metal oxides via surfaces
EP2683515A4 (en) 2011-03-10 2015-06-03 Mesocoat Inc Method and apparatus for forming clad metal products
TWI566300B (en) * 2011-03-23 2017-01-11 斯克林集團公司 Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
AT510846B1 (en) * 2011-04-13 2012-07-15 Colop Stempelerzeugung Skopek DEVICE FOR PRODUCING STAMP CLICKS
JP5855353B2 (en) * 2011-05-13 2016-02-09 株式会社Screenホールディングス Heat treatment apparatus and heat treatment method
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
JP5861831B2 (en) * 2011-07-28 2016-02-16 Jfeスチール株式会社 Steel plate heating device
JP5951241B2 (en) * 2011-12-07 2016-07-13 株式会社Screenホールディングス Heat treatment method and heat treatment apparatus
JP6026748B2 (en) * 2012-02-03 2016-11-16 株式会社Screenホールディングス Heat treatment apparatus and heat treatment method
JP6026749B2 (en) * 2012-02-03 2016-11-16 株式会社Screenホールディングス Heat treatment apparatus and heat treatment method
US9449825B2 (en) 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
JP5931477B2 (en) * 2012-02-03 2016-06-08 株式会社Screenホールディングス Heat treatment apparatus and heat treatment method
JP5960846B2 (en) 2012-02-24 2016-08-02 マトソン テクノロジー、インコーポレイテッド Apparatus and method for generating electromagnetic radiation
JP5964626B2 (en) * 2012-03-22 2016-08-03 株式会社Screenホールディングス Heat treatment equipment
TWI494174B (en) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd Equipment for surface treatment of substrate
TWI624862B (en) * 2012-06-11 2018-05-21 應用材料股份有限公司 Melt depth determination using infrared interferometric technique in pulsed laser annealing
KR101503117B1 (en) * 2012-08-31 2015-03-16 엘지디스플레이 주식회사 Curing apparatus
US9059079B1 (en) 2012-09-26 2015-06-16 Ut-Battelle, Llc Processing of insulators and semiconductors
US9048268B2 (en) * 2013-03-05 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue after dry etch
CA2906892C (en) 2013-03-15 2021-01-05 Mesocoat, Inc. Ternary ceramic thermal spraying powder and coating method
US9832816B2 (en) * 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
US9129918B2 (en) * 2013-10-30 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
KR20150144585A (en) * 2014-06-17 2015-12-28 엘지전자 주식회사 Post-processing apparatus of solar cell
US10240236B2 (en) * 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
CN108028200B (en) * 2015-12-30 2022-05-27 玛特森技术公司 Method for improving process uniformity in millisecond anneal systems
US10966286B2 (en) 2015-12-30 2021-03-30 Mattson Technology, Inc. Nitrogen injection for ARC lamps
WO2017116740A1 (en) * 2015-12-30 2017-07-06 Mattson Technology, Inc. Electrode tip for arc lamp
US20170194162A1 (en) * 2016-01-05 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing equipment and method for treating wafer
TWI612259B (en) * 2016-02-26 2018-01-21 財團法人工業技術研究院 Heating apparatus and heating method
DE102016112836A1 (en) * 2016-06-14 2017-12-14 Leander Kilian Gross Method and device for thermal treatment of a substrate
JP6068738B1 (en) 2016-09-16 2017-01-25 キヤノンアネルバ株式会社 Heating apparatus, substrate heating apparatus, and semiconductor device manufacturing method
WO2019036269A1 (en) * 2017-08-16 2019-02-21 Mattson Technology, Inc. Thermal processing of closed shape workpieces
US11195732B2 (en) * 2018-04-12 2021-12-07 Mattson Technology, Inc. Low thermal budget annealing
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
CN114402426A (en) * 2020-08-18 2022-04-26 玛特森技术公司 Rapid thermal processing system with cooling system
CN114402425A (en) * 2020-08-18 2022-04-26 玛特森技术公司 Rapid thermal processing system with cooling system
CN112467508B (en) * 2021-01-28 2021-06-08 四川光天下激光科技有限公司 Narrow pulse width laser

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102098A (en) * 1870-04-19 Improved french bedstead
USRE24296E (en) 1957-03-26 Apparatus for infra-red cooking
US2981819A (en) 1961-04-25 Heater construction for kiln or other apparatus
US1587023A (en) 1922-02-17 1926-06-01 Mecky Company A Multiple-reflector single-unit combined toaster and cooker
US1759720A (en) * 1929-04-22 1930-05-20 George W Stitzer Headlight
US2341658A (en) * 1942-03-04 1944-02-15 Salani Ettore Projector
NL122356C (en) 1954-05-18 1900-01-01
US2877341A (en) * 1955-06-28 1959-03-10 Harold E Edgerton Liquid cooled flash-producing apparatus
US3108713A (en) 1959-05-05 1963-10-29 Pneumafil Corp Dispensing equipment
NL251614A (en) 1959-05-28 1900-01-01
US3160517A (en) 1961-11-13 1964-12-08 Union Carbide Corp Method of depositing metals and metallic compounds throughout the pores of a porous body
US3240915A (en) 1962-09-19 1966-03-15 Fostoria Corp Infra-red heater
US3188459A (en) 1962-11-02 1965-06-08 Northrop Corp Lamp holder
US3227065A (en) 1963-06-07 1966-01-04 Alan L Litman Waterless egg cooker
US3239651A (en) 1963-08-21 1966-03-08 Ekco Products Company Heating unit
US3502516A (en) 1964-11-06 1970-03-24 Siemens Ag Method for producing pure semiconductor material for electronic purposes
US3366815A (en) * 1965-12-29 1968-01-30 Union Carbide Corp High pressure arc cooled by a thin film of liquid on the wall of the envelope
US3460510A (en) 1966-05-12 1969-08-12 Dow Corning Large volume semiconductor coating reactor
US3627590A (en) 1968-12-02 1971-12-14 Western Electric Co Method for heat treatment of workpieces
DE1900116C3 (en) 1969-01-02 1978-10-19 Siemens Ag, 1000 Berlin Und 8000 Muenchen Process for the production of high-purity monocrystalline layers consisting of silicon
US3692572A (en) 1969-08-12 1972-09-19 Wolfgang Strehlow Epitaxial film process and products thereof
US3623712A (en) 1969-10-15 1971-11-30 Applied Materials Tech Epitaxial radiation heated reactor and process
US3651358A (en) * 1970-05-04 1972-03-21 Union Carbide Corp Method and apparatus for extending the useful life of an arc radiation source
US3913872A (en) 1973-01-18 1975-10-21 Bell & Howell Co Light tunnel for uniformly illuminating an object
US3836751A (en) 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
GB1485908A (en) 1974-05-21 1977-09-14 Nath G Apparatus for applying light radiation
US4027185A (en) * 1974-06-13 1977-05-31 Canadian Patents And Development Limited High intensity radiation source
US4151008A (en) * 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4081313A (en) 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
US4041278A (en) 1975-05-19 1977-08-09 General Electric Company Heating apparatus for temperature gradient zone melting
US4005601A (en) * 1975-08-29 1977-02-01 Amac, Inc. Apparatus for detecting rail discontinuities
US4115163A (en) 1976-01-08 1978-09-19 Yulia Ivanovna Gorina Method of growing epitaxial semiconductor films utilizing radiant heating
US4224096A (en) 1976-03-25 1980-09-23 W. R. Grace & Co. Laser sealing of thermoplastic material
US4101759A (en) 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4097226A (en) 1976-10-26 1978-06-27 General Electric Company Furnace for practising temperature gradient zone melting
JPS54103174A (en) 1978-01-31 1979-08-14 Tokyo Shibaura Electric Co Cooking instrument
JPS583478B2 (en) 1978-03-03 1983-01-21 株式会社日立製作所 Laser heating method and device
US4164643A (en) 1978-03-06 1979-08-14 Dewitt David P Energy-efficient bi-radiant oven system
FR2435818A1 (en) 1978-09-08 1980-04-04 Ibm France PROCESS FOR INCREASING THE INTERNAL TRAPPING EFFECT OF SEMICONDUCTOR BODIES
JPS55115327A (en) 1979-02-28 1980-09-05 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacturing method of semiconductor device
US4325006A (en) 1979-08-01 1982-04-13 Jersey Nuclear-Avco Isotopes, Inc. High pulse repetition rate coaxial flashlamp
JPS5648128A (en) 1979-09-27 1981-05-01 Pioneer Electronic Corp Heating treatment
US4370175A (en) 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
JPS56100412A (en) 1979-12-17 1981-08-12 Sony Corp Manufacture of semiconductor device
JPS56100426A (en) 1980-01-14 1981-08-12 Ushio Inc Device and method for annealing
US4331485A (en) 1980-03-03 1982-05-25 Arnon Gat Method for heat treating semiconductor material using high intensity CW lamps
JPS56142630A (en) 1980-04-09 1981-11-07 Fujitsu Ltd Manufacture of semiconductor device
US4308078A (en) 1980-06-06 1981-12-29 Cook Melvin S Method of producing single-crystal semiconductor films by laser treatment
JPS5750427A (en) * 1980-09-12 1982-03-24 Ushio Inc Annealing device and annealing method
JPS5780729A (en) 1980-11-10 1982-05-20 Tokyo Denki Daigaku Annealing device for semiconductor
JPS57208146A (en) 1981-06-17 1982-12-21 Nec Corp Forming method for insulating film to compound semiconductor
US4379727A (en) 1981-07-08 1983-04-12 International Business Machines Corporation Method of laser annealing of subsurface ion implanted regions
US4431459A (en) 1981-07-17 1984-02-14 National Semiconductor Corporation Fabrication of MOSFETs by laser annealing through anti-reflective coating
US4421048A (en) 1981-10-22 1983-12-20 The United States Of America As Represented By The Secretary Of The Navy Situ incineration/detoxification system for antifouling coatings
JPS5870536A (en) 1981-10-22 1983-04-27 Fujitsu Ltd Laser annealing method
JPS58106836A (en) 1981-12-18 1983-06-25 Hitachi Ltd Laser annealing device
JPS59928A (en) 1982-06-25 1984-01-06 Ushio Inc Photo heating device
FR2532783A1 (en) * 1982-09-07 1984-03-09 Vu Duy Phach THERMAL PROCESSING MACHINE FOR SEMICONDUCTORS
JPS5959876A (en) 1982-09-30 1984-04-05 Ushio Inc Operating method of light irradiation furnace
EP0105230A3 (en) 1982-09-30 1986-01-15 General Electric Company Triggering and cooling apparatus for laser flashlamps
JPS5977289A (en) 1982-10-26 1984-05-02 ウシオ電機株式会社 Beam irradiating furnace
GB2136937A (en) 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
JPS59193024A (en) 1983-03-29 1984-11-01 Ushio Inc Flash irradiation device
JPS59211221A (en) 1983-05-17 1984-11-30 Nippon Denso Co Ltd Heat treatment of ion implanted semiconductor
US4539431A (en) 1983-06-06 1985-09-03 Sera Solar Corporation Pulse anneal method for solar cell
US4680447A (en) 1983-08-11 1987-07-14 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4550684A (en) 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
KR910004158B1 (en) * 1983-08-15 1991-06-22 Sinagawa Sirotenga Co Ltd Thermal deformation measuring system of ceranics and the like
US4649261A (en) 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4698486A (en) 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
JPS60258928A (en) * 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド Device and method for heating semiconductor wafer
US4649241A (en) * 1984-11-09 1987-03-10 Siemens-Allis, Inc. Solenoid actuated high speed, high current making switch with a movable contact ring
US4661177A (en) * 1985-10-08 1987-04-28 Varian Associates, Inc. Method for doping semiconductor wafers by rapid thermal processing of solid planar diffusion sources
GB2199693B (en) 1986-12-02 1990-08-15 Noblelight Ltd Improvements in and relating to flash lamps
US4818327A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Wafer processing apparatus
US4826269A (en) * 1987-10-16 1989-05-02 Spectra Diode Laboratories, Inc. Diode laser arrangement forming bright image
JP2605090B2 (en) 1988-03-28 1997-04-30 東京エレクトロン株式会社 Beam annealing equipment
US5188458A (en) * 1988-04-27 1993-02-23 A G Processing Technologies, Inc. Pyrometer apparatus and method
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
JPH0262036A (en) * 1988-08-29 1990-03-01 Fujitsu Ltd Semiconductor device manufacturing apparatus
US4891499A (en) * 1988-09-09 1990-01-02 Texas Instruments Incorporated Method and apparatus for real-time wafer temperature uniformity control and slip-free heating in lamp heated single-wafer rapid thermal processing systems
SG108807A1 (en) 1989-02-14 2005-02-28 Seiko Epson Corp A semiconductor device and its manufacturing method
US4984902A (en) * 1989-04-13 1991-01-15 Peak Systems, Inc. Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing
US5011794A (en) 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
JP3190653B2 (en) 1989-05-09 2001-07-23 ソニー株式会社 Annealing method and annealing device
US5002630A (en) * 1989-06-06 1991-03-26 Rapro Technology Method for high temperature thermal processing with reduced convective heat loss
JP2923008B2 (en) * 1989-12-11 1999-07-26 株式会社日立製作所 Film forming method and film forming apparatus
US5282017A (en) * 1990-01-05 1994-01-25 Quantum Logic Corporation Reflectance probe
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5310260A (en) * 1990-04-10 1994-05-10 Luxtron Corporation Non-contact optical techniques for measuring surface conditions
JPH04152518A (en) * 1990-10-16 1992-05-26 Toshiba Corp Manufacture of semiconductor device
US5317429A (en) * 1990-11-28 1994-05-31 Fujitsu Limited Trilayer nematic liquid crystal optical switching device
US5293216A (en) * 1990-12-31 1994-03-08 Texas Instruments Incorporated Sensor for semiconductor device manufacturing process control
JPH04243123A (en) * 1991-01-17 1992-08-31 Mitsubishi Electric Corp Semiconductor manufacturing apparatus
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
DE4109956A1 (en) * 1991-03-26 1992-10-01 Siemens Ag METHOD FOR SHORT-TEMPERATURE A SEMICONDUCTOR DISC BY IRRADIATION
JPH04355911A (en) 1991-03-27 1992-12-09 Fujitsu Ltd Manufacturing device for semiconductor device
US5446825A (en) 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5508934A (en) * 1991-05-17 1996-04-16 Texas Instruments Incorporated Multi-point semiconductor wafer fabrication process temperature control system
JP3466633B2 (en) * 1991-06-12 2003-11-17 ソニー株式会社 Annealing method for polycrystalline semiconductor layer
US5359693A (en) 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
US5387557A (en) 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5336641A (en) 1992-03-17 1994-08-09 Aktis Corporation Rapid thermal annealing using thermally conductive overcoat
US5313044A (en) * 1992-04-28 1994-05-17 Duke University Method and apparatus for real-time wafer temperature and thin film growth measurement and control in a lamp-heated rapid thermal processor
JP3211394B2 (en) 1992-08-13 2001-09-25 ソニー株式会社 Method for manufacturing semiconductor device
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5308161A (en) * 1993-02-11 1994-05-03 Quantum Logic Corporation Pyrometer apparatus for use in rapid thermal processing of semiconductor wafers
US5350236A (en) * 1993-03-08 1994-09-27 Micron Semiconductor, Inc. Method for repeatable temperature measurement using surface reflectivity
US5305417A (en) * 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
JPH06295915A (en) * 1993-04-09 1994-10-21 F T L:Kk Manufacturing device for semiconductor device and manufacture of semiconductor device
US5501637A (en) * 1993-08-10 1996-03-26 Texas Instruments Incorporated Temperature sensor and method
TW266230B (en) * 1993-09-09 1995-12-21 Tokyo Electron Co Ltd
JPH07245274A (en) 1994-03-02 1995-09-19 Tokyo Electron Ltd Heat treatment device
DE4414391C2 (en) 1994-04-26 2001-02-01 Steag Rtp Systems Gmbh Method for wave vector selective pyrometry in rapid heating systems
KR100327086B1 (en) * 1994-06-15 2002-03-06 구사마 사부로 Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal dispaly, and electronic device
JPH0855810A (en) * 1994-08-16 1996-02-27 Nec Kyushu Ltd Diffusion furnace
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
DE4432315A1 (en) * 1994-09-12 1996-03-14 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Mercury vapor short arc lamp
US5604592A (en) * 1994-09-19 1997-02-18 Textron Defense Systems, Division Of Avco Corporation Laser ultrasonics-based material analysis system and method using matched filter processing
JP3440579B2 (en) 1994-10-05 2003-08-25 ソニー株式会社 Heat treatment method
US5601366A (en) * 1994-10-25 1997-02-11 Texas Instruments Incorporated Method for temperature measurement in rapid thermal process systems
US5703436A (en) * 1994-12-13 1997-12-30 The Trustees Of Princeton University Transparent contacts for organic devices
US5738440A (en) * 1994-12-23 1998-04-14 International Business Machines Corp. Combined emissivity and radiance measurement for the determination of the temperature of a radiant object
DE19513749B4 (en) 1995-04-11 2004-07-01 Infineon Technologies Ag Method and device for determining the emission factor of semiconductor materials by irradiation with electromagnetic waves
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5597237A (en) * 1995-05-30 1997-01-28 Quantum Logic Corp Apparatus for measuring the emissivity of a semiconductor wafer
KR100274293B1 (en) 1995-06-26 2001-01-15 야스카와 히데아키 Crystalline semiconductor film forming method, thin film transistor manufacturing method, solar cell manufacturing method and active matrix liquid crystal device
JP3348334B2 (en) * 1995-09-19 2002-11-20 ソニー株式会社 Method for manufacturing thin film semiconductor device
US5971565A (en) * 1995-10-20 1999-10-26 Regents Of The University Of California Lamp system with conditioned water coolant and diffuse reflector of polytetrafluorethylene(PTFE)
US6051483A (en) 1996-11-12 2000-04-18 International Business Machines Corporation Formation of ultra-shallow semiconductor junction using microwave annealing
US5777437A (en) 1996-07-01 1998-07-07 Lumenx Technologies Inc. Annular chamber flashlamp including a surrounding, packed powder reflective material
US5756369A (en) * 1996-07-11 1998-05-26 Lsi Logic Corporation Rapid thermal processing using a narrowband infrared source and feedback
US6536131B2 (en) * 1996-07-15 2003-03-25 Semitool, Inc. Wafer handling system
US6214034B1 (en) * 1996-09-04 2001-04-10 Radiancy, Inc. Method of selective photothermolysis
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
JP2000505961A (en) * 1996-12-20 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Furnace for rapid heat treatment
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5898270A (en) * 1997-04-11 1999-04-27 Ilc Technology, Inc. Monocoque structure and large electrode beaded rob re-entrant seals for flashlamp-pumped solid-state laser flashlamps
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5841110A (en) 1997-08-27 1998-11-24 Steag-Ast Gmbh Method and apparatus for improved temperature control in rapid thermal processing (RTP) systems
KR20010006155A (en) 1998-02-13 2001-01-26 야스카와 히데아키 Method of producing semiconductor device and heat treating apparatus
US6316786B1 (en) * 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
US6217034B1 (en) * 1998-09-24 2001-04-17 Kla-Tencor Corporation Edge handling wafer chuck
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6183127B1 (en) * 1999-03-29 2001-02-06 Eaton Corporation System and method for the real time determination of the in situ emissivity of a workpiece during processing
US6293696B1 (en) 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers
US6303411B1 (en) * 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6349270B1 (en) * 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6541924B1 (en) * 2000-04-14 2003-04-01 Macquarie Research Ltd. Methods and systems for providing emission of incoherent radiation and uses therefor
US6376806B2 (en) * 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6594446B2 (en) 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
US7071714B2 (en) * 2001-11-02 2006-07-04 Formfactor, Inc. Method and system for compensating for thermally induced motion of probe cards
JP2005515425A (en) * 2001-12-26 2005-05-26 ボルテック インダストリーズ リミテッド Temperature measurement and heat treatment method and system
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US6885815B2 (en) * 2002-07-17 2005-04-26 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus performing irradiating a substrate with light
US7062161B2 (en) 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
KR100549452B1 (en) 2002-12-05 2006-02-06 다이닛뽕스크린 세이조오 가부시키가이샤 A heat treatment apparatus and method for irradiating a light
JP4675579B2 (en) * 2003-06-30 2011-04-27 大日本スクリーン製造株式会社 Optical energy absorption ratio measuring method, optical energy absorption ratio measuring apparatus and heat treatment apparatus
JP4618705B2 (en) * 2003-09-18 2011-01-26 大日本スクリーン製造株式会社 Heat treatment equipment
US6855916B1 (en) * 2003-12-10 2005-02-15 Axcelis Technologies, Inc. Wafer temperature trajectory control method for high temperature ramp rate applications using dynamic predictive thermal modeling
CN101288035B (en) * 2005-09-14 2013-06-19 马特森技术有限公司 Repeatable heat-treating methods and apparatus
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method

Also Published As

Publication number Publication date
US20030206732A1 (en) 2003-11-06
JP2004515085A (en) 2004-05-20
JP4944353B2 (en) 2012-05-30
US6594446B2 (en) 2003-07-15
US6963692B2 (en) 2005-11-08
US20020067918A1 (en) 2002-06-06
US20020102098A1 (en) 2002-08-01
US6941063B2 (en) 2005-09-06
US20050062388A1 (en) 2005-03-24
JP2010093282A (en) 2010-04-22
WO2002047143A1 (en) 2002-06-13

Similar Documents

Publication Publication Date Title
AU2001267174A1 (en) Heat-treating methods and systems
AU2001244302A1 (en) Assessment methods and systems
AU2002306870A1 (en) Pdstudio design system and method
AU2001286419A1 (en) Endourethral device and method
AU2002211657A1 (en) Assessment system and method
AU2001253733A1 (en) Video-monitoring safety systems and methods
AU2002213227A1 (en) Generalizer system and method
AU2002225789A1 (en) Detection systems and methods
GB2376073B (en) Fluid-gauging systems and methods
AU2001253314A1 (en) Positioning systems and related methods
AU2001248402A1 (en) Teamwork method and system
AUPR890201A0 (en) Methods and systems (npw005)
GB2352523B (en) Fluid-gauging systems and methods
AU2002221405A1 (en) Heat-treating methods and systems
AU2001250807A1 (en) Systems and methods for polling
AU2002246957A1 (en) Smart-caching system and method
AU2002227019A1 (en) Cashcalling and voicemining system
AU2002256556A1 (en) Sea-trosy and related methods
AU2001260894A1 (en) Method and device
GB2406710B (en) Heat-treating methods and systems
AU2001272859A1 (en) Camera-tracking system and method
WO2002099718A8 (en) Configuration systems and methods
AUPQ709400A0 (en) Microlicensing system and method
AU2002234095A1 (en) Configuration systems and methods
AU2002250291A1 (en) Location system and methods