CN1815709A - 半导体元件及其制造方法 - Google Patents

半导体元件及其制造方法 Download PDF

Info

Publication number
CN1815709A
CN1815709A CN200510077047.9A CN200510077047A CN1815709A CN 1815709 A CN1815709 A CN 1815709A CN 200510077047 A CN200510077047 A CN 200510077047A CN 1815709 A CN1815709 A CN 1815709A
Authority
CN
China
Prior art keywords
essence
layer
dielectric
carbon
semiconductor element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200510077047.9A
Other languages
English (en)
Other versions
CN100403514C (zh
Inventor
林耕竹
郑双铭
叶明灵
包天一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1815709A publication Critical patent/CN1815709A/zh
Application granted granted Critical
Publication of CN100403514C publication Critical patent/CN100403514C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明是关于一种半导体元件及其制造方法。该半导体制造方法包括使用多孔性及/或含碳的低介电常数介电层。此方法包括形成通式为CxHy的碳氢化合物层于低介电常数介电层的表面上。形成此碳氢化合物层时包括沉积前驱物质,较佳为乙烯(C2H4)或α-松油烯(CH3) 2CHC6H6CH3。根据本发明的实施例,碳扩散进入低介电常数介电层中,因此可降低电浆处理或蚀刻所造成的碳耗损伤害。藉由以CxHy层来封住表面介电质孔洞,亦可修补电浆处理所造成的表面介电质孔洞的伤害。实施例包括利用所提供的方法制造而成的半导体元件,例如具有镶嵌内连线结构的元件。

Description

半导体元件及其制造方法
技术领域
本发明涉及一种半导体元件的制造,特别是涉及一种多孔性、低介电常数介电质的制造与制程。
背景技术
随着半导体元件的密度的增加,以及电路构件的尺寸的缩减,电阻电容(RC)延迟时间对电路性能的影响日益提升。为了降低电阻电容延迟,需将传统介电质转换成低介电常数介电质。以这些低介电常数介电材料作为内金属介电质(IMD)以及内层介电质(ILD),特别有其效用。然而,低介电常数材料在制程期间,特别在制作内连线的导电材料的制程期间,会引发一些问题。
一般利用高能量的电浆蚀刻制程来图案化并蚀刻导电材料。由于低介电常数材料较软、化学稳定性较低、较为多孔性、或上述因素的任意组合,因此低介电常数材料较易遭受电浆蚀刻损害。电浆损害本身可产生较高的漏电流、较低的崩溃电压、并造成低介电常数介电材料的介电常数的改变。
一种低介电常数材料的例子为掺杂碳的氧化物或有机硅玻璃(Organosilicate Glass;OSG)。有机硅玻璃薄膜通常至少包括SiwCxOyHz,其中四价的硅可具有种种有机族群的替代物。一种常用的替代物产生甲基硅酸盐(Methyl Silsesquioxane;MSQ),其中甲基产生硅甲烷(SiCH3)键来取代硅氧键。在光阻移除步骤中,当低介电常数介电质暴露在处理电浆下时,电浆损害可能使得甲基由氢氧基所取代,因而形成硅氢氧基(Silanol)。
目前已察觉到有机硅玻璃材料的表面上的硅氢氧基键会劣化低介电常数介电薄膜的完整性。由于硅氢氧基的存在所导致的一种劣化的类型为低介电常数介电材料的介电常数的增加。此外,亦察觉到受损的有机硅玻璃材料会吸收水分。更进一步,已察觉到劣化的低介电常数介电材料在暴露于湿式化学清洗期间,很难抵抗化学物的攻击,如此将导致低介电常数介电膜绝缘结构的关键尺寸(CD)产生相当严重的损失。
另一种低介电常数材料的例子为多孔性介电质,例如上用的陶氏化学(Dow Chemical)的多孔性SILK产品与日本合成橡胶股份有限公司(JSRCorporation)的商品JSR 5109。多孔性材料的介电常数为空气的介电常数与致密材料的介电常数的组合。举例而言,硅(Silica)类的多孔隙性材料xerogels与aerogels,在其孔洞或空隙中包含有大量的空气,因而可在孔洞小至5nm至10nm之间时,使介电常数低于1.95。
就如同含碳介电质,多孔性介电质亦容易遭受元件制造中所使用的电浆蚀刻与灰化制程的损害。当介电质中存在有开放孔洞时,清洗与研磨以及薄膜金属化过程中的处理流体可进入表面孔洞,因而造成腐蚀、机械性损害或介电常数的增加。孔洞损伤亦可能造成表面从较佳的疏水性变成亲水性。
图1是绘示镶嵌结构的剖面示意图。介电层12已设置在导线层11上。介层窗孔14自沟渠15向下延伸。当铜填入后,介层窗孔14连接两导线层。由于铜的高扩散性与在硅中扮演再结合中心的趋势,步骤进行时必须确保所有的铜是限制在镶嵌结构中。传统上,大都藉助于阻障层18的导入,此阻障层18衬在沟渠15与介层窗孔14的侧壁上,如图2所示。
如同上述,化学物质可能会穿透并进入低介电常数介电质的孔洞,进而导致其介电常数提升。低介电常数介电质的损伤会造成沟渠地面21与沟渠侧壁23的表面粗化,如图1与图2所示。较为粗糙的表面意味着阻障层18的厚度需较正常厚度大,如图2所示,以确保无薄贴片让铜移动穿过。较厚的阻障层18将导致电阻电容延迟时间的增加而相对地抵销掉低介电常数介电质的优势。
如同上述,介电质损伤会造成较高的漏电流、较低的崩溃电压、以及低介电常数介电材料的介电常数的改变。有鉴于这些与其他问题,因此亟需可改善低介电常数介电质制造的方法。
由此可见,上述现有的半导体元件及其制造方法在结构、方法与使用上,显然仍存在有不便与缺陷,而亟待加以进一步改进。为了解决半导体元件及其制造方法存在的问题,相关厂商莫不费尽心思来谋求解决之道,但长久以来一直未见适用的设计被发展完成,而一般产品又没有适切的结构能够解决上述问题,此显然是相关业者急欲解决的问题。因此如何能创设一种新的半导体元件及其制造方法,便成了当前业界极需改进的目标。
有鉴于上述现有的半导体元件及其制造方法存在的缺陷,本发明人基于从事此类产品设计制造多年丰富的实务经验及专业知识,并配合学理的运用,积极加以研究创新,以期创设一种新的半导体元件及其制造方法,能够改进一般现有的半导体元件及其制造方法,使其更具有实用性。经过不断的研究、设计,并经反复试作样品及改进后,终于创设出确具实用价值的本发明。
发明内容
本发明的目的在于,提供一种新的半导体元件及其制造方法,所要解决的技术问题是使其修补低介电常数介电质的孔洞损伤或碳耗损,其中藉由运用本发明的较佳实施例,可解决或防止上述或其他问题,并具有技术优势,从而更加适于实用。
本发明的目的及解决其技术问题是采用以下技术方案来实现的。依据本发明提出的一种半导体元件的制造方法,其至少包括以下步骤:形成一低介电常数介电层;形成一凹陷特征于该低介电常数介电层中;形成一碳氢化合物层于该凹陷特征中,其中形成该碳氢化合物层的步骤至少包括化学反应一碳氢化合物前驱物,该碳氢化合物前驱物具有一碳-碳双键;以及形成一导体层于该凹陷特征中,其中该导体层填满该凹陷特征。
本发明的目的及解决其技术问题还采用以下技术措施来进一步实现。
前述的半导体元件的制造方法,其中形成该碳氢化合物层于该凹陷特征的步骤包括利用一电浆增益化学气相沉积制程。
前述的半导体元件的制造方法,其中所述的电浆增益化学气相沉积制程包括:设定一基材温度至介于实质125℃至实质350℃之间;设定一电浆增益化学气相沉积反应器压力至介于实质500mTorr至实质8000mTorr之间;以及设定一电浆增益化学气相沉积反应器功率至介于实质50W至实质1500W之间。
前述的半导体元件的制造方法,其中形成该碳氢化合物层于该凹陷特征内的步骤包括使用一原子层沉积制程。
前述的半导体元件的制造方法,其中所述的低介电常数介电层至少包括一材料,且该材料是选自于实质由有机硅玻璃(OSG)、硼磷硅玻璃(BPSG)、硼硅玻璃(BSG)、磷硅玻璃(PSG)、聚芳基酯(polyarylene ether)、氢硅酸盐(HSQ)、含甲基的硅酸盐(MSQ)、聚硅酸盐(polysilsesquioxane)、聚亚酰胺(polyimide)、苯环丁烯(BCB)、聚四氟乙烯(PTFE)、氟硅玻璃(FSG)、多孔性氧化物、多孔性氮化物、制孔剂、及其组合所组成的一族群。
前述的半导体元件的制造方法,其中所述的碳氢化合物前驱物至少包括一材料,且该材料是选自于实质由α-松油烯(ATRP)、乙烯以及与通式(CH3)2CHC6H6-CnH2+1相关的化学物质所组成的一族群。
前述的半导体元件的制造方法,其中所述的碳氢化合物层至少包括:介于实质20至实质95原子百分比的碳;介于实质5至实质80原子百分比的氢;以及介于实质0至实质5原子百分比的氧。
前述的半导体元件的制造方法,在形成该碳氢化合物层的步骤与形成该导体层的步骤之间,更至少包括将碳自该碳氢化合物层扩散至该低介电常数介电层。
本发明的目的及解决其技术问题还采用以下技术方案来实现。依据本发明提出的一种利用权利要求1所述的方法制成的半导体元件,其中所述的低介电常数介电层至少包括:一主介电区,具有一主碳浓度;以及一表面介电区位于该主介电区上,其中该表面介电区的一碳浓度低于该主介电区的该主碳浓度不超过实质5%。
本发明的目的及解决其技术问题还采用以下技术方案来实现。依据本发明提出的一种修补半导体元件制程中所造成的低介电常数介电层的损伤的方法,其至少包括以下步骤:利用一电浆增益化学气相沉积反应制程来反应一前驱物质,以沉积一碳氢化合物层于该低介电常数介电层上,其中该碳氢化合物层至少包括:介于实质20至实质95原子百分比的碳;介于实质5至实质80原子百分比的氢;以及介于实质0至实质5原子百分比的氧;移除邻近于一窄介层窗的一碳氢化合阻碍物;以及形成一扩散阻障层至少位于该碳氢化合物层上。
本发明与现有技术相比具有明显的优点和有益效果。由以上技术方案可知,本发明的主要技术内容如下:
为了达到上述目的,本发明的一较佳实施例中,提供了一种半导体元件的制造方法。此方法至少包括形成低一介电常数介电层、形成一凹陷特征于低介电常数介电层中、以及形成一碳氢化合物层于上述的凹陷特征内,其中形成碳氢化合物层的步骤至少包括使具有碳-碳双键的碳氢化合物前驱物产生化学反应。此方法更包括形成一导电层于上述的凹陷特征中,其中此导电层填满而溢出凹陷特征。在较佳实施例中,前述的碳氢化合物前驱物至少包括α-松油烯(alpha-terpinene;ATRP)、乙烯(C2H4)以及与通式为(CH3)2CHC6H6-CnH2+1相关的化学物质。
替代的方式包括一种具有多孔性低介电常数介电层的半导体元件的制造方法。此方法至少包括:形成一凹陷特征于多孔性低介电常数介电质层中,其中形成此凹陷特征的步骤包括利用一电浆制程;以及形成一碳氢化合物层于多孔性低介电常数介电质层上,其中形成此碳氢化合物层的步骤至少包括使具有碳-碳双键的碳氢化合物前驱物产生化学反应。替代的实施例更包括将碳从碳氢化合物层扩散至多孔性低介电常数介电质层。
又,为了达到上述目的,在其他实施例中,提供了一种在半导体制程中受损的低介电常数介电层的修补方法。此方法至少包括:藉由利用电浆增益化学气相沉积反应制程来反应前驱材料,以沉积一碳氢化合物层于低介电常数介电层上,其中碳氢化合物层至少包括介于约20至约95原子百分比的碳、介于约5至约80原子百分比的氢、以及介于约0至约5原子百分比的氧。替代的实施例至少包括移除邻近于窄介层窗开口的碳氢化合阻碍物,以及形成一扩散阻障层至少位于碳氢化合物层上。
再者,为了达到上述目的,本发明的另一些其他实施例中,包括一种半导体元件,其中此半导体元件是利用本发明所提供的实施例并进一步封住在制程中受损的介电质孔洞所制成。在其他实施例中,此半导体元件至少包括:一主介电区,具有一主碳浓度;以及一表面介电区位于主介电区上,其中此表面介电区的碳浓度大于或等于主碳浓度的约95%。在又一些其他实施例中,上述的低介电常数介电层至少包括一表面介电区位于主介电区上,表面介电区的碳浓度低于主碳浓度不超过约5%。
值得注意的一点是,虽然在通篇专利说明书与权利要求书中使用专门术语的半导体材料层,利用此半导体材料层所产生的特征不应永远视为连续或不间断的特征。藉由阅读本说明书的内容,将清楚此半导体层可分成不同且隔离的特征(例如主动区),其中的某些或全部至少包括此半导体的一部分。
经由上述可知,本发明是有关于一种半导体元件及其制造方法。该半导体制造方法包括使用多孔性及/或含碳的低介电常数介电层。此方法包括形成通式为CxHy的碳氢化合物层于低介电常数介电层的表面上。形成此碳氢化合物层时包括沉积前驱物质,较佳为乙烯(C2H4)或α-松油烯(CH3)2CHC6H6CH3。根据本发明的实施例,碳扩散进入低介电常数介电层中,因此可降低电浆处理或蚀刻所造成的碳耗损伤害。藉由以CxHy层来封住表面介电质孔洞,亦可修补电浆处理所造成的表面介电质孔洞的伤害。实施例包括利用所提供的方法制造而成的半导体元件,例如具有镶嵌内连线结构的元件。
综上所述,本发明特殊的半导体元件及其制造方法,修补低介电常数介电质的孔洞损伤或碳耗损,其中藉由运用本发明的较佳实施例,可解决或防止上述或其他问题,并具有技术优势。其具有上述诸多的优点及实用价值,并在同类产品及制造方法中未见有类似的结构设计及方法公开发表或使用而确属创新,其不论在产品结构、制造方法或功能上皆有较大的改进,在技术上有较大的进步,并产生了好用及实用的效果,且较现有的半导体元件及其制造方法具有增进的多项功效,从而更加适于实用,而具有产业的广泛利用价值,诚为一新颖、进步、实用的新设计。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,而可依照说明书的内容予以实施,并且为了让本发明的上述和其他目的、特征和优点能够更明显易懂,以下特举较佳实施例,并配合附图,详细说明如下。
附图说明
图1与图2是绘示半导体元件在传统镶嵌制程中的中间步骤的剖面图,其中图示出低介电常数介电质表面的损伤。
图3是绘示依照本发明一较佳实施例的一种半导体元件在示范镶嵌制程中的中间步骤的剖面图,其中此半导体元件包括含碳及/或多孔性介电质。
图4是绘示本发明一较佳实施例的剖面图,其中进一步图示出电浆及/或蚀刻制程的损伤。
图5是绘示本发明一较佳实施例的剖面图,其中图示出碳氢修补层的沉积。
图6是绘示本发明一较佳实施例的剖面图,其中图示出经修补的低介电常数介电层。
图7是绘示本发明一较佳实施例的剖面图,其中图示出尚未经化学机械研磨平坦化之前的结构。
图8是绘示本发明一较佳实施例的剖面图,其中图示出经化学机械研磨平坦化后的结构以及富含碳的低介电常数介电层。
11:导线层                   12:介电层
14:介层窗孔                 15:沟渠
18:阻障层                   21:沟渠地面
23:沟渠侧壁                 85:双重金属镶嵌结构
86:沟渠                     104:介层窗
106:沟渠                    116:阻障层
301:基材                    303:第一蚀刻终止层
305:低介电常数介电层        305’:富含碳的区域
307:碳氢化合物层            310:导体层
具体实施方式
为更进一步阐述本发明为达成预定发明目的所采取的技术手段及功效,以下结合附图及较佳实施例,对依据本发明提出的半导体元件及其制造方法其具体实施方式、结构、制造方法、步骤、特征及其功效,详细说明如后。在不同图示中的相对应图号与符号一般是表示相对应的部分,除非另有指定。图示是绘示成可清楚图例较佳实施例的相关方面,而无需依比例绘示。
本发明是有关于一种半导体元件的制造,且特别是有关于一种多孔性、低介电常数介电质的制造与制程。这些低介电常数介电层可包括许多薄膜或许多层,但实施例并不限于这些型态。以下将以特定内容,即在镶嵌制程中铜导线与介层窗的制作,的较佳实施例来描述本发明。可相信的一点是,当本发明的实施例应用在此制程中时,将具有明显优势。更可相信的一点是,当本发明的实施例运用在其他关注低介电常数介电层的性能的半导体制作应用上,亦具有其优势。更可相信的一点是,在此所描述的实施例将有利于其他未特别提及的集成电路内连线的应用。因此,在此所讨论的特定实施例仅是用以说明制造与应用本发明的特定方法,并非用以限制本发明的范围。
现请参照图3所示,其是绘示欲依照本发明的较佳实施例与示范镶嵌制程来进行处理的一种中间代表性的镶嵌结构的剖面图。图3所示是半导体的基材301,此基材301可例如至少包括硅、绝缘层上有硅(SOI)、功能性与逻辑元件、其他内连线层、或其组合。以下为描述本发明的实施例的目的,基材301至少包括内层介电层(ILD)以及导电内连线。镶嵌制程的详细说明,Bao等人已在美国专利案编号第6,248,665号以及美国专利申请案公开编号第2004/0121583号中加以描述,在此一并列入参考。
请再次参照图3所示,第一蚀刻终止层303位于基材301上。低介电常数介电层305位于第一蚀刻终止层303上,其中此低介电常数介电层305亦称为内金属介电层(IMD)、内层介电层(ILD)或介电层。合适的低介电常数介电质包括掺杂碳的二氧化硅,亦称为有机硅玻璃(Organo SilicateGlass;OSG)以及碳氧化物。低介电常数介电层305的较佳厚度介于约5000至约9000之间,且较佳是经平坦化。示范的有机低介电常数材料包括聚芳基酯(polyarylene ether)、氢硅酸盐(hydrogen silsesquioxane;HSQ)、含甲基的硅酸盐(methyl silsesquioxane;MSQ)、聚硅酸盐(polysilsesquioxane)、聚亚酰胺(polyimide)、苯环丁烯(benzocyclobutene;BCB)、以及非晶系聚四氟乙烯(amorphouspolytetrafluoroethylene;PTFE)(通常又称铁氟龙,Teflon)。适合本发明的方法的其他类型的低介电常数材料包括氟硅玻璃(FluorinatedSilicate Glass;FSG),例如掺杂氟的二甲基硅酸盐[fluorine-doped-(O-Si(CH3)2-O)-],以及硼磷硅玻璃(Borophosphosilicate Glass;BPSG)、硼硅玻璃(Borosilicate Glass;BSG)、与磷硅玻璃(PhosphosilicateGlass;PSG)。
低介电常数介电层305亦可包括一种低介电常数介电质,此种低介电常数介电质通常称为超低介电常数(Extreme Low-k;ELK)介电质。超低介电常数介电质一般具有低于约2的介电常数,且这些超低介电常数介电质包括多孔性的介电质。合适的超低介电常数介电材料可包括氮化硅、氧化硅、旋涂玻璃(SOG)、电浆增益(PE)的四乙氧基硅甲烷(Tetraethoxysilane;TEOS)、卤化的氧化硅、以及氟硅玻璃。
其他更佳的超低介电常数介电质包括含有未反应且孔洞生成的材料、或制孔剂(porogen)。将制孔剂加热至高于其分解温度,而在介电质中形成孔洞。举例而言,陶氏化学(Dow Chemical)的多孔性SILK产品与日本合成橡胶股份有限公司(JSR Corporation)的商品JSR 5109为合适的商用低介电常数前驱物,其中这些低介电常数前驱物是利用有机母体材料(OrganicHost Material)。在较佳实施例中,低介电常数介电质至少包括希普励(Shipley)公司所提供的商用ZIRKONTM低介电常数内层介电质。ZIRKONTM低介电常数内层介电质是一种以含甲基的硅酸盐(MSQ)为基础材料与散布在溶剂丙二醇单甲基醚酯(PGMEA)中的丙烯酸(acrylic)、高分子聚合物系统的纳米微粒制孔剂的混合。另一种替代的较佳超低介电常数包括电浆增益化学气相沉积的SiwOxCyHz,因为不论其有或没有制孔剂,均具有达成k<2的可能性。
较佳是利用传统的旋转涂布机来沉积ZIRKONTM低介电常数内层介电质。待沉积后,较佳在垂直炉管中进行部分的修复以交互连结母体,其中温度介于约250℃至300℃之间。ZIRKONTM低介电常数内层介电质的制孔剂的衰减开始于约275℃,且完全衰减发生在约450℃。
请参照图4所示,其是绘示图3的中间半导体元件经进一步形成非等向性蚀刻的中间双重金属镶嵌结构85后的侧视剖面图。在此中间的双重金属镶嵌结构85中,是由介层窗104与上方的沟渠106所构成的凹陷特征。
制作第一双重金属镶嵌结构85时,是先利用微影图案化以及非等向性蚀刻,来形成介层窗104穿过低介电常数介电层305、以及至少一部分的第一蚀刻终止层303。接下来,利用相似的制程来进行微影图案化与非等向性蚀刻,以形成沟渠106穿过第一蚀刻终止层303、以及部分的低介电常数介电层305。这些步骤形成沟渠106位于且围绕在介层窗104的上方。可了解的一点是,沟渠106可包围一或多个介层窗104,沟渠106与介层窗104可形成于不同的堆叠介电层中,其中这些不同的堆叠介电层之间形成有另一个蚀刻终止层。低介电常数介电层305的表面可包括其他凹陷的特征,例如沟渠86,以容纳更多内层导电层。
已知应用在镶嵌制造方法中的电浆蚀刻会伤及低介电常数介电质。在图4中,低介电常数介电层305的损伤由其粗糙面可看出。值得注意的一点是,这样的损伤延伸至沟渠86与沟渠106的侧壁以及介层窗104的侧壁。如以上所提,损伤可包括表面粗糙、受损的孔洞、打开的表面孔洞以及碳耗损。一般而言,沟渠受损的状况较介层窗严重。
现请参照图5所示,并根据较佳实施例,较佳是利用电浆增益化学气相沉积方式来使碳氢化合物的前驱物产生化学反应,藉以沉积碳氢化合物层307,其中此碳氢化合物层307至少包括CxHy。合适的碳氢化合物前驱物包括具有充分的挥发性的化学物质,如此一来,这些化学物质可在反应容器中形成蒸气。较佳的前驱物为经取代的己烷衍生物α-松油烯(Substituted Hexane Derivative α-terpinene;ATRP)[(CH3)2CHC6H6CH3]或乙烯(C2H4)。替代的前驱物包括α-松油烯同源物(α-terpinene Analogs),其中α甲基由直链烷基,即与通式(CH3)2CHC6H6-CnH2n+1相关的化学物质,所取代。另一些替代的前驱物包括任何其他碳氢化合物,较佳是具有碳-碳双键的碳氢化合物。在沟渠深度约2000时,碳氢化合物层307的厚度通常约介于约40至约50之间。
电浆增益化学气相沉积制程较佳是包括惰性载气,例如氦气。氦气的流量可介于约25sccm至约10000sccm之间,较佳是介于约50sccm至约5000sccm之间。基材的温度介于约25℃至约400℃,且较佳是介于约125℃至约350℃之间。射频功率密度介于约50W至约2500W,且较佳是介于约50W至约1500W。在沉积制程中,反应器压力介于约100mTorr至约10000mTorr之间,较佳是介于约500mTorr至约8000mTorr之间。
根据本发明,碳氢化合物层307较佳是至少包括:介于约20至约95原子百分比的碳;介于约0至约5原子百分比的氧;以及介于约5至约80原子百分比的氢。在薄膜的沉积制程期间,受控制的主要制程变量为射频功率、前驱物流率、反应器压力以及基材温度。
在某些情况下,碳氢化合物层307的建立可部分阻隔窄凹陷特征,例如介层窗104,的开启。除非移除阻碍,否则将会妨碍导体沉积于介层窗104中,因而导致元件的性质下降,例如电阻电容延迟。因此,本发明的实施例可进一步包括对开启的介层窗104进行金属形成前的清洁步骤。此金属形成前的清洁步骤包括在约25℃至350℃下进行小于约1分钟的氩/氢或氢电浆清洁处理。
在另一替代实施例中,是利用原子层沉积(ALD)方式来沉积碳氢化合物层307。在沉积碳氢化合物层307于窄凹陷特征,例如介层窗104,的侧壁上时,较佳是采用原子层沉积法。原子层沉积层具有高度的共形特性,而不会阻隔窄开口,且对窄特征具有良好的穿透性。
如同以上所述,在传统制程中,一种低介电常数介电质的损害是使低介电常数介电层表面粗化。申请人发现传统上经处理过的介电质层在沟渠106底部的平均表面粗化值的均方根为13.89。在至少包括CxHy层的沉积的孔洞密封修补步骤后,沟渠粗化的方均根值降至8.73。分析后的结果也显示,碳耗损受损层可藉由CxHy的覆盖而适当地恢复其碳含量的程度。
较佳实施例的结果绘示于图6中。低介电常数介电层305的平顺表面代表具有经封住的孔洞的修补表面。
根据本发明的较佳实施例,碳自碳氢化合物层307扩散至低介电常数介电层305中,因而在低介电常数介电层305的表面上形成富含碳的区域305’。为方便起见,在低介电常数介电层305中的碳分布,在主介电区内称为主碳程度,而在表面介电区则称为富含碳的程度。虚线以距低介电常数介电层305的表面x的距离画过低介电常数介电层305,而图示出低介电常数介电层305中的富含碳的区域305’。
此一扩散过程形成经碳调节的区域309邻近于低介电常数介电层305的沟渠侧壁,其中经碳调节的区域309的厚度为x。x较佳是介于约300至500之间。根据本发明的较佳实施例,经碳调节的区域309可部分修补由传统沟渠蚀刻或电浆处理所造成的碳消耗的损伤。当依照所述的较佳实施例来沉积碳氢化合物层307时,x的厚度一般是介于约300至500之间。
在含碳的低介电常数介电质的传统处理中,低介电常数表面通常会产生碳的消耗。一般而言,邻近于表面介电质的碳浓度下降至主介电质的碳浓度以下约5%至约10%。然而,在本发明的较佳实施例中,相对于主介电质的碳浓度,富含碳的区域305’的碳浓度下降小于约5%。换言之,传统的制程会从低介电常数介电质消耗表面的碳超过约5%,因而降低表面的碳浓度至小于其原来浓度的约95%。较佳实施例将碳浓度复原至其原来浓度的至少约95%。
较佳是利用温度介于约300℃至约400℃的热处理,来移除任何的CxHy层残余。一般而言,这样的热处理可轻易地整合至另一制程中。举例而言,铜镶嵌制程通常包括氢电浆处理,藉以从超低介电常数介电质移除水气,或者在铜线的缩减中移除氧化铜(CuOx)。这类步骤通常是在足以移除CxHy层残余的情况下进行。在所有的CxHy层残余移除后,可进行传统制程来完成镶嵌结构。
现请参照图7所示,将阻障层116全面性地沉积在图6的中间元件上。阻障层116的厚度较佳是约为10至100之间,且此阻障层116可阻障铜的扩散。阻障层116可包括金属氮化物,例如氮化钽(TaN)、氮化钛(TiN)、氮化钨(WN)、氮化铽(TbN)、氮化钒(VN)、氮化锆(ZrN)、氮化铬(CrN)、碳化钨(WC)、氮化钨(WN)、碳氮化钨(WCN)、氮化铌(NbN)、氮化铝(AlN)及上述材料的组合。在其他实施例中,阻障层116包括钽/氮化钽双层结构。
可利用物理气相沉积(PVD)、化学气相沉积(CVD)、电浆增益化学气相沉积(PECVD)、或电浆增益原子层沉积(PEALD)等技术来形成阻障层116。在较佳实施例中,阻障层116包括氮化钽,且是利用原子层沉积(ALD)方式来沉积阻障层116。
替代的实施例可进一步包括黏着层(未绘示)介于阻障层116与其上方的导体层310之间。黏着层有助于相邻各层之间的附着。此黏着层较佳是包含可与铜及/或下方的阻障层结合的材料。且黏着层的厚度约为10至500之间,较佳是少于约150。黏着层可包括至少一层材料层,此层材料包含由钌(Ru)、钽、钛、钨、钴(Co)、镍(Ni)、铝(Al)、铌、铝铜合金、上述材料的氮化物、及上述材料的组合。
沉积导体层之前,先利用例如物理气相沉积及/或化学气相沉积方式选择性地沉积晶种层(Seed Layer)(未绘示)于黏着层上。物理气相沉积晶种层,其材质较佳为铜,以形成厚度约为400至700的连续层于晶圆的处理表面上,藉以提供连续的导电表面,以利在电化学沉积制程中沉积铜主体。
现请参照图7所示,待阻障层116沉积后,利用传统电化学沉积制程电镀导体层310,以填满沟渠86、双重金属镶嵌的沟渠106、与介层窗104,并形成位于沟渠平面上的上方部分(亦即过度填充),其中导体层310的材质较佳为铜。虽然可使用其他的铜填充方法,例如物理气相沉积法与化学气相沉积法,但由于电镀(电沉积)具有优异的填隙与阶梯覆盖能力,因此较佳是利用电镀来沉积铜。在替代实施例中,导体层310实质上可由铜、铝、金、银、上述材料的混合物、及上述材料的合金化合物。
较佳是运用化学机械研磨(CMP)来平坦化导体层310至图8所示的程度。在另一替代实施例中,电研磨或过载缩减可用来取代化学机械研磨或与化学机械研磨接续使用。在此替代实施例中,可同时进行化学机械研磨与电镀制程。
上述的本发明的实施例仅为示范例并非用以限制本发明的范围,且对于熟习此项技艺者而言,各种变型为显而易见,而包括本发明的特征的这些变型落在本发明的范围与所附申请专利范围中。虽然本发明的实施例及其优点已详细描述如上,然应该了解到的一点是,在不偏离后附申请专利范围所定义的本发明的精神与范围下,当可在此进行各种改变、取代以及修正。
举例而言,熟习此项技艺者将可轻易地了解到在此所描述的许多特征、功能、制程及材料可在本发明的范围内变化。此外,本申请案的范围并非用以将本发明的范围限制在说明书所描述的制程、机械、制造、物质成分、手段、方法以及步骤的特定实施例中。任何在此技术领域中具有通常知识者,将可轻易从本发明的揭露中了解到,现存或日后所发展出的可与上述的对应实施例执行实质相同的功能、或达到实质相同的结果的制程、机械、制造、物质成分、手段、方法或步骤,均可依据本发明来加以应用。因此,所附的申请专利范围是用以将这类制程、机械、制造、物质成分、手段、方法或步骤涵括在其范围内。任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的方法及技术内容作出些许的更动或修饰为等同变化的等效实施例,但是凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

Claims (10)

1、一种半导体元件的制造方法,其特征在于其至少包括以下步骤:
形成一低介电常数介电层;
形成一凹陷特征于该低介电常数介电层中;
形成一碳氢化合物层于该凹陷特征中,其中形成该碳氢化合物层的步骤至少包括化学反应一碳氢化合物前驱物,该碳氢化合物前驱物具有一碳-碳双键;以及
形成一导体层于该凹陷特征中,其中该导体层填满该凹陷特征。
2、根据权利要求1所述的半导体元件的制造方法,其特征在于其中形成该碳氢化合物层于该凹陷特征的步骤包括利用一电浆增益化学气相沉积制程。
3、根据权利要求2所述的半导体元件的制造方法,其特征在于其中所述的电浆增益化学气相沉积制程包括:
设定一基材温度至介于实质125℃至实质350℃之间;
设定一电浆增益化学气相沉积反应器压力至介于实质500mTorr至实质8000mTorr之间;以及
设定一电浆增益化学气相沉积反应器功率至介于实质50W至实质1500W之间。
4、根据权利要求1所述的半导体元件的制造方法,其特征在于其中形成该碳氢化合物层于该凹陷特征内的步骤包括使用一原子层沉积制程。
5、根据权利要求1所述的半导体元件的制造方法,其特征在于其中所述的低介电常数介电层至少包括一材料,且该材料是选自于实质由有机硅玻璃(OSG)、硼磷硅玻璃(BPSG)、硼硅玻璃(BSG)、磷硅玻璃(PSG)、聚芳基酯(polyarylene ether)、氢硅酸盐(HSQ)、含甲基的硅酸盐(MSQ)、聚硅酸盐(polysilsesquioxane)、聚亚酰胺(polyimide)、苯环丁烯(BCB)、聚四氟乙烯(PTFE)、氟硅玻璃(FSG)、多孔性氧化物、多孔性氮化物、制孔剂、及其组合所组成的一族群。
6、根据权利要求1所述的半导体元件的制造方法,其特征在于其中所述的碳氢化合物前驱物至少包括一材料,且该材料是选自于实质由α-松油烯(ATRP)、乙烯以及与通式(CH3)2CHC6H6-CnH2n+1相关的化学物质所组成的一族群。
7、根据权利要求1所述的半导体元件的制造方法,其特征在于其中所述的碳氢化合物层至少包括:
介于实质20至实质95原子百分比的碳;
介于实质5至实质80原子百分比的氢;以及
介于实质0至实质5原子百分比的氧。
8、根据权利要求1所述的半导体元件的制造方法,其特征在于在形成该碳氢化合物层的步骤与形成该导体层的步骤之间,更至少包括将碳自该碳氢化合物层扩散至该低介电常数介电层。
9、一种利用权利要求1所述的方法制成的半导体元件,其特征在于其中所述的低介电常数介电层至少包括:
一主介电区,具有一主碳浓度;以及
一表面介电区位于该主介电区上,其中该表面介电区的一碳浓度低于该主介电区的该主碳浓度不超过实质5%。
10、一种修补半导体元件制程中所造成的低介电常数介电层的损伤的方法,其特征在于其至少包括以下步骤:
利用一电浆增益化学气相沉积反应制程来反应一前驱物质,以沉积一碳氢化合物层于该低介电常数介电层上,其中该碳氢化合物层至少包括:
介于实质20至实质95原子百分比的碳;
介于实质5至实质80原子百分比的氢;以及
介于实质0至实质5原子百分比的氧;
移除邻近于一窄介层窗的一碳氢化合阻碍物;以及
形成一扩散阻障层至少位于该碳氢化合物层上。
CNB2005100770479A 2005-02-01 2005-06-15 半导体元件及其制造方法 Expired - Fee Related CN100403514C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/048,518 2005-02-01
US11/048,518 US7135402B2 (en) 2005-02-01 2005-02-01 Sealing pores of low-k dielectrics using CxHy

Publications (2)

Publication Number Publication Date
CN1815709A true CN1815709A (zh) 2006-08-09
CN100403514C CN100403514C (zh) 2008-07-16

Family

ID=36757146

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100770479A Expired - Fee Related CN100403514C (zh) 2005-02-01 2005-06-15 半导体元件及其制造方法

Country Status (3)

Country Link
US (1) US7135402B2 (zh)
CN (1) CN100403514C (zh)
TW (1) TWI250608B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102315157A (zh) * 2010-08-11 2012-01-11 上海集成电路研发中心有限公司 一种tsv通孔形成方法和tsv通孔修正方法
CN102509705A (zh) * 2011-11-10 2012-06-20 上海华力微电子有限公司 化学机械抛光后损伤的超低介电常数薄膜的修复方法
CN102623395A (zh) * 2012-03-22 2012-08-01 上海华力微电子有限公司 一种低介电常数薄膜表面处理方法
CN102881585A (zh) * 2011-07-12 2013-01-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103135305A (zh) * 2011-11-25 2013-06-05 亚树科技股份有限公司 具有多孔结构的电致变色装置及其制程方法
CN103377989A (zh) * 2012-04-18 2013-10-30 中芯国际集成电路制造(上海)有限公司 大马士革结构的制作方法
CN105390437A (zh) * 2014-08-20 2016-03-09 朗姆研究公司 用于选择性超低k孔密封的可流动电介质
CN110129769A (zh) * 2019-05-17 2019-08-16 江苏菲沃泰纳米科技有限公司 疏水性的低介电常数膜及其制备方法
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10802402B2 (en) 2016-12-15 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
US11904352B2 (en) 2019-05-17 2024-02-20 Jiangsu Favored Nanotechnology Co., Ltd. Low dielectric constant film and preparation method thereof

Families Citing this family (409)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US8277675B2 (en) * 2002-09-30 2012-10-02 Lam Research Corporation Method of damaged low-k dielectric film layer removal
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4540504B2 (ja) * 2005-03-03 2010-09-08 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2006324414A (ja) * 2005-05-18 2006-11-30 Toshiba Corp 半導体装置及びその製造方法
US7335586B2 (en) * 2005-06-10 2008-02-26 Intel Corporation Sealing porous dielectric material using plasma-induced surface polymerization
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
US20070048981A1 (en) * 2005-09-01 2007-03-01 International Business Machines Corporation Method for protecting a semiconductor device from carbon depletion based damage
US8187678B2 (en) * 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US20080124815A1 (en) * 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
JP4413947B2 (ja) * 2007-06-21 2010-02-10 株式会社東芝 半導体装置の製造方法
US7741224B2 (en) * 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8236684B2 (en) 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
WO2010047118A1 (ja) * 2008-10-24 2010-04-29 Necエレクトロニクス株式会社 半導体装置およびその製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5173863B2 (ja) * 2009-01-20 2013-04-03 パナソニック株式会社 半導体装置およびその製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
US7981699B2 (en) * 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
US20110148008A1 (en) * 2009-12-23 2011-06-23 National Cheng Kung University Micro-nano imprint mould and imprinting process
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8441006B2 (en) * 2010-12-23 2013-05-14 Intel Corporation Cyclic carbosilane dielectric films
US9478437B2 (en) * 2011-06-01 2016-10-25 Applied Materials, Inc. Methods for repairing low-k dielectrics using carbon plasma immersion
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8216861B1 (en) * 2011-06-28 2012-07-10 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition
CN102237304A (zh) * 2011-07-05 2011-11-09 复旦大学 一种抑制多孔低介电常数介质吸入水汽的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102332399A (zh) * 2011-09-28 2012-01-25 上海华力微电子有限公司 超低介电材料的化学机械抛光方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102751188B (zh) * 2011-11-10 2015-05-20 上海华力微电子有限公司 超低介电材料的化学机械抛光方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8716150B1 (en) 2013-04-11 2014-05-06 Globalfoundries Inc. Method of forming a low-K dielectric film
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9058980B1 (en) * 2013-12-05 2015-06-16 Applied Materials, Inc. UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102377372B1 (ko) 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
KR102393321B1 (ko) 2015-06-25 2022-04-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190109090A1 (en) * 2017-08-15 2019-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure lined by isolation layer
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10978302B2 (en) * 2017-11-29 2021-04-13 Lam Research Corporation Method of improving deposition induced CD imbalance using spatially selective ashing of carbon based film
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10395980B1 (en) 2018-02-21 2019-08-27 Globalfoundries Inc. Dual airgap structure
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10672710B2 (en) 2018-06-05 2020-06-02 Globalfoundries Inc. Interconnect structures with reduced capacitance
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210138927A (ko) * 2020-05-13 2021-11-22 에스케이하이닉스 주식회사 반도체 장치 제조방법
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271123B1 (en) * 1998-05-29 2001-08-07 Taiwan Semiconductor Manufacturing Company Chemical-mechanical polish method using an undoped silicon glass stop layer for polishing BPSG
US6159786A (en) * 1998-12-14 2000-12-12 Taiwan Semiconductor Manufacturing Company Well-controlled CMP process for DRAM technology
US6248665B1 (en) * 1999-07-06 2001-06-19 Taiwan Semiconductor Manufacturing Company Delamination improvement between Cu and dielectrics for damascene process
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6352921B1 (en) * 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6383935B1 (en) * 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6624066B2 (en) * 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US6616855B1 (en) * 2001-09-27 2003-09-09 Taiwan Semiconductor Manufacturing Company Process to reduce surface roughness of low K damascene
US6723635B1 (en) * 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7442756B2 (en) * 2002-06-20 2008-10-28 Infineon Technologies Ag Polymer for sealing porous materials during chip production
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US20040121583A1 (en) * 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
US6787453B2 (en) * 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7259090B2 (en) * 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7015150B2 (en) * 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
US7327033B2 (en) * 2004-08-05 2008-02-05 International Business Machines Corporation Copper alloy via bottom liner

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102315157A (zh) * 2010-08-11 2012-01-11 上海集成电路研发中心有限公司 一种tsv通孔形成方法和tsv通孔修正方法
CN102881585A (zh) * 2011-07-12 2013-01-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102509705A (zh) * 2011-11-10 2012-06-20 上海华力微电子有限公司 化学机械抛光后损伤的超低介电常数薄膜的修复方法
CN103135305A (zh) * 2011-11-25 2013-06-05 亚树科技股份有限公司 具有多孔结构的电致变色装置及其制程方法
CN102623395A (zh) * 2012-03-22 2012-08-01 上海华力微电子有限公司 一种低介电常数薄膜表面处理方法
CN103377989A (zh) * 2012-04-18 2013-10-30 中芯国际集成电路制造(上海)有限公司 大马士革结构的制作方法
CN103377989B (zh) * 2012-04-18 2015-08-05 中芯国际集成电路制造(上海)有限公司 大马士革结构的制作方法
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
CN105390437A (zh) * 2014-08-20 2016-03-09 朗姆研究公司 用于选择性超低k孔密封的可流动电介质
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric
US10802402B2 (en) 2016-12-15 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
TWI714766B (zh) * 2016-12-15 2021-01-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
CN110129769A (zh) * 2019-05-17 2019-08-16 江苏菲沃泰纳米科技有限公司 疏水性的低介电常数膜及其制备方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
US11904352B2 (en) 2019-05-17 2024-02-20 Jiangsu Favored Nanotechnology Co., Ltd. Low dielectric constant film and preparation method thereof

Also Published As

Publication number Publication date
CN100403514C (zh) 2008-07-16
US20060172531A1 (en) 2006-08-03
TWI250608B (en) 2006-03-01
TW200629467A (en) 2006-08-16
US7135402B2 (en) 2006-11-14

Similar Documents

Publication Publication Date Title
CN100403514C (zh) 半导体元件及其制造方法
CN100369233C (zh) 具有低介电常数介电层的半导体元件的制造方法
KR102087183B1 (ko) 상호접속 구조체 및 방법
US7094669B2 (en) Structure and method of liner air gap formation
CN105336680B (zh) 一种半导体器件及其制作方法和电子装置
CN1783478A (zh) 改善电子迁移的半导体元件与半导体元件的形成方法
US8129269B1 (en) Method of improving mechanical properties of semiconductor interconnects with nanoparticles
CN1933153A (zh) 半导体元件及制造镶嵌结构中的金属绝缘金属电容的方法
TW200849386A (en) A first inter-layer dielectric stack for non-volatile memory
KR20070021191A (ko) 에어 갭을 갖는 반도체 장치를 형성하는 방법 및 이에 의해형성된 구조물
CN1773690A (zh) 半导体结构及其制造方法
US9698095B2 (en) Interconnect structure
US9177918B2 (en) Apparatus and methods for low k dielectric layers
US20070249164A1 (en) Method of fabricating an interconnect structure
JP2008103586A (ja) 半導体装置の製造方法および半導体装置
CN103066014A (zh) 一种铜/空气隙的制备方法
JP5671220B2 (ja) 半導体装置の製造方法
KR20080030954A (ko) 반도체 장치의 제조 방법
JP4223012B2 (ja) 絶縁膜の形成方法、多層構造の形成方法および半導体装置の製造方法
US20140264870A1 (en) Method of back-end-of-line (beol) fabrication, and devices formed by the method
CN104733373A (zh) 一种半导体器件的制造方法
CN104658967A (zh) 一种半导体器件及其制造方法
CN110838466B (zh) 半导体器件和形成半导体器件的方法
CN104733372B (zh) 一种半导体器件的制造方法
CN104134612B (zh) 一种修复超低介质常数薄膜侧壁损伤的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080716

CF01 Termination of patent right due to non-payment of annual fee