TWI250608B - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
TWI250608B
TWI250608B TW094116606A TW94116606A TWI250608B TW I250608 B TWI250608 B TW I250608B TW 094116606 A TW094116606 A TW 094116606A TW 94116606 A TW94116606 A TW 94116606A TW I250608 B TWI250608 B TW I250608B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
semiconductor device
low
carbon
Prior art date
Application number
TW094116606A
Other languages
English (en)
Other versions
TW200629467A (en
Inventor
Keng-Chu Lin
Shwang-Ming Cheng
Ming-Ling Yeh
Tien-I Bao
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Application granted granted Critical
Publication of TWI250608B publication Critical patent/TWI250608B/zh
Publication of TW200629467A publication Critical patent/TW200629467A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1250608 九、發明說明 【交互參照之相關申請案】 本申請案係有關於同申請人、同樣申請中且與本案一起 申請之專利申請案編號第11/G48,215號“銅/低介電常數内
連線之 CxHy 犧牲層(cxHy Sacrificial Layer for Cu/Low-K
Interconnects)” 。本申請案亦有關於西元2〇〇4年i 1月J 〇 日申請的同申請人、同樣"中之專利申請案編號第 (TSM04_0369) “鑲叙結構之擴散阻障層 (Diffimon Barrier for Damascene 此⑽咖广。在此將這些 同申請人且同樣申請中之申請案一併列入參考。 一 【發明所屬之技術領域】 本發明是有關於一種半導體元件之製造,且特別是有關 於一種多孔性、低介電常數介電質之製造與製程。 【先前技術】 隨著半導體元件之密度的增加,以及電路構件之尺寸的 縮減,電阻電容(RC)延遲時間對電路性能的影響日益提升 為了降低電阻電容延遲’需將傳統介電質轉換成低;電常數 介電質。卩ϋ些低介電常數介電材料作^金屬介電質 (刪)以及内層介電f(ILD),特別有其效用。然巾,低介電 常數材料在製程期間,特別在製作内連線之導電材料的 期間’會引發一些問題。 一般利用高能量之電漿蝕刻製程來圖案化並蝕刻導電 1250608 材料。由於低介電常數材料較軟、化學穩 孔性、或上述因素的任意組合,因此低介電-。 受電襞触刻損害。t漿損害本身可產生 車又“ α
之崩潰電壓、並造成低介電常 ^電抓、較低 變。 〶数;丨電材枓之介電常數的改 -種低介電常數材料的例子為摻雜碳 矽玻璃(Organ〇silicate Glass ; 〇Sf^。古拖 有械 ) 有钱秒玻璃薄膜诵當 至少包括SiwCxOyHz,J:中四僧之切叮目士 存膜通吊 接& & ,、中四知之矽可具有種種有機族群之 替代物。-種常用之替代物產生甲基石夕酸鹽
Use — ane ; MSQ),其中甲基產生石夕甲燒(训叫鍵來取 ^石夕氧鍵。在光阻移除步驟中,#低介電常數介電質暴露在 处理電漿下時’電漿損害可能使得甲基由氳氧基所取代,因 而形成矽氫氧基(Silane^。 …丨、目前已察覺到有機矽玻璃材料之表面上的矽氫氧基鍵 曰劣化低,丨電吊’數介電薄膜之完整性。由於⑪氫氧基的存在 斤導致之種劣化之類型為低介電常數介電材料之介電常 =的增加。此外,亦察覺到受損之有機矽玻璃材料會吸收水 、、更進步,已察覺到劣化之低介電常數介電材料在暴露 於=式化學清洗期間,很難抵抗化學物之攻擊,如此將導致 低介電常數介電膜絕緣結構之關鍵尺寸(CD)產生相當嚴重 之損失。 另一種低介電常數材料的例子為多孔性介電質,例如上 用之陶氏化學(D〇w Chemical)的多孔性SILK產品與曰本合 橡知月又伤有限公司(JSR Corporation)之商品JSR 5 1 09。多 1250608 錄材料之介電常數為空氣 常數的組合。I例而▲ A …致在材科之介電 猜灿與斯〇geIs,=邦lhca)類之多孔隙性材料 衫n 在其孔洞或空隙中包含有大量之* 軋,因而可在孔洞小至5 工 於U5。 5細至1〇nm之間時,使介電常數低 ^如时碳介電質,多孔性介電f亦容 中所使用之電浆敍刻與灰化製程的損害。當介電質中= 可進入表面孔洞,因…=膜-屬化過程中的處理流體 增加。孔洞損傷亦可二/面, 性。 仏成表面從較佳之疏水性變成親水 置在1= 上示鑲介嵌:?之剖面示意圖。介電層12已設 填入後,介層窗孔!:二 溝渠Η向下延伸。當銅 連接兩導線層。由於銅之高擴散性與 在夕…再結合中心的趨勢,步驟進行時必須確件所有的 導入,此阻障中/#傳統上’大都藉助於阻障層18的 如第2圖所示襯在溝渠15與介層窗孔14之側壁上, …=上述,化學物質可能會穿透並進入低介電常數介電 貝 5進而導致其介電常數提升。低介電常數介電質之 損=成溝渠地面21與溝渠側壁23之表面粗化,如第^ 圖兵弟J所不。較為粗糙之表面意味著阻障層18之厚度 :权正::度大’如第2圖所示,以確保無薄貼片讓銅移動 牙過車乂厚之Ρ且障層1 8將導致電阻電容延遲時間的增加而 1250608 相對地抵鎖掉低介電常數介電質之優勢。 如同上述,介電質損 潰電璧、以及低介雷曰較南之漏電流、較低之崩 於這肚盥i #門m ;ί逼吊數的改變。有鑑 的方法。 低W電吊數介電質製造 【發明内容】 本發明之目的就是在提供-種修補低介電常數介電質 之孔洞損傷或碳耗指,Α中與由m ,屯昂數"電貝 可解、…… 用本發明之較佳實施例, 了解决或防止上述或其他問題,並具有技術優勢。 本發明之一較佳實施例中,提一 造方法。此方法至少包括开H 種+導體元件之製 ^ G括形成低一介電常數介電層、形成一 凹陷特徵於低介電常數介電声中 ^ L , ㊉数"冤層中以及形成一碳氫化合物層 於上述之凹陷特徵内,其中形成碳氫化合物層之步驟至少包 括使具有碳-碳雙鍵之碳氫化合物前驅物產生化學反應。此 方法更包括形成一導電層於上述之凹陷特徵中,其中此導電 層f滿而溢出凹陷特徵。在較佳實施例中,前述之碳氫化合 物前驅物至少包括α_松油烯(alpha_terpinene ; ATRp广乙烯 (C^4)以及與通式為⑷相關之化學物 質。 替代之方式包括一種具有多孔性低介電常數介電層之 半導體元件的製造方法。此方法至少包括:形成一凹陷特徵 於多孔性低介電常數介電質層中,其中形成此凹陷特徵之步 驟包括利用一電漿製程;以及形成一碳氫化合物層於多孔性 1250608 ^勺電泰數;丨電夤層上,其中形成此碳氯化合物層之步驟至 、吏八有奴-奴雙鍵之碳氫化合物前驅物產生化學反 :八戈之μ轭例更包括將碳從碳氫化合物層擴散至多孔性 低’I電常數介電質層。 人 八他κ鉍例中,提供了一種在半導體製程中受損之低 數”層的修補方法。此方法至少包括··藉由利用電 曰凰化予孔相》儿積反應製程來反應前驅材料,以沉積-碳 二二物層於低介電常數介電層上,其中碳氫化合物層至少 ^ 於約20至約95原子百分比的碳、介於約5至約80 分比的氫、以及介於約0至約5原子百分比的氧。替 Si施例至少包括移除鄰近於窄介層窗開口之碳氫化合 以及形成一擴散阻障層至少位於碳氫化合物層上。 豆中Hi之另一些其他實施例中,包括-種半導體元件, 件係利用本發明所提供之實施例並進一步 此半導』之介電質孔洞所製成。在其他實施例中, 及一 包括·—主介電區,具有-主碳濃度;以 "電區位於主介電區上,其中此表面介電區之碳濃 度大於或等於主碳濃度之約95%。在又一… 中,卜汗+你人;丄 社 些其他貫施例 介電^上V面八 '數介電層至少包括一表面介電區位於主 J 表面介電區之碳濃度低於主碳濃度不超過約5 =的一點是’雖然在通篇專利說明書與申請專利 粑圍中使用專門術語之半導體材料層,利用 = 所產生之特徵不應永遠視為連續或不間斷之特徵。藉由 1250608 可分成不同且隔離之特 至少包括此半導體的一 本說明書之内容,將清楚此半導體層 徵(例如主動區),其中之某些或全部 部分。 以下將描述本發明之實施例的附加特徵與優點,這 加特徵與優點構成了本發明之中請專利範圍的課題。熟習 項技藝者應可了解到其可㈣地以所揭露之特施 基礎’來修正或設計其他結構或製程,以實現本發明= 的。熟習此項技藝者亦應可了解到,所描述之示範實施例中 ^類的等效架構與變化並不脫離如後时請專利範圍中所 提出的本發明之精神與範圍。 【實施方式] 以下將詳細討論本較佳實施例之操作與製造。然而,在 此所=之實施例與例子並非本發明僅有之應用或運用。在此 寸之特疋貝施例僅係製作或使用本發明之特定方式的 牛例虎明,並非用以限制本發明或後附申請專利範圍的 圍。 本發明是有關於一種半導體元件之製造,且特別是有關 於種多孔性、低介電常數介電質之製造與製程。這些低介 電韦數;|電層可包括許多薄膜或許多層,但實施例並不限於 itb能 二心。以下將以特定内容,即在鑲嵌製程中銅導線與介 曰固之製作’的較佳實施例來描述本發明。可相信的一點 疋 ▲本發明之實施例應用在此製程中時,將具有明顯優 勢更可相信的一點是,當本發明之實施例運用在其他關注 10 1250608 低介電常數介電層之性能的半導體製作應用上,亦具有其優 勢。更可相信的一點是,在此所描述之實施例將有利於其他 未特別提及之積體電路内連線的應用。因此,在此所討論之 特定實施例僅係用以說明製造與應用本發明之特定方法,並 非用以限制本發明之範圍。 見明 > 苐3圖,其係緣示欲依照本發明之較佳實施例 與示範鑲嵌製程來進行處理的—财間代表性之鑲喪結構 的剖面圖。第3圖所示係半導體之基材3(H,此基材3〇1可 例如至乂包括矽、絕緣層上有矽(s〇I)、功能性與邏輯元件、 其他内連線層、或其組合。以下為描述本發明之實施例的目 的,基材301至少包括内層介電層(ILD)以及導電内連線。 鑲嵌製程的詳細說明,Ba〇等人已在美國專利案編號第 6,248,665號以及美國專利申請案公開編號第2〇〇4/〇i2i583 號中加以描述,在此一併列入參考。 咕再次參照第3圖,第一蝕刻終止層3〇3位於基材3〇 i 上。低介電常數介電層305位於第一蝕刻終止層3〇3上,其 中此低電常數介電層3〇5亦稱為内金屬介電層(imd)、内 層介電層(ILD)或介電層。合適之低介電常數介電質包括摻 雜奴之一氧化石夕’亦稱為有機矽玻璃(〇rgan〇 siiicate Glass ’ OSG)以及碳氧化物。低介電常數介電層3〇5之較佳 厚度介於約5000A至約9000人之間,且較佳係經平坦化。 一、範之有枝低,丨電吊數材料包括聚芳基酉旨 ether)、氫矽酸鹽(hydr〇gen silsesqui〇x_ ; hsq)、含曱基 之石夕酸鹽(methyl siisesqui〇xane ; mSQ)、聚矽酸鹽 1250608 (polysilsesquioxane)、聚亞醯胺(p〇iyimide)、苯環丁烯 ‘ (benzocyclobutene ; BCB)、以及非晶系聚四氟乙烯 ' (amorphous polytetrafluoroethylene ; PTFE)(通常又稱鐵氟 龍,Teflon® )。適合本發明之方法的其他類型之低介電常 數材料包括氟矽玻璃(Fluorinated Silicate Glass ; FSG),例 如摻雜氟之一甲基石夕酸鹽[fluorine-doped -(0-Si(CH3)2-0)-] ’ 以及棚填石夕玻璃(B〇r〇phosphosilicate 鲁 Glass ·’ BPSG)、侧石夕玻璃(Borosilicate Glass ; BSG)、與碟 石夕玻璃(Phosphosilicate Glass ; PSG)。 低介電常數介電層305亦可包括一種低介電常數介電 質,此種低介電常數介電質通常稱為超低介電常數(Extreme Low-k ; ELK)介電質。超低介電常數介電質一般具有低於約 2之介電常數,且這些超低介電常數介電質包括多孔性之介 電質。合適之超低介電常數介電材料可包括氮化矽、氧化 矽、旋塗玻璃(SOG)、電漿增益(PE)之四乙氧基矽曱烷 ⑩ (Tetraethoxysilane ; TE0S)、_化之氧化矽、以及氟矽玻璃。 其他更佳之超低介電常數介電質包括含有未反應且孔 洞生成之材料、或製孔劑(por〇gen)。將製孔劑加熱至高於 其分解溫度,而在介電質中形成孔洞。舉例而言,陶氏化學 (Dow Chemical)之多孔性SILK產品與日本合成橡膠股份有 限公司(JSR Corporation)之商品JSR 51〇9為合適之商用低 介電常數前驅物,其中這些低介電常數前驅物係利用有機母 體材料(Organic Host Material)。在較佳實施例中,低介電 常數介電質至少包括希普勵(Shipley)公司所提供之商用 12 1250608 /ON M低介電常數内層介電質。ZIRKON™低介電常數内 層介電質係一種以含曱基之矽酸鹽(MSQ)為基礎材料與散 佈在溶劑丙二醇單甲基醚酯(PGMEA)中之丙烯酸 高分子聚合物系統之奈米微粒製孔劑之混合。另 種替代之較佳超低介電常數包括電漿增益化學氣相沉積
Slw〇xCyHz ’因為不論其有或沒有製孔劑,均具有達成^ < 2的可能性。
,#乂佺係利用傳統之旋轉塗佈機來沉積低介 s系數内層’丨冑負。待沉積後,較佳在垂直爐管巾進行部分 之t復以交互連結母體,其中溫度介於約25至3⑽。◦之 間。ZIRKON™低介電常數内層介電f之製孔劑的衰減開始 於約275 C,且完全衰減發生在約45〇它。 請參照第4圖’其係繪示第3圖之中間半導體元件經進 步开y成非等向性蝕刻之中間雙重金屬鑲嵌結構85後的側 :剖面圖。在此中間之雙重金屬鑲嵌結構85中,係由介層 窗104與上方之溝渠1〇6所構成之凹陷特徵。 製作第—雙重金屬鑲嵌結構85時,係先利用微影圖案 化以及非等向性蝕刻,來形成介層窗1〇4穿過低介電常數介 電層305、以及至少一部分之第一蝕刻終止層3〇3。接下來, 利用相似之製程來進行微影圖案化與非等向録刻,以形成 溝渠106穿過第一蝕刻終止層3〇3、以及部分之低介電常數 介電層305。這些步驟形成溝渠1〇6位於且圍繞在介層窗… 之上方。可了解的一點是,溝渠1〇6可包圍一或多個介層窗 104’溝渠106與介層窗104可形成於不同之堆疊介電層中, 13 1250608 =:二同之堆疊介電層之間形成有另,刻終止 二士:二“吊數介電層3G5之表面可包括其他凹陷之特徵, 例如溝朱86’以容納更多内層導電層。 2應用在鑲嵌製造方法中之電㈣刻會傷及低介電 吊數;丨電質。在第人 a中低"電常數介電層305之損傷由
八;a V面可看出。值得注意的—點是,這樣的損傷延伸至溝 ,86與溝渠106 t側壁以及介層冑104之側壁。如以上所 提广知可包括表面粗糙、受損之孔洞、打開之表面孔洞以 及石厌耗扣…般而言’溝渠受損之狀況較介層窗嚴重。 、見了參妝第5圖,並根據較佳實施例,較佳係利用電漿 增盈化學氣相沉積方式來使碳氫化合物之前驅物產生化學 反應,藉以沉積碳氫化合物層307,其中此碳氫化合物層307 至 >、包括CxHy。合適之碳氫化合物前驅物包括具有充分之 揮發性的化學物質,如此一來,這些化學物質可在反應容器 中形成蒸氣。較佳之前驅物為經取代之己烷衍生物α_松油 細(Substituted Hexane Derivative a -terpinene ; ATRP)[(CH3)2CHC6H6CH3]或乙烯(c2H4)。替代之前驅物包括 α-松油烯同源物(α _terpinene Anai〇gs),其中α甲基由直鏈 烧基’即與通式(CH3)2CHC6H6-CnH2n+1相關之化學物質,所 取代。另一些替代之前驅物包括任何其他碳氫化合物,較佳 是具有碳-碳雙鍵之碳氫化合物。在溝渠深度約2000A時, 碳氫化合物層307之厚度通常約介於約40A至約50A之間。 電漿增益化學氣相沉積製程較佳係包括惰性載氣,例如 氦氣。氦氣之流量可介於約25sccm至約lOOOOsccm之間, 1250608 車乂 it係”於約5〇sccm至約5〇〇〇sccm之間。基材之溫度介 於約25°c至約400°C,且較佳係介於約125°c至約35〇。〇之 間。射頻功率密度介於約50W至約2500W,且較佳係介於 約50W至約1500W。在沉積製程中,反應器壓力介於約 lOOmTon:至約1G()()()mT()]:r之間,較佳係介於約⑽^ 至約80〇〇mTorr之間。 根據本發明,碳氫化合物層3〇7較佳係至少包括:介於
、、、勺2〇至約95原子百分比的碳;介於約0至約5原子百分比 =氧;以及介於約5至㉚80原子百分比的氣。在薄膜之沉 * 1私,月間,文控制之主要製程變量為射頻功率、前驅物流 率、反應器壓力以及基材温度。 在某些情況下,碳氫化合物層3〇7之建立可部分阻隔窄 凹fe特试,例如介層窗1〇4,的開啟。除非移除阻礙,否則 將會妨礙導體沉積於介層窗⑽中,因而導致元件之性質下 :’例如電阻電容延遲。因此’本發明之實施例可進一步包 ::啟之介層窗104進行金屬形成前之清潔步驟。此金屬 〜則之清潔步驟包括在約25°c至35G°C下進行小於約1 刀鐘之氬/氫或氫電漿清潔處理。 沉積石”—替代實施例中’係利用原子層沉積(則)方式身 牲%厌^化合物層3〇7。在沉積碳氫化合物層307於窄凹Η 積:’例如介層窗1〇4,之側壁上時,較佳係採用原子層沒 π, 曰^有回度之共形特性,而不會阻隔窄南 且對乍特徵具有良好之穿透性。
同Χ上所4,在傳統製程中,—種低介電常數介電I 15 I25〇6〇8 經卢审系使低;l電$數介電層表面粗化。中請人發現傳統上 均方過之;1電貝層在溝渠1G6底部的平均表面粗化值之 補牛驟:13·89Α。在至少包括Cxiiy層之沉積的孔洞密封修 步驟後,溝準翻^卜 t .1§ . 算木粗化之方均根值降至&73A。分析後之結果 二’碳耗損受損層可藉由CxH〆覆蓋而適當地恢復其 兔含量的程度。 車又佳貫施例之結果繪示於第6圖中。低介電常數介電層 之平順表面代表具有經封住之孔洞的修補表面。 據本&月之佳貫施例’碳自碳氫化合物層術擴散 :介電常數介電層305中,因而在低介電常數介電層3〇5 面上形成虽含碳之區域3()5,。為方便起見,在低介電 吊數介電層305中之碳分布’在主介電區内稱為主碳程度, 人。表面"電區則稱為甬含碳之程度。虛線以距低介電常數 "電層305之表面x的距離畫過低介電常數介電層如,而 圖不出低介電常數介電層3〇5中的富含碳之區域3〇5,。 〜此一擴散過程形成經碳調節之區域3〇9鄰近於低介電 吊數介電層305之溝渠側壁’其中經碳調節之區域3〇9的厚 度為:。“交佳係介於約3〇〇人至5〇〇A之間。根據本發明之 較佳實施例’經碳調節之區域3〇9可部分修補由傳統溝渠蝕 刻或電漿處理所造成之碳消耗的損傷。當依照所述之較佳實 施例來沉積碳氫化合物4 3G7時,χ之厚度一般係介於約 300Α至500Α之間。 在含碳之低介電常數介電質的傳統處理中,低介電常數 表面通常會產生碳的消耗一般而言,鄰近於表面介電質之 16 1250608 厌辰度下降至主介電質之碳濃度以下約5%至約⑽。然 :人在山本發明之較佳實施例中,相對於主介電質之碳濃度, 田:厌之區域3〇5’的碳濃度下降小於約5%。換言之,傳統 程會從低介電常數介電質消耗表面之碳超過約5%,因 而降低表面之碳濃度至小於其原來濃度㈣㈣。較佳實 施例將碳濃度復原至其原來濃度之至少約95% 。 較佳係利用溫度介於約3〇(rc至約4〇〇t:2熱處理,來 移除任何之CxHy層殘餘。—般而言,這樣的熱處理可輕易 =整合至另一製程中。舉例而言,銅鑲嵌製程通常包括氫電 浆處理’藉以從超低介電常數介電質移除水氣,或者在銅線 之縮減中移除氧化鋼(Cu〇x)。這類步驟通常係在足以移除 CxHy層殘餘的情況下進行。在所有之c而層殘餘移除後, 可進行傳統製程來完成鑲嵌結構。 現請參照第7圖,將阻障^ 116全面性地沉積在第6 °中]元件上。阻卩早層116之厚度較佳係約為1 〇A至1 〇〇A 之間且此阻障層116可阻障銅的擴散。阻障層i丨6可包括 金屬氮化物,例如氮化鈕(TaN)、氮化鈦(TiN)、氮化鎢(WN)、 氮化铽(TbN)、氮化釩(VN)、氮化鍅(ZrN)、氮化鉻(CrN)、 碳化鎢(wc)、氮化鎢(WN)、碳氮化鎢(WCN)、氮化鈮(NbN)、 氮化鋁(A1N)及上述材料之組合。在其他實施例中,阻障層 11 6包括鈕/氮化鈕雙層結構。 可利用物理氣相沉積(PVD)、化學氣相沉積(CVD)、電 漿增益化學氣相沉積(PECVD)、或電漿增益原子層沉積 (PE ALD) 4技術來形成阻障層丨丨6。在較佳實施例中,阻障 !25〇6〇8 層116包括氮化* ’且係利用原子層 阻障層11 ό。 〃刀八木/儿檟 替代之實施例可進—+ a h 層m與其上方之導體層二::層二未:示)介:阻障 之間的附著。此黏著層較佳係可:曰:;:#各層 佳係少於約_。:著 == 為 料包含由·)、组:、:包括:广層材料層 ㈠鋼合金、上述材料之氮化:(=_)、_)、 一、, 十芡虱化物、及上述材料之組合。 ’儿積導體層之别’先利用例如物理 式選擇性地沉積晶種層__)(未二: 至700Α之連續層於晶圓之處理表面Π 體。-〜之導電表面’以利在電化學沉積製程中沉積鋼主 學、、冗ΓΐΓ照第7圖’待轉層116沉積後,利用傳統電化 =製程電鍍導體層31。,以填滿溝渠86、雙重金屬鑲嵌 ’厂106、與介層窗104,並形成位於溝渠平 :::::二度填充),其中導體層™
相、沉積::、:=真:方法’例如物理氣相沉積W 能力,因此較佳係具有優異之填隙與階梯覆蓋 體層…實;1= 積銅。在#代實施例中,導 及上述材料之合金化合物。 肖〜合物、 18 1250608 較佳係運用化學機械研磨(CMP)來平坦化導體層3i〇至 第8圖所示之程度。在另-替代實施例中,電研磨或日過載縮 減可用來取代化學機械研磨或與化學機械 此替代實施例中,可同時進行化學機械研磨與二在 上述之本發明之實施例僅為示範例並非用以限制本發 :之範圍,且對於熟習此項技藝者而言,各種變型為顯而易 見’而包括本發明之特徵之這些變型落在本發明之範圍盥所 附申睛專利範圍中。雖然、本發明之實施例及其優點已詳細描 =如然應該了解到的—點是’在不偏離后附中請專利範 所U之本發明的精神與範圍了 ’當可在此進行各種改 變、取代以及修正。 而:,此項技藝者將可輕易地了解到在此所描 ;、言夕特试功此、製程及材料可在本發明之範圍内變 明^卜’本申請案之範圍並非用以將本發明之範圍限制在 所描述之製程、機械、製造、物質成分、手段、方法 2 Y驟的特定實施例中。任何在此技術領域中具有通常知 :將可輕易從本發明之揭露中了解到,現存或日後所發 實之可與上述之對應實施例執行實質相同之功能'或達到 之結果的製程、機械'製造、物質成分、手段、方 ^广’均可依據本發明來加以應用。因此,所附之申請 方法::係用以將這類製程、機械、製造、物質成分、手段、 去或步驟涵括在其範圍内。 圖式簡單說明 19 1250608 為了更完整了解本發明及其優點,請參照上述輔以所附 圖示所作之說明。其令,所附之圖示包括: 第1圖與第2圖係繪示半導#; > 士 y由Μ β山& 亍¥體το件在傳統鑲嵌製程中之 中間步驟的剖面圖,其中圖示屮柄 口丁出低介電常數介電質表面的損 傷。 第3圖係繪示依照本發明一 一 &仏實施例的一種半導體 元件在示範鑲嵌製程中之中間步 一 』ν驟的剖面圖,其中此半導體 元件包括含碳及/或多孔性介電質。 第4圖係繪示本發明一較佳 一 平乂住只施例之剖面圖,其中進一 步圖示出電漿及/或餘刻製程之損傷。 第5圖係繪示本發明一較每 Ψ ^ ^ ^ 1土灵^例之剖面圖,其中圖示 出石厌虱修補層的沉積。 第6圖係繪示本發明一較 山αv 只施例之剖面圖,其中圖示 出經修補之低介電常數介電層。 口 第7圖係繪示本發明一較佳 出尚未妳化風嬙# ^ 之剖面圖,其中圖示 出予機械研磨平坦化之前的結構。 第8圖係繪示本發明—較 屮經化學機妯m命τ 只她例之剖面圖,其中圖示 出、,工化予钱械研磨平坦化後之 數介電層。 構以及昌含碳之低介電常 在不同目$巾之相對應圖號 之部分,除非另有彳t # m - r ' 般係表示相對應 乃坷払疋。圖不係繪示成 … 例之相關方面’而無需依比例繪示。-是圖例較佳貫細 主要元件符號說明 20 1250608 11 :導線層 14 :介層窗孔 1 8 :阻障層 23 :溝渠側壁 86 :溝渠 106 :溝渠 301 :基材 305 :低介電常數介電層 307 :碳氫化合物層 12 :介電層 15 :溝渠 2 1 ··溝渠地面 85 :雙重金屬鑲嵌結構 104 :介層窗 11 6 :阻障層 3 03 :第一蝕刻終止層 3 05’ :富含碳之區域 310 :導體層
21

Claims (1)

1250608 十、申請專利範圍 1· 一種半導體元件之製造方法,至少包括: 形成一低介電常數介電層; 形成一 ^特徵於該低介電常數介電層中; 形成一灰氫化合物層於該凹 人仏> η 特破中,其中形成該碳 氫化合物層之步驟至少包括化學 山斤 »山# 入 反應一石反氫化合物前驅 物,该妷虱化合物前驅物具有一碳-碳雙鍵;以及 形成-導體層於該凹陷特徵中,其中該導體層填滿該 凹陷特徵。 2.如申睛專利範圍第丨項所述之半導體元件之製造 方法,其中形成該碳氫化合物層於該凹陷特徵之步驟包括 利用一電漿增益化學氣相沉積製程。 3 ·如申請專利範圍第2項所述之半導體元件之製造 • 方法,其中該電漿增益化學氣相沉積製程包括·· 設定一基材溫度至介於實質125 °C至實質350 °C之 • 間; 設定一電漿增益化學氣相沉積反應器壓力至介於實 質500mTorr至實質8000mTorr之間;以及 設定一電漿增益化學氣相沉積反應器功率至介於實 質50W至實質1500W之間。 22 1250608 4 ·如申請專利範圍第1項所述之半導體元件之製造 方法,其中形成該破氫化合物層於該凹陷特徵内之步驟包 括使用一原子層沉積製程。 5 ·如申請專利範圍第1項所述之半導體元件之製造 方法,其中該低介電常數介電層至少包括一材料,且該材 料係選自於實質由有機石夕玻璃(OSG)、侧填石夕玻璃 (BPSG)、硼矽玻璃(BSG)、磷矽玻璃(PSG)、聚芳基酯 (polyarylene ether)、氫矽酸鹽(HSQ)、含甲基之矽酸鹽 (MSQ)、聚石夕酸鹽(p〇lySilseSqUi〇xane)、聚亞醯胺 (polyimide)、苯環丁烯(BCB)、聚四氟乙烯(PTFE)、氟矽 玻璃(FSG)、多孔性氧化物、多孔性氮化物、製孔劑、及 其組合所組成之一族群。 6·如申請專利範圍第1項所述之半導體元件之製造 方法,其中該碳氫化合物前驅物至少包括一材料,且該材 料係選自於實質由α-松油烯(ATRP)、乙烯以及與通式 (CH3)2CHC6H6-CnH2n + 1相關之化學物質所組成之一族群。 7 ·如申請專利範圍第1項所述之半導體元件之製造 方法,其中該碳氫化合物層至少包括: 介於實質20至實質95原子百分比的碳; 介於實質5至實質80原子百分比的氫;以及 介於實質0至實質5原子百分比的氧。 23 1250608 :生-種利用申請專利_ 1項之方法製造之半導 體兀件,其中該低介電常數介電層至少包括·· 一主介電區,具有一主碳濃度;以及 一表面介電區位㈣主介電區上,其中該表面介電區 之-碳濃度低於該主介電區之該主碳濃度不超過實質5 9. 一種具有多孔性之低介電常數介電層之半導體元 件的製造方法,至少包括: 形成一凹陷特徵於該多孔性之低介電常數介電層 中,其中形成該凹陷特徵之步驟包括利用一電漿製程; 形成一碳氫化合物層於該多孔性之低介電常數介電 層上,其中形成該碳氫化合物層之步驟至少包括化學反應 石厌氫化合物前驅物,該碳氫化合物前驅物具有一碳_碳 雙鍵;以及 將碳自該碳氫化合物層擴散至該多孔性之·低介電常 數介電層。 10. 如申請專利範圍第9項所述之具有多孔性之低介 電常數介電層之半導體元件的製造方法,其中該碳氫化合 物前驅物至少包括一材料,且該材料係選自於實質由I 松油烯(ATRP)、乙烯、與通式相關 之化學物質、及其組合所組成之一族群。 24 1250608 11.如申請專利範圍第9 + #批人+㈡ 貝所述之具有多孔性之低介 電吊數”電層之半導體元件的 &人ρ I化方法,其中形成該碳氫 化合物層之步驟包括使用一 辽 使用原子層沉積製程。
12·如申請專利範圍第 電常數介電層之半導體元件 物層至少包括: 9項所述之具有多孔性之低介 的製造方法,其中該碳氫化合 介於實質20至實質95原子百分比的碳; 介於實質5至實質80原子百分比的氳;以及 介於實質0至實質5原子百分比的氧。 13.如申清專利範圍第12項所述之具有多孔性之低 介電常數介電層之半導體元件的製造方法,其中該碳氫化 合物層實質包含: 介於實質2〇至實質95原子百分比的碳; 介於實質5至實質80原子百分比的氫;以及 介於實質〇至實質5原子百分比的氧。 14.如申請專利範圍第9項所述之具有多孔性之低介 電常數介電層之半導體元件的製造方法,其中該多孔性之 低介電常數介電層至少包括一材料,且該材料係選自於實 質由有機矽玻璃(OSG)、硼磷矽玻璃(BPSG)、硼矽玻璃 (BSG)、石粦石夕玻璃(PSG)、聚芳基酯(polyarylene ether)、 25 1250608 氫矽酸鹽(HSQ)、含甲基之矽酸鹽(MSQ)、聚石夕酸鹽 (polysilsesquioxane)、聚亞醯胺(p〇lyimide)、苯環丁烯 一 (BCB)、聚四氟乙烯(PTFE)、氟矽玻璃(FSG)、多孔性氧 化物、多孔性氮化物、製孔劑、及其組合所組成之一族群。 15· —種利用申請專利範圍第9項之方法製造之半導 體元件,其中該半導體元件更至少包括: φ 一主介電區,具有一主碳濃度;以及 一表面介電區位於該主介電區上,其中該表面介電區 之一碳濃度大於或等於該主碳濃度之實質95% 。 16· —種利用申請專利範圍第9項之方法製造之半導 體元件,其中該半導體元件更至少包括: 一孔洞,為該碳氫化合物層所封住,其中該碳氫化合 物層實質上係由介於實質2〇至實質95原子百分比的碳、 _ ’丨於貝貝5至實質80原子百分比的氫、以及介於實質〇 至實質5原子百分比的氧所組成; 一主介電區,具有一主碳濃度;以及 表面彳電區位於該主介電區上,纟中該表面介電區 之一碳濃度大於或等於該主碳濃度之實質95% 。 17·種L補半導體元件製程中所造成之低介電常 數介電層之損傷的方法,至少包括: 利用t漿增盈化學氣相沉積反應製程來反應一前 26 1250608 驅物質,以沉籍一 積厌虱化合物層於該低介電常數介電層 ,/、中該碳氫化合物層至少包括·· 介於實質20至實質95原子百分比的碳; 介於實質5至實質80原子百分比的氫;以及 介於實"至實質5原子百分比的氧; 移除鄰近於—窄介層窗之—碳氫化合阻礙物;以及 形成擴散阻障層至少位於該碳氫化合物層上。 18.如中請專利範圍第17項所述之修補半導體元件 、紅中所造成之低介電常數介電層之損傷的方法,更包括 一電漿蝕刻製程。 19·如申請專利範圍第丨7項所述之修補半導體元件 製程中所造成之低介 a 二 低’丨電吊數介電層之損傷的方法,其中該 刖驅物質至少包括一材料,且該材料係選自於實質上由 C2H4、(CH3)2CHC6H6CH3、與通式(CH3)2CHC6H6-CnH2n+1 相關之化學物質、及其組合所組成之一族群。 ,20_如申請專利範圍第17項所述之修補半導體元件 製程中所造成之低介電常數介電層之損傷的方法,其中該 :介電常數介電層^包括一材#,且該材料係選自於實 質上由有機矽玻璃、硼磷矽玻璃、硼矽玻璃、磷矽玻璃、 水芳基酯(p〇lyarylene ether)、氫矽酸鹽、含曱基之 矽I鹽(MSQ)'聚矽酸鹽(p〇lysilsesqui〇xane)、聚亞醯胺 27 1250608
(polyimide)、苯環丁烯(BCB)、聚四氟乙烯(PTFE)、氟矽 玻璃(FSG)、多孔性氧化物、多孔性氮化物、製孔劑 (porogen)及其組合所組成之一族群。 28
TW094116606A 2005-02-01 2005-05-20 Semiconductor device and method for manufacturing the same TWI250608B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/048,518 US7135402B2 (en) 2005-02-01 2005-02-01 Sealing pores of low-k dielectrics using CxHy

Publications (2)

Publication Number Publication Date
TWI250608B true TWI250608B (en) 2006-03-01
TW200629467A TW200629467A (en) 2006-08-16

Family

ID=36757146

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094116606A TWI250608B (en) 2005-02-01 2005-05-20 Semiconductor device and method for manufacturing the same

Country Status (3)

Country Link
US (1) US7135402B2 (zh)
CN (1) CN100403514C (zh)
TW (1) TWI250608B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113675138A (zh) * 2020-05-13 2021-11-19 爱思开海力士有限公司 制造半导体器件的方法

Families Citing this family (393)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US8277675B2 (en) * 2002-09-30 2012-10-02 Lam Research Corporation Method of damaged low-k dielectric film layer removal
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4540504B2 (ja) * 2005-03-03 2010-09-08 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2006324414A (ja) * 2005-05-18 2006-11-30 Toshiba Corp 半導体装置及びその製造方法
US7335586B2 (en) * 2005-06-10 2008-02-26 Intel Corporation Sealing porous dielectric material using plasma-induced surface polymerization
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
US20070048981A1 (en) * 2005-09-01 2007-03-01 International Business Machines Corporation Method for protecting a semiconductor device from carbon depletion based damage
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US20080124815A1 (en) * 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
JP4413947B2 (ja) * 2007-06-21 2010-02-10 株式会社東芝 半導体装置の製造方法
US7741224B2 (en) * 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
WO2009102363A2 (en) * 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
JP5303568B2 (ja) * 2008-10-24 2013-10-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5173863B2 (ja) * 2009-01-20 2013-04-03 パナソニック株式会社 半導体装置およびその製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
US7981699B2 (en) * 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
US20110148008A1 (en) * 2009-12-23 2011-06-23 National Cheng Kung University Micro-nano imprint mould and imprinting process
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
CN102315157A (zh) * 2010-08-11 2012-01-11 上海集成电路研发中心有限公司 一种tsv通孔形成方法和tsv通孔修正方法
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8441006B2 (en) * 2010-12-23 2013-05-14 Intel Corporation Cyclic carbosilane dielectric films
US9478437B2 (en) 2011-06-01 2016-10-25 Applied Materials, Inc. Methods for repairing low-k dielectrics using carbon plasma immersion
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8216861B1 (en) * 2011-06-28 2012-07-10 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition
CN102237304A (zh) * 2011-07-05 2011-11-09 复旦大学 一种抑制多孔低介电常数介质吸入水汽的方法
CN102881585A (zh) * 2011-07-12 2013-01-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102332399A (zh) * 2011-09-28 2012-01-25 上海华力微电子有限公司 超低介电材料的化学机械抛光方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102751188B (zh) * 2011-11-10 2015-05-20 上海华力微电子有限公司 超低介电材料的化学机械抛光方法
CN102509705A (zh) * 2011-11-10 2012-06-20 上海华力微电子有限公司 化学机械抛光后损伤的超低介电常数薄膜的修复方法
CN103135305A (zh) * 2011-11-25 2013-06-05 亚树科技股份有限公司 具有多孔结构的电致变色装置及其制程方法
CN102623395A (zh) * 2012-03-22 2012-08-01 上海华力微电子有限公司 一种低介电常数薄膜表面处理方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103377989B (zh) * 2012-04-18 2015-08-05 中芯国际集成电路制造(上海)有限公司 大马士革结构的制作方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8716150B1 (en) 2013-04-11 2014-05-06 Globalfoundries Inc. Method of forming a low-K dielectric film
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9058980B1 (en) * 2013-12-05 2015-06-16 Applied Materials, Inc. UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102377372B1 (ko) 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
KR102393321B1 (ko) 2015-06-25 2022-04-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10163632B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190109090A1 (en) * 2017-08-15 2019-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure lined by isolation layer
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10395980B1 (en) 2018-02-21 2019-08-27 Globalfoundries Inc. Dual airgap structure
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US10672710B2 (en) 2018-06-05 2020-06-02 Globalfoundries Inc. Interconnect structures with reduced capacitance
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271123B1 (en) 1998-05-29 2001-08-07 Taiwan Semiconductor Manufacturing Company Chemical-mechanical polish method using an undoped silicon glass stop layer for polishing BPSG
US6159786A (en) 1998-12-14 2000-12-12 Taiwan Semiconductor Manufacturing Company Well-controlled CMP process for DRAM technology
US6248665B1 (en) 1999-07-06 2001-06-19 Taiwan Semiconductor Manufacturing Company Delamination improvement between Cu and dielectrics for damascene process
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6383935B1 (en) 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6624066B2 (en) 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
US6607977B1 (en) 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6878615B2 (en) 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
JP2004535065A (ja) * 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
US6616855B1 (en) 2001-09-27 2003-09-09 Taiwan Semiconductor Manufacturing Company Process to reduce surface roughness of low K damascene
US6723635B1 (en) 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner
US7169540B2 (en) 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7442756B2 (en) * 2002-06-20 2008-10-28 Infineon Technologies Ag Polymer for sealing porous materials during chip production
US6924222B2 (en) 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US20040121583A1 (en) 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
US6787453B2 (en) * 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
US7327033B2 (en) 2004-08-05 2008-02-05 International Business Machines Corporation Copper alloy via bottom liner

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113675138A (zh) * 2020-05-13 2021-11-19 爱思开海力士有限公司 制造半导体器件的方法

Also Published As

Publication number Publication date
US7135402B2 (en) 2006-11-14
CN100403514C (zh) 2008-07-16
TW200629467A (en) 2006-08-16
US20060172531A1 (en) 2006-08-03
CN1815709A (zh) 2006-08-09

Similar Documents

Publication Publication Date Title
TWI250608B (en) Semiconductor device and method for manufacturing the same
TWI304228B (en) Method for forming semiconductor device having low-k dielectric layer
US7094669B2 (en) Structure and method of liner air gap formation
US7439185B2 (en) Method for fabricating semiconductor device and semiconductor device
US7564136B2 (en) Integration scheme for Cu/low-k interconnects
US6432811B1 (en) Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
JP2009519587A (ja) 細孔シーリングライナーのデュアルダマシン方法及びデバイスへの統合
TW200939394A (en) Method for forming an air gap in multilevel interconnect structure
JP2007027734A (ja) 相互接続エアキャビティの集積化制御および信頼性向上
US20100102452A1 (en) Method for fabricating semiconductor device and semiconductor device
US6984581B2 (en) Structural reinforcement of highly porous low k dielectric films by ILD posts
JP2009194072A (ja) 半導体装置の製造方法
US6930033B2 (en) Treating surface of low-dielectric constant material to achieve good mechanical strength
US20150318207A1 (en) Back-end-of-line (beol) interconnect structure
US20070249164A1 (en) Method of fabricating an interconnect structure
US7176141B2 (en) Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics
US7955971B2 (en) Hybrid metallic wire and methods of fabricating same
US7138333B2 (en) Process for sealing plasma-damaged, porous low-k materials
US20040115910A1 (en) Method for making interconnection networks
JP2004289155A (ja) 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング
US10825720B2 (en) Single trench damascene interconnect using TiN HMO
KR100399909B1 (ko) 반도체 소자의 층간 절연막 형성 방법
JP2011142169A (ja) 半導体装置及びその製造方法
TW423106B (en) Manufacturing method of dual damascene structure

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees