JP2009519587A - 細孔シーリングライナーのデュアルダマシン方法及びデバイスへの統合 - Google Patents

細孔シーリングライナーのデュアルダマシン方法及びデバイスへの統合 Download PDF

Info

Publication number
JP2009519587A
JP2009519587A JP2008542516A JP2008542516A JP2009519587A JP 2009519587 A JP2009519587 A JP 2009519587A JP 2008542516 A JP2008542516 A JP 2008542516A JP 2008542516 A JP2008542516 A JP 2008542516A JP 2009519587 A JP2009519587 A JP 2009519587A
Authority
JP
Japan
Prior art keywords
trench
dielectric layer
layer
dielectric
pore sealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2008542516A
Other languages
English (en)
Inventor
エドワード レイモンド エンクブレヒト
ラオ サティアヴォル スリニヴァス パパ
サメール クマー アジメラ
シュテファン グルノウ
Original Assignee
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テキサス インスツルメンツ インコーポレイテッド filed Critical テキサス インスツルメンツ インコーポレイテッド
Publication of JP2009519587A publication Critical patent/JP2009519587A/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners

Abstract

デバイスは、細孔シーリングライナーを有するダマシン層を使用し、半導体ボディを含んでいる。金属相互接続(302)からなる金属相互接続層が、半導体ボディ上に形成される。誘電層(308)が、金属相互接続層上に形成される。導電性トレンチフィーチャ(316)及び導電性バイアフィーチャ(314)が、誘電層内に形成される。細孔シーリングライナー(318)が、導電性バイアフィーチャの側壁に沿ってのみ、及び導電性トレンチフィーチャの側壁及び底面に沿って形成される。細孔シーリングライナーは、導電性バイアフィーチャの底面に沿っては実質的に存在しない。

Description

本発明は、一般的には半導体デバイスに関し、特定的には細孔シーリングライナーのデュアルダマシン方法及びデバイスへの統合に関する。
半導体デバイスが高密度になるにつれて、半導体デバイスを相互に接続するための相互接続層に対する要望も増加している。銅は、益々、マシンプロセスを使用して集積回路内に相互接続を製造するために選択される金属になりつつある。
密度を増加させ、動作を高速化させ、そしてコストを低下させるために、相互接続層内ではライン幅は細くなり、間隔は狭くなり続けている。性能を更に改善するために、低誘電率(k値とも呼ぶ)を有する誘電材料が相互接続層内に使用されている。しかしながら、k値を低くすると多孔度が高くなって低密度の誘電材料がもたらされる。多孔度が増すにつれて、誘電母材の内部細孔構造がより多く相互接続され得る。この高い多孔度と、相互接続された細孔容積とが組合わさると、材料(特に、銅)が低k誘電材料を通して拡散または浸透する可能性が大きくなる。従って、欠陥及び故障メカニズムがもたらされる可能性があり、これはデバイスの性能を劣化させたり、動作寿命を短縮させたりし、そしてデバイスを完全に故障させたりもする。高多孔度・低密度の材料に起因する多孔質・低k値誘電材料に伴う別の問題は、それらの中に、またはそれらを通してトレンチまたはバイアのようなフィーチャをエッチングすると、側壁が不規則になるか、または滑らかではなくなる傾向を呈することである。その結果、その後に形成及び/または堆積される材料が低k値誘電層の側壁に沿って不規則に形成されるようになる。従って、例えば、低k値誘電層の側壁に沿って均一な厚みの銅拡散バリヤー層を形成させる場合、低k値誘電層側壁が不規則であるが故に問題がもたらされる恐れがある。
必要とされるのは、銅をベースとする相互接続構造内に低k値誘電材料を使用し、低k値誘電材料の使用によってもたらされる上述した諸問題を軽減するデバイス及び方法を提供することである。
本発明は、トレンチ領域の側壁及び底、及びバイア領域の側壁上には存在するが、バイア領域の底面上には存在しない細孔シーリングライナーを使用することによって、ダマシン処理及び半導体デバイスを容易にする。その結果、低k多孔質誘電母材内へ望ましくなく移動する水分、金属バリヤー前駆体、銅、溶剤、プラズマ薬品等のような他の種を用いることなく、低k多孔質誘電材料をダマシン処理に使用することが可能になる。更に、導電性バイアフィーチャから下側に位置する構造への低抵抗接触も得られる。バイア領域の底面上から細孔シーリングライナーを十分に除去することによって、下側に位置するデバイスへの低抵抗電気接触を得ることができる。
本発明の一面によれば、半導体デバイスは細孔シーリングライナーを有するダマシン層を使用する。トランジスタデバイス、ダイオード、キャパシタ、抵抗、インダクタ、金属相互接続層等のような1つまたはそれ以上の半導体デバイス及び層を含むことができる半導体ボディが存在する。金属相互接続を含む金属相互接続層は、半導体ボディ上に形成される。誘電層は相互接続層上に形成される。誘電層は、その後にフォトリトグラフィ、水洗、エッチング等のような技術によって処理され、誘電層の下側部分内にバイアフィーチャが、また誘電層の上側部分内にトレンチフィーチャが形成される。このバイアフィーチャは、下側に位置する金属相互接続と電気接触できるように配置されている。細孔シーリングライナーは、バイアフィーチャの側壁表面に沿って、及びトレンチフィーチャの側壁及び底面に沿って形成される。これに続いて、金属堆積、化学的・機械的平面化等が遂行され、下側に位置する金属相互接続と電気接触する導電性バイア及びトレンチフィーチャの形成が完了する。他のデバイス及び方法も開示される。
発明者らは、トランジスタデバイスのようなデバイスの相互接続(金属化とも言う)は、典型的に電気的デバイス上に形成された層内に多重レベル相互接続ネットワーク構造を形成し、この構造によってデバイスのアクティブ要素を他のデバイスに接続して所望の回路を作成することによって達成されることを認識している。アルミニウム、アルミニウム・ケイ素合金、銅、銅合金、銀、銀合金等のような導電性材料が誘電層内に形成され、構成要素及びデバイス間に比較的低抵抗の接続を提供するために使用される。
銅のような若干の導電性材料は、エッチングまたはパターン化が容易ではない。この、及び他の理由から、金属化層は、層間誘電層(ILD)のような誘電層を堆積させ、トレンチ及び/またはバイア領域をエッチングし、その中に導電性材料を堆積させることによって形成することが多い。適切な形状、サイズ、及び厚みを有するトレンチ及び/またはバイアを形成させるためには、一般に、誘電層内にトレンチ及び/またはバイア領域を均一に、且つ制御してエッチングする必要がある。その後に、化学・機械的平面化(CMP)のような平面化プロセスを遂行して余剰導電性材料を除去し、トレンチ及び/またはバイア領域内の導電性材料を残してライン、相互接続等として役立たせる。
デバイスの性能に影響を与える特性は、ダマシンまたは相互接続構造内に使用される1つまたは複数の誘電層の誘電率値(k値と呼ばれる)である。一般的に、これらの構造内の誘電層のk値が高い程、容量値も大きくなってデバイスの性能が劣化する。
これらの誘電層のk値を低下させるために使用される1つのメカニズムは、低k誘電材料を使用することである。しかしながら、これらの低k誘電層は多孔質であり、望ましくなく材料及び薬品種が細孔内に侵入して欠陥を発生させ、誘電体の完全性を損なわせ、そしてデバイスの動作を阻害し得る。侵入する材料及び種は、例えば水分、金属バリヤー堆積前駆体、堆積された金属バリヤー、銅、溶剤、プラズマ薬品等を含む。生じた欠陥は、デバイスの寿命性能をも劣化させる。例えば、10年の寿命を有すると明示されているデバイスが、望ましくなく移動した材料によって欠陥を生じた結果として寿命が数日に短縮される恐れがある。
多孔質・低k値誘電材料の別の問題は、それらの中にフィーチャをエッチングすると、形成されたフィーチャの側壁表面が不規則になることである。従って、低k誘電材料の側壁表面に沿ってその後に形成される層は、不規則な厚みまたは完全性が失われた領域を有するようになる。
本発明は、トレンチ領域の側壁及び底、及びバイア領域の側壁上には存在するが、バイア領域の底面上には存在しない細孔シーリングライナーを使用することによって、ダマシン処理及び半導体デバイスを容易にする。その結果、低k多孔質誘電層内へ望ましくなく移動する水分、金属バリヤー前駆体、銅、溶剤、プラズマ薬品等のような材料を用いることなく、低k多孔質誘電材料をダマシン処理に使用することが可能になる。更に、導電性バイアフィーチャから下側に位置する構造への低抵抗接触も得られる。バイア領域の底面上から細孔シーリングライナーが部分的に、乃至完全に除去されていることによって、下側に位置するデバイスへの低抵抗電気接触を得ることができる。これは、従来は細孔シーリングライナーを用いて達成することは困難であった。
図1Aは、普通のダマシンプロセスを用いて製作された低kダマシン誘電層を有する普通の半導体デバイスの断面図である。図1Aは、普通の製造プロセスによって形成された普通のデバイスの例として示したものである。図1Aに関連する以下の説明は、発明者らが認識している従来技術の欠陥を示すためになされるものである。
デバイス100は、半導体基体、及びその中に形成されているトランジスタ及び/または他のデバイスを有する半導体ボディ101を含んでいる。半導体ボディ101は、1つまたはそれ以上の相互接続層を含むこともできる。1つのこのような層は、半導体ボディ101上に、そして絶縁層104に接して形成されている金属相互接続102である。金属相互接続102は、銅のような導電性金属からなるか、または例えば下側に位置するゲート、ソース、またはドレイン領域に接するシリサイド領域であることができる。
その後のエッチングプロセスを容易にするために、エッチング停止層106が半導体ボディ101及び金属相互接続102上に形成される。典型的には、誘電性銅拡散バリヤーとして働く第1のエッチング停止層106は、低k誘電体を選択的にエッチングする、または選択的に保存することを可能にするように選択される。次いで、適当な堆積プロセスによって、低kレベル間誘電層108が第1のエッチング停止層106上に形成される。レベル間誘電層108は低k誘電材料からなり、比較的多孔質である。次いで、低k金属間誘電層112がレベル間誘電層108上に形成される。金属間誘電層112も低k誘電材料からなる。レベル間誘電層108及び金属間誘電層112が同一の材料からなる場合には、これら2つの層を物理的に区別することなく、1つのステップで堆積を遂行できることも理解されよう。
金属間誘電層112内にトレンチ空洞116を形成するために、トレンチエッチングプロセスが遂行される。トレンチエッチングプロセスは、金属間誘電層112から材料を選択的に除去してトレンチ空洞116を形成させるために、ある時間にわたってCF4、Ar、及びO2のような適当なエッチング薬品を使用する。典型的には、エッチングプロセス中に、フォトリトグラフ技術を用いてパターン化されたマスク(図示してない)を使用してトレンチ領域を限定する。マスク及びマスクを形成する技術は当分野においては公知である。
次に、バイアエッチングプロセスが遂行され、実質的にレベル間誘電層108内にバイア空洞114が形成される。バイアエッチングプロセスも、金属間誘電層112から材料を選択的に除去してバイア空洞114を形成させるために、ある時間にわたってCF4、Ar、及びO2のような適当なエッチング薬品を使用する。典型的には、このエッチングプロセス中に、フォトリトグラフ技術を用いてパターン化された第2のマスク(図示してない)を使用してバイア領域を限定する。マスク及びマスクを形成する技術は当分野においては公知である。第1のエッチング停止層106はレベル間誘電層108よりも低いエッチングレートを有しているので、このバイアエッチング中、下側に位置する金属相互接続102内への過エッチングを減少させるのに役立つ。次いで、エッチング停止層のエッチングが遂行されて第1のエッチング停止層106の選択された、露出された部分が除去され、金属相互接続102の少なくとも一部分が露出される。最初にバイアエッチングを遂行し、次いでトレンチエッチングを行い、最後にエッチング停止層のエッチングを選択するような他の製造方法も一般的であることを理解されたい。
次に、バイア空洞114及びトレンチ空洞116が、Taのような導電性銅拡散バリヤーでライニングされる。これらの空洞は、銅のような導電性材料で充填される。一例では、トレンチ空洞116及びバイア空洞114を充填するのを容易にするシード層を使用して、銅層がデバイス上に堆積される(例えば、電気化学的堆積によって)。続いて、平面化ステップが遂行される(例えば、化学・機械的平面化によって)。
図1Bは、図1Aの普通のデバイス100の一部分の拡大図である。図1Bは、普通の製造プロセスによって形成された普通のデバイスの例として示されている。図1Bに関連する以下の説明は、本発明の発明者らが認識している従来技術の欠陥を示すためになされるものである。
発明者らは、レベル間誘電層108及び金属間誘電層112の多孔度が比較的高いことから、バリヤー金属として使用される原子層堆積(ALD)または化学蒸着(CVD)前駆体、導電性充填材料、溶剤等のような他の材料118が材料内の細孔を介して層108及び112内へ望ましくなく移動できることを知っている。更に、多孔度及び/または過大な側壁の粗さ及びトポグラフィによってCu拡散バリヤー自体の完全性が損なわれ、Cuが低k誘電体内へ拡散することができる。その結果、デバイスの動作及び/または性能を劣化させる欠陥がもたらされる。例えば、銅が浸透すると、デバイス内に望ましくない電気的短絡が発生し得る。
図2は、普通のダマシンプロセスを用いて製造された低kダマシン誘電層を有する普通の半導体デバイス200の断面図である。図2は、普通の製造プロセスによって形成された普通のデバイスの例として示されている。図2に関連する以下の説明は、本発明の発明者らが認識している従来技術の欠陥を示すためになされるものである。
デバイス200は、部分的シーリングライナーを使用している。このシーリングライナーは、他の材料が低k誘電層内へ移動するのを減少させるが、それにも拘わらず、望ましくない量の導電性材料が誘電層内へ移動するのを可能にしている。
デバイス200は、図1Aの普通のデバイスに実質的に類似している。デバイス200は、半導体基体及びその中に形成されているトランジスタ及び/または他のデバイスを有する半導体ボディ201を含む。半導体ボディ201は、1つまたはそれ以上の相互接続層を更に含むことができる。1つのこのような層は、半導体ボディ201上に、そして絶縁層204に接して形成されている金属相互接続202である。金属相互接続202は、銅のような導電性金属からなるか、または例えば下側に位置するゲート、ソース、またはドレイン領域に接するシリサイド領域であることができる。
その後のエッチングプロセスを容易にするために、半導体ボディ201及び金属相互接続202上にエッチング停止層206が形成される。典型的には、誘電性銅拡散バリヤーとして働く第1のエッチング停止層206は、低k誘電体を選択的にエッチングする、または選択的に保存することを可能にするように選択される。次いで、適当な堆積プロセスによって、低kレベル間誘電層208が第1のエッチング停止層206上に形成される。レベル間誘電層208は低k誘電材料からなり、比較的多孔質である。次いで、低k金属間誘電層212が低kレベル間誘電層208上に形成される。金属間誘電層212も低k誘電材料からなる。レベル間誘電層208及び金属間誘電層212が同一の材料からなる場合には、これら2つの層を物理的に区別することなく、1つのステップで堆積を遂行できることも理解されよう。
トレンチエッチングプロセスが遂行され、金属間誘電層212内にトレンチ空洞216が形成される。トレンチエッチングプロセスは、金属間誘電層212から材料を選択的に除去してトレンチ空洞216を形成させるために、ある時間にわたってCF4、Ar、及びO2のような適当なエッチング薬品を使用する。典型的には、エッチングプロセス中に、フォトリトグラフ技術を用いてパターン化されたマスク(図示してない)を使用してトレンチ領域を限定する。
次に、バイアエッチングプロセスが遂行され、実質的にレベル間誘電層208内にバイア空洞214が形成される。バイアエッチングプロセスも、レベル間誘電層208から材料を選択的に除去してバイア空洞214を形成させるために、ある時間にわたって適当なエッチング薬品を使用する。典型的には、このエッチングプロセス中に、フォトリトグラフ技術を用いてパターン化された第2のマスク(図示してない)を使用してエッチング領域を限定する。エッチング停止層206のエッチングレートはレベル間誘電層208のそれよりも低いので、下側に位置する金属相互接続202内への過エッチングを減少させるのに役立つ。次いで、エッチング停止層のエッチングが遂行されてエッチング停止層の選択された、露出された部分が除去され、少なくとも金属相互接続202の一部分が露出される。バイアエッチングプロセスを、トレンチエッチングプロセスの前、または後に遂行できることをも理解されたい。
誘電ライナー218が、トレンチ空洞216及びバイア空洞214の側壁及び底面上に形成される。一般的に、誘電ライナー218はデバイス上にライナーを堆積させることによって形成し、それによって少なくともトレンチ及びバイア空洞の側壁及び底面上にライナー218を形成させる。その後に、エッチングプロセスを使用してライナー218をトレンチ及びバイア空洞の底面から除去する。
誘電ライナー218は比較的濃密で、非多孔質であり、充填材料、バリヤー層材料等のような望ましくない種が誘電層212及び208内へ移動するのを減少させることができる。しかしながら、誘電ライナー218は絶縁性であり、金属相互接続202との電気的接続を阻止する。その結果、バイアの底面から誘電ライナー218の部分を除去する異方性エッチングが遂行される。典型的なエッチングプロセスの本質に起因して、ライナーはトレンチの底220においても除去される。これによってその後の金属相互接続202との電気的接触は可能になるが、トレンチ空洞216の底面220が保護されず、フィルム208内への侵入が可能になることを、発明者らは承知している。
次いで、バイア空洞214及びトレンチ空洞216が、Taのような導電性Cu拡散バリヤーでライニングされる。次に、空洞を銅のような導電性材料で充填する。一例では、トレンチ空洞216及びバイア空洞214を充填するのを容易にするためにシード層を使用して銅層をデバイス上に堆積させる。
発明者らは、レベル間誘電層208及び金属間誘電層212の多孔度が比較的高いことから、トレンチ領域216の底面220における材料内の細孔を介してALD前駆体、銅、溶剤等のような他の材料が層208及び212内へ浸透、または移動できることを認識している。その結果、誘電ライナー218が存在するにも拘わらず、デバイスの動作及び性能が劣化し得る。
図3は、低k誘電層及びデュアルダマシンプロセスを用いて形成された相互接続層のための細孔シーリングライナーを有する本発明の一面による半導体デバイス300の断面図である。デバイス300は、充填材料、バリヤー層材料等のような望ましくない材料が誘電層内へ移動するのを実質的に阻止または減少させる細孔シーリングライナーを使用している。細孔シーリングライナーは、トレンチ及びバイア領域の側壁上とトレンチ領域の底面上とには存在しているが、バイア領域の底面上には実質的に存在しない。
デバイス300は半導体ボディ301を含み、半導体ボディ301は1つまたはそれ以上の半導体層を有し、それらの中にはトランジスタ、ダイオード、抵抗等のようなデバイスが形成されている。半導体ボディ301は、1つまたはそれ以上の相互接続層も含むことができる。1つのこのような層は、半導体ボディ301上に、そして絶縁層304に接して形成されている金属相互接続302である。金属相互接続302は、銅のような導電性金属からなるか、または例えば下側に位置するゲート、ソース、またはドレイン領域に接するシリサイド領域であることができる。
その後のエッチングプロセスを容易にするために、半導体ボディ301及び金属相互接続302上にエッチング停止層306が形成される。典型的に、誘電性銅拡散バリヤーとして働くエッチング停止層306は、低k誘電体を選択的にエッチングする、または選択的に保存することを可能にするように選択される。低k誘電層308がエッチング停止層306上に形成される。低k誘電層308は、約4より小さい誘電率を有する誘電材料のような低k誘電材料からなり、比較的多孔質である。適当な誘電材料の若干の例は、二酸化ケイ素、フッ素をドープしたケイ酸ガラス(FSG)、有機ケイ酸ガラス(OSG)、例えばMSQ(メチルシスセスキオキサン)または水素シルセスキオキサン(HSQ)のようなシルセスキオキサン(SSQ)基材料、及び多孔度のレベルを変えた(kが1乃至4)これらの材料の類似バージョンを含む。誘電層308は、同一の、または変化する組成及び多孔度値を有する1つまたはそれ以上の個々の誘電層からなることができる。本発明のこれらの面は、特定の統合スキームに限定されるものではなく、例えば、シングル及びデュアルダマシンスキームを含むことに注目されたい。
バイアフィーチャ314は、誘電層308内に、そして金属相互接続302上に形成される。トレンチフィーチャ316は誘電層308内に、典型的には、バイアフィーチャ314上に形成される。本発明の例示の面では、その後にバイアフィーチャ314と下側に位置する相互接続302との間の電気接続を可能にするために、エッチング停止層306をバイアの底から選択的にエッチングして除去することができる。
細孔シーリングライナー318は、トレンチフィーチャ316の側壁及び底面に沿って、及びバイアフィーチャ314の側壁に沿って形成される。ライナー318は、二酸化ケイ素、窒化ケイ素、カルボ窒化ホウ素等のような誘電材料からなる。細孔シーリングライナーは、低k誘電層とは異なって比較的濃密であり、且つ非多孔質である。細孔シーリングライナー318は、銅、前駆体材料、溶剤等のような他の材料が、誘電層308内へ望ましくなく拡散するのを減少させる。更に、細孔シーリングライナー318は、多孔質誘電層308の側壁表面よりも均一な側壁表面を形成する。その結果、側壁に沿って形成される金属バリヤー層のような層(図示してない)を比較的均一な厚みで形成することができ、また完全性を損なうことなく形成することができる。
細孔シーリングライナー318は、スピンオン堆積、ALDをベースとする堆積、またはPECVD(プラズマ強化化学蒸着)のような1つまたはそれ以上の適当な製造プロセスによって形成させることができる。一例では、バイア及びトレンチ空洞は低k誘電層308内に形成される。次にデバイスの上に比較的濃密な誘電材料が堆積され、次いで選択的異方性エッチングが遂行されてバイア空洞の底面の少なくとも一部分から上記堆積された濃密な誘電材料が除去されるが、バイア空洞の側壁及びトレンチ空洞の側壁及び底面上の、並びにウェーハのフィールド領域(トレンチ間)上のライナー318は実質的に残される。若干の実施の形態では、付加的な処理を用いて細孔シーリングライナーをウェーハのフィールド領域から除去できることを理解されたい(トレンチ及びバイアの側壁上、及びトレンチの底上のライナーは残す)。別の例では、濃密な誘電材料がデバイス300上に堆積され、次いで選択的エッチング・堆積プロセスが遂行されて上記堆積された濃密な誘電材料がバイア空洞の底面の少なくとも一部分から除去されるが、バイア空洞の側壁及びトレンチ空洞の側壁及び底面上の、並びにウェーハのフィールド領域(トレンチ間)上のライナー318は実質的に残される。若干の面においては、付加的な処理を用いて細孔シーリングライナーをウェーハのフィールド領域から除去できることを理解されたい(トレンチ及びバイアの側壁上と、トレンチの底上のライナーは維持する)。一例では、本発明はPVDバリヤー堆積チャンバを使用し、イオン化されたバリヤー(例えば、Ta)原子のフラックスに付加された中性バリヤー(例えば、Ta)原子のフラックスを、トレンチの底のTa原子のエッチングされたフラックスに一致させる(または、それより僅かに大きくする)が、バイアの底のウェーハからエッチングされて除去された原子のフラックス(Ta、及びSi、N、O等のような軽い元素)よりは小さくする。ウェーハ表面の種々の点からの原子のフラックスのエッチングは、ウェーハ上のプラズマ内で生成されるイオン化Ar原子の使用によって支援される。ウェーハからの原子の正味除去は、“フィールド”領域(正味堆積が行われる)からトレンチの底(ライナーの実質的な部分が無傷で残るように調整することができる)まで、そして更にバイアの底(正味除去が行われる)まで減少して行く。これは、中性バリヤー原子が、それらをバイアの底に到達させることができる必須垂直速度ベクトルを有していないからである。イオン化されたバリヤー原子は、ウェーハとプラズマとの間に確立される電界によって加速されてより垂直な速度ベクトルを得るので、バイアの底に到達することができる。中性バリヤー原子とイオン化されたバリヤー原子との比は調整することができる(目標バイアスを低下させるにつれて中性原子の相対量が増加する)ので、フィールド、トレンチの底、及びバイアの底の正味エッチングレートを望む通りに達成することができる。更に、ウェーハ付近の電界強度を変化させることによってイオン化原子(Ar及びTa)速度の垂直度を増加させることができ、それによって相対的なエッチング量を付加的に調整することができる。これは、本発明が、トレンチの底及び側壁に沿うライナーを保護しながら、バイアの底のライナーの選択的除去を如何にして達成しているかを示している。また、これは、ウェーハの頂面のライナーをも保護する。
デバイス300の信頼性及び動作は、上述した細孔シーリングライナー318を使用することによって向上する。結果的に、望ましくない、または不要な他の材料の誘電層308内への浸透が減少し、またより均一な側壁表面が形成されることによって予測される及び/または所望のデバイス寿命を得ることができ、また予測される及び/または所望の動作性能を得ることができる。
本発明は、図3に図示したデバイス300に関連する説明に限定されるものではないことを理解されたい。本発明によれば、バイア領域の底面から少なくとも部分的に除去される細孔シーリングライナーを使用する他の適当なダマシン構造を考えることもできる。例えば、付加的なトレンチエッチング停止層を使用することも、またはエッチング停止層306を省くこともできる。
本発明の諸面は、特定の統合スキームに限定されるものではなく、例えば、シングル及びデュアルダマシン集積スキームを含むことを理解されたい。
図4は、本発明によるデュアルダマシン構造内に選択的に形成された細孔シーリングライナーを有する半導体デバイスを製造する方法400のフローダイアグラムである。
方法400は、半導体ボディを準備するブロック402から開始される。半導体ボディは、1つまたはそれ以上の半導体層及びトランジスタ、抵抗、ダイオード、相互接続層等のようなデバイスからなる。相互接続層は、ブロック404において半導体ボディ上に形成される。相互接続層は、金属相互接続またはシリサイド領域または接点からなる。
ブロック406において、ある材料を堆積させることによってエッチング停止層が相互接続層上に形成される。この材料は、誘電性銅拡散バリヤーとして働き、その後に形成される誘電層とは異なる低k誘電体を選択的にエッチング、または選択的に保存することを可能にするように選択される。このエッチング停止層は、過エッチング及び/またはエッチング不足を減少させることによって、その後のエッチングプロセスを容易にする。例えば、適当なエッチング停止層は、窒化ケイ素、またはカルボ窒化ケイ素、またはカルボオキシ窒化ケイ素からなる。
ブロック408において、レベル間誘電層のような低k誘電層が相互接続層上に形成される。この誘電層は、約4.0より小さい、典型的には約2.9より小さい誘電率を有する1つまたはそれ以上の低k誘電材料を堆積させることによって形成する。1つまたはそれ以上の堆積プロセスを使用することができる。結果として、この誘電層は、変化した誘電率を有する材料を含む同一の、または変化した誘電材料からなる1つまたはそれ以上の個々の誘電層からなることができる。
誘電層は、二酸化ケイ素、フッ素をドープしたケイ酸ガラス(FSG)、有機ケイ酸ガラス(OSG)、例えばMSQ(メチルシスセスキオキサン)または水素シルセスキオキサン(HSQ)のようなシルセスキオキサン(SSQ)基材料等のような適当な誘電材料からなる。誘電層の厚みは製造するデバイスに従って変化させることはできるが、誘電層の適当な厚みの若干の例は約500乃至約10,000オングストロームである。
次に、ブロック410において、誘電層にバイアのエッチングが遂行されてバイア空洞が形成される。一般的に、エッチングする目標バイア領域を限定または選択するために、フォトリトグラフ技術を用いてパターン化したマスクが使用される。バイアエッチングは誘電層の露出した部分を除去し、それによってバイア空洞を形成するように、何等かの適当なエッチング薬品を使用して遂行される。バイア空洞の厚みまたは深さの若干の例は約500乃至10,000オングストロームであるが、本発明によれば他の厚みを企図することができる。
ブロック412において、誘電層にトレンチエッチングが遂行され、トレンチ空洞が形成される。典型的に、エッチングする目標トレンチ領域を限定または選択するために、フォトリトグラフ技術を用いてパターン化した第2のマスクが使用される。トレンチエッチングは誘電層の露出した部分を除去し、それによってトレンチ空洞を形成するようにエッチング薬品を使用して遂行される。トレンチ空洞の厚みまたは深さの若干の例は約500乃至10,000オングストロームであるが、本発明によれば他の厚みも考えられる。
トレンチ及びバイア空洞の側壁及び底面は、低k多孔質誘電材料を使用しているために比較的不均一であり、不規則であり、そして多孔質である。
ブロック414において、デバイス上に、及びトレンチ及びバイア空洞の側壁及び底面上に細孔シーリングライナーが形成される。細孔シーリングライナーは、その後に形成される層及び/または曝された薬品が誘電層内へ拡散及び/または移動するのを減少させる比較的濃密な材料からなる。細孔シーリングライナーのための適当な材料の若干の例は、二酸化ケイ素、窒化ケイ素、炭化ケイ素、カルボ窒化ケイ素、オキシ窒化ケイ素、濃密有機ケイ酸ガラス(OSG)、有機ポリマー等である。細孔シーリングライナーは、その後の拡散及び/または移動を減少させるのに十分な厚み、例えば0.5乃至15ナノメートルに形成され、典型的には>2.7のk値を有している。
ブロック416において、細孔シーリングライナー上に第1の金属バリヤー層が形成される。第1の金属Cu拡散バリヤー層は、以下に説明する導電性金属充填プロセス中、バイア及び空洞表面を保護する。第1の金属バリヤー層は、例えば0.5乃至15ナノメートルのような適当な厚みを有している。第1の金属バリヤー層は、Ta、W、Ti基材料(それらの窒化物、カルボ窒化物、及び窒化ケイ素を含む)、Ru及びIr、及びRu及びIrの酸化物のような導電性材料からなる。若干の適当な材料は、例えば、PVD-Ta、及びALD-TaNを含む。本発明の別の面は、第1の金属バリヤー層を省くことを含む。
ブロック418において、細孔シーリングライナーの少なくとも一部分を選択的に除去する選択性エッチング・堆積プロセスが遂行され、バイア空洞の底面から第1のバリヤー層の少なくとも一部分を除去し、バイア空洞の側壁表面及びトレンチ空洞の側壁及び底面上に第2の金属バリヤー層が形成/堆積される。その結果、バイア空洞の側壁表面上と、トレンチ空洞の側壁及び底面上に保護ライナーが残され、この保護ライナーは導電性材料のその後の拡散または移動を減少または阻止することができる。
適当な選択性エッチング・堆積プロセスの一例では、バイア空洞の底面の中心におけるエッチングレートは底面の縁におけるエッチングレートよりも高速である。その結果、潜在的なランドしてない(unlanded)バイア(不整列)を保護することができ、一方完全にランドしているバイア(適切に整列)の平均バイア抵抗の増加は生じ得る。許容可能な不整列の予測値またはパーセンテージに従って、底面におけるエッチングレートの変化を選択することができる。
本発明の若干の面においては、付加的な処理によって細孔シーリングライナーをウェーハのフィールド領域から除去することができる(トレンチ及びバイアの側壁上、及びトレンチの底上のライナーは残す)。犠牲的な濃密誘電体を使用しない場合には、フィールド領域(トレンチまたはバイアが存在しない場所)上のライナーはエッチングせずに残すべきである。一例では、本発明はPVDバリヤー堆積チャンバを使用し、イオン化されたバリヤー(例えば、Ta)原子のフラックスに付加された中性バリヤー(例えば、Ta)原子のフラックスを、トレンチの底のTa原子のエッチングフラックスに一致させる(または、それより僅かに大きくする)が、バイアの底のウェーハからエッチングされて除かれる原子のフラックス(Ta、及びSi、N、O等のような軽い元素)よりは小さくする。ウェーハ表面の種々の点から原子のフラックスをエッチングすることは、ウェーハ上のプラズマ内で生成されるイオン化Ar原子の使用によって支援される。ウェーハからの原子の正味除去は、“フィールド”領域(正味堆積が行われる)からトレンチの底(正味堆積サイド上で0または僅かであるように調整することができる)に向かって、そして更にバイアの底(正味除去が行われる)に向かって減少して行く。これは、中性バリヤー金属原子が、それらをバイアの底へ到達させることができる必須垂直速度ベクトルを有していないからである。イオン化されたバリヤー原子は、ウェーハとプラズマとの間に確立される電界によって加速されてより垂直な速度ベクトルを得るので、バイアの底に到達できるようになる。中性バリヤー原子とイオン化されたバリヤー原子との比は調整することができるので(目標バイアスを低下させるにつれて中性原子の相対量が増加する)、フィールド、トレンチの底、及びバイアの底の正味エッチングレートの所望の変化を達成させることができる。更に、ウェーハ付近の電界強度を変化させることによってイオン化原子(Ar及びTa)速度の垂直度を増加させることができ、それによって相対的なエッチング量を付加的に調整することができる。これは、本発明が、トレンチの底の、及び側壁に沿うライナーを保護しながら、バイアの底のライナーの選択的除去を如何にして達成しているかを示している。また、これは、ウェーハの頂面のライナーをも保護する。
普通の異方性エッチングプロセスは、水平表面上の材料のように見えている材料は除去するが、側壁から材料を著しく除去することはない。従って、普通のエッチングプロセスを使用すると、トレンチ及びバイアの両方の底が穿たれることになる。選択性エッチング・堆積プロセスは、トレンチ空洞の水平表面、底面のエッチングを回避する。適当な選択性エッチング・堆積プロセスの例は、ウェーハをPVDツールのプロセスチャンバ内に配置し、中間直流目標電力(200mmシステムにおいては1kW乃至10kW)を有する特別に調整された再スパッタエッチングを使用して、ウェーハに向かって導かれるバリヤー金属のフラックス内に上述したイオン化された及び中性原子の所望の混合を得ることによって達成される。これは、アルゴンのようなガスの流れ(20sccm乃至100sccm)と共に使用し、バリヤー金属イオン及び不活性ガス原子を加速するためにウェーハにRFエネルギ(200mmシステムにおいては100W乃至500W)を印加して遂行される。これらの条件は、トレンチの底面のエッチングをバランスさせるが、バイアの底面のエッチングをバランスさせるには十分ではない。即ち、トレンチの底の成分の堆積とエッチングとの間のフラックスは一致し、材料の正味の堆積または除去は小さい。しかしながら、バイアの底のフラックスは、成分をエッチングする場合の方が成分を堆積させる場合よりも高い。これは、トレンチの底の第1のバリヤー層及び細孔シーリングライナーの厚みは維持するが、バイアの底のそれらはエッチングすることを意味している。
次いで、ブロック420において、最終金属バリヤー層をデバイス上に形成させる。最終金属バリヤー層はオプションであり、典型的には不整列のバイア空洞をカバーするために形成される。最終金属バリヤー層は、PVDまたはALD堆積プロセスによって形成させることができ、第1の金属バリヤー層に関して上述したような導電性材料からなっている。このバリヤー層のための適当な材料の若干の例は、限定するものではないが、タンタル、窒化タンタル、窒化タンタルケイ素、窒化チタン、窒化チタンケイ素、タングステン、窒化タングステン、窒化タングステンケイ素を含み、またはルテニウム、イリジウム、または他の二成分または三成分化合物を含む。例えば物理蒸着の場合には、バリヤー層の堆積は、典型的に、約0.5乃至50ミリトルの圧力、約0乃至200°Cの温度の環境内で、約10乃至500オングストロームの厚みまで遂行される。本発明の別の面は、最終金属バリヤー層の形成の省略を含むことを理解されたい。また、バリヤー材料は各ステップで異なり得ることをも理解されたい。
ブロック422において、トレンチ及びバイア空洞が導電性材料で充填され、導電性トレンチ及びバイアフィーチャ(または、構造)が形成される。
空洞を導電性材料で充填するために、多くの適当なプロセスを使用することができる。一例では、最終バリヤー層(もし存在すれば)上に、または空洞の側壁及び底上に比較的薄い導電性材料(例えば、銅)の層を堆積させることによって、オプションとしてのシード層を形成させる。このシード層は、その後の銅及び/または銅を含む材料の堆積のための核生成サイトを提供する。次いで、適当な銅堆積プロセスを使用して、シード層上に銅を形成させる。適当な堆積メカニズムの若干の例は、電気化学堆積(ECD)、エレクトロレス堆積(eレス・デップ)、化学蒸着(CVD)、及び物理蒸着(PVD)を含む。
細孔シーリングライナーを存在させることによって、ブロック420において最終金属バリヤー層を形成し、トレンチ及びバイア空洞を導電性材料で充填するのに使用した材料及び薬品が、低k誘電層へ移動または浸透するのを減少させるか、または阻止する。例えば、ALD前駆体、充填材料(銅)、化学溶剤等のような材料が、低k誘電層へ浸透するのを防ぐことができる。
ブロック424において、平面化プロセスが遂行されて余剰導電性材料が除去され、トレンチ及びバイア領域内に形成された導電性フィーチャを電気的に絶縁する。半導体デバイスの製造を完了させるために、その後に層及び/またはパッケージングの付加的な金属化を遂行することができる。
本発明は、上述した方法400以外の方法で実施できることを理解されたい。例えば、誘電層の一部分だけを変更するためにレジストマスクを使用することができる。更に、誘電層のエッチング及び空洞の形成を更に容易にするために、エッチング停止層を使用することも可能である。
一方、説明を簡易化するために、方法400は順番に実行されるものとして示してある。本発明は図示した順序に限定されるものではなく、本発明によれば、若干の面は異なる順序で、及び/または図示し、説明したものを同時に遂行させることができる。更に、本発明の一面による方法を実現するために、図示したフィーチャの全てを必要とするものではない。
図5A乃至5Eは、図4の方法400に従って形成される半導体デバイスの製造のステージ例を示している。図5A乃至5Eは単なる例示に過ぎず、本発明及び図4の方法400の理解を容易にするためのものである。デバイスの構造、組成、寸法等は本発明の範囲内において変化し得るものであることを理解されたい。
図5Aは、本発明の一面による製造方法のあるステージにおける半導体デバイス500を示している。図示した半導体ボディ501は、1つまたはそれ以上の半導体材料の層、及びトランジスタ、ダイオード等のようなデバイスからなっている。相互接続層が半導体ボディ501上に形成されている。相互接続層は、金属相互接続502及び絶縁性部分504からなる。その後のバイアエッチングプロセスを容易にするために、エッチング停止層506が形成されている。エッチング停止層506は、比較的低いエッチングレートを有する材料からなる。方法400及び本発明がエッチング停止層506を必要としないこと、及びデバイスがエッチング停止層なしに本発明に従って製造できることを理解されたい。
下側誘電層508及び上側誘電層510からなる誘電層が、エッチング停止層506の上に形成されている。下側誘電層508は、典型的には多孔質・低k誘電材料である適当な誘電材料からなっている。適当な材料の若干の例は、二酸化ケイ素、フッ素をドープしたケイ酸ガラス(FSG)、有機ケイ酸ガラス(OSG)、例えばMSQ(メチルシスセスキオキサン)または水素シルセスキオキサン(HSQ)のようなシルセスキオキサン(SSQ)基材料等を含む。上側誘電層510も、典型的には多孔質・低k誘電材料である適当な誘電材料からなる。しかしながら、本発明の別の面は、誘電率及び多孔度が異なる誘電層をも意図していることを理解されたい。例えば上側誘電層510は、例えばより高いk値を有する、非多孔質材料からなることができる。
バイア及びトレンチ空洞519が、適当なエッチングプロセスによって誘電層508及び510内に形成される。この例では、バイア空洞が第1の誘電層508内に形成され、トレンチ空洞が第2の誘電層510内に形成されている。
図5Bに示すように、細孔シーリングライナー522がデバイス500上に、即ちトレンチ空洞及びバイア空洞519の側壁及び底面上に形成される。細孔シーリングライナーは、その後に形成される層が誘電層508及び510内へ拡散及び/または移動するのを減少させる比較的濃密な材料からなる。デバイス500では、細孔シーリングライナーは絶縁性であるが、本発明の別の面においてはそうでないことができる。細孔シーリングライナー522のための適当な材料の若干の例は、二酸化ケイ素、窒化ケイ素、炭化ケイ素、濃密有機ケイ酸ガラス(OSG)等である。細孔シーリングライナー522は、その後の拡散及び/または移動を減少させるのに十分な厚みであり、例えば0.5乃至15ナノメートルである。更に、この点において、初期の、即ち第1の金属バリヤー層(図示してない)を細孔シーリングライナー522上に形成させることができる。
図5Cは、本発明の一面による製造方法の別のステージにおける半導体デバイス500を示している。金属バリヤー層524が細孔シーリングライナー522上と、トレンチ空洞の底面上とに形成されているが、バイア空洞の底部分からは細孔シーリングライナー522が除去されている。金属バリヤー層は、以下に説明する導電性材料充填プロセス中にバイア及び空洞表面を保護する。第1の金属バリヤー層は、例えば0.5乃至15ナノメートルのような適当な厚みを有している。第1の金属バリヤー層は、例えばTa、W、Ti基材料(それらの窒化物、カルボ窒化物、及び窒化ケイ素を含む)、Ru及びIr、及びRu及びIrの酸化物のような導電性材料からなる。若干の付加的な適当な材料は、例えば、PVD-Ta、及びALD-TaNを含む。
選択性エッチング・堆積プロセス526を使用して金属バリヤー層524を形成し、細孔シーリングライナー522の選択された部分を除去することができる。その結果、細孔シーリングライナーがバイア空洞の側壁表面上と、トレンチ空洞の側壁及び底面上とに残される。細孔シーリングライナーは、その後の導電性材料の拡散または移動を減少または阻止することができる。
図5Dに示すように、トレンチ及びバイア空洞519は導電性材料528で充填される。トレンチ及びバイア空洞を十分に充填するために、銅のような実質的な導電性充填材料が堆積される。導電性充填材料を堆積させる前に、トレンチ及びバイア空洞519の側壁及び表面上に1つまたはそれ以上の付加的なバリヤー層(図示してない)を形成させることができる。次いで、トレンチ及びバイア空洞519を完全に充填するために、導電性材料の適当な堆積または形成プロセスを使用して充填材料を堆積または形成させる。適当な堆積メカニズムの若干の例は、電気化学堆積(ECD)、エレクトロレス堆積(eレス・デップ)、化学蒸着(CVD)、及び物理蒸着(PVD)を含む。
図5Eは、本発明の一面による製造方法の更に別のステージにおける半導体デバイス500を示している。トレンチ及びバイア空洞519を十分に充填するために、余剰導電性充填材料が第2の誘電層の上面上と、トレンチ及びバイア空洞上に残されている。この余剰導電性材料を除去し、導電性トレンチ構造またはフィーチャ532、及び導電性バイア領域、構造またはフィーチャ530を限定するために、平面化プロセスが遂行される。平面化プロセスは、また、トレンチ領域532及びバイアフィーチャ530を他の導電性フィーチャ(図示してない)から電気的に絶縁する。半導体デバイス500の製造を完了させるために、その後に、付加的な金属化層及び/またはパッケージングを遂行することができる。
以上の記載に関連して、以下の各項を開示する。
(1)半導体デバイスであって、
半導体ボディと、
上記半導体ボディ上に形成されている金属相互接続からなる相互接続層と、
上記相互接続層上に形成されている誘電層と、
を含み、
上記誘電層は、上記誘電層の下側部分内に導電性バイアフィーチャを、また上記誘電層の上側部分内に導電性トレンチフィーチャを有し、上記導電性トレンチフィーチャは上記導電性バイアフィーチャと接触し、上記導電性バイアフィーチャは上記金属相互接続と電気的に接触しており、
上記デバイスは更に、
上記導電性バイアフィーチャの側壁表面に沿って、及び上記導電性トレンチフィーチャの側壁及び底表面に沿って形成されている細孔シーリングライナー、
を含むことを特徴とする半導体デバイス。
(2)上記導電性バイアフィーチャと上記金属相互接続との間に金属バリヤー層を更に含むことを特徴とする前記(1)に記載のデバイス。
(3)上記誘電層の上側部分は比較的多孔質であり、上記誘電層の下側部分は比較的非多孔質であることを特徴とする前記(1)または(2)に記載のデバイス。
(4)上記誘電層は、約2.9より小さい誘電率を有する誘電材料からなることを特徴とする前記(1)に記載のデバイス。
(5)上記細孔シーリングライナーは、約2.7より大きいk値からなることを特徴とする前記(1)または(4)に記載のデバイス。
(6)半導体デバイスを製造する方法であって、
半導体ボディ上に誘電層を形成するステップと、
上記誘電層内にバイア空洞を形成するステップと、
上記誘電層内にトレンチ空洞を形成するステップと、
上記トレンチ空洞及び上記バイア空洞の底及び側壁表面上に細孔シーリングライナーを形成するステップと、
上記トレンチ空洞の底表面から上記細孔シーリングライナーを実質的に除去することなく、上記バイア空洞の底表面の少なくとも一部分から上記細孔シーリングライナーを除去する選択性エッチング・堆積プロセスを遂行するステップと、
を含むことを特徴とする方法。
(7)上記選択性エッチング・堆積プロセスを遂行するステップは、上記バイア空洞の側壁上と、上記トレンチ空洞の側壁及び底表面上とに金属バリヤー層を堆積させるステップを更に含むことを特徴とする前記(6)に記載の方法。
(8)上記誘電性層を形成するステップは、上記半導体ボディ上に多孔質、低k誘電性材料を堆積させるステップを含むことを特徴とする前記(6)または(7)に記載の方法。
(9)上記選択性エッチング・堆積プロセスを遂行する前に、上記細孔シーリングライナー上に第2の金属バリヤー層を形成するステップを更に含むことを特徴とする前記(7)に記載の方法。
(10)半導体デバイスを製造する方法であって、
半導体ボディ上に金属相互接続を有する金属相互接続層を形成するステップと、
上記金属相互接続層上に低k誘電層を形成するステップと、
上記誘電層内にバイア空洞を形成するステップと、
上記誘電層内にトレンチ空洞を形成するステップと、
上記トレンチ空洞及び上記バイア空洞の底及び側壁表面上に細孔シーリングライナーを形成するステップと、
上記細孔シーリングライナー上に、金属材料からなる第1の金属層を形成するステップと、
を含み、
上記細孔シーリングライナーは、上記金属材料が上記第1及び第2の誘電層内へ移動するのを減少させ、
上記方法は更に、
上記バイア空洞の底表面から上記細孔シーリングライナーをエッチングし、且つ上記バイア空洞の側壁表面上と上記トレンチ空洞の側壁及び底表面上とに第2の金属バリヤー層を堆積させる選択性エッチング・堆積プロセスを遂行するステップと、
上記バイア空洞内と上記金属相互接続上とに導電性バイアフィーチャを形成し、且つ上記トレンチ空洞内に導電性トレンチフィーチャを形成するステップと、
を含むことを特徴とする方法。
(11)上記第1の誘電層を形成するステップは、超低k誘電材料を堆積させるステップからなることを特徴とする前記(10)に記載の方法。
デバイスは、細孔シーリングライナーを有するダマシン層を使用し、半導体ボディを含んでいる。金属相互接続(302)からなる金属相互接続層が、半導体ボディ上に形成される。誘電層(308)が、金属相互接続層上に形成される。導電性トレンチフィーチャ(316)及び導電性バイアフィーチャ(314)が、誘電層内に形成される。細孔シーリングライナー(318)が、導電性バイアフィーチャの側壁に沿ってのみ、及び導電性トレンチフィーチャの側壁及び底面に沿って形成される。細孔シーリングライナーは、導電性バイアフィーチャの底面に沿っては実質的に存在しない。
以上に、本発明を1つまたはそれ以上の実施の形態に関して説明したが、本発明の範囲から逸脱することなく、図示し説明した実施の形態に対して代替及び/または変更を行うことができる。
従来のダマシンプロセスを使用して製造した半導体デバイスの断面図である。 図1Aのデバイスの別の断面図である。 従来のダマシンプロセスを使用して製造した別の半導体デバイスの断面図である。 本発明による低kダマシン誘電層及び細孔シーリングライナーを有する半導体デバイスの断面図である。 本発明による選択的に形成された細孔シーリングライナーを有する半導体デバイスを製造する方法を示すフローダイアグラムである。 A乃至Eは、図4の方法に従って形成される半導体デバイス例の製造段階を示す図である。

Claims (2)

  1. 半導体デバイスであって、
    半導体ボディと、
    上記半導体ボディ上に形成されている金属相互接続からなる相互接続層と、
    上記相互接続層上に形成されている誘電層と、
    を含み、
    上記誘電層は、上記誘電層の下側部分内に導電性バイアフィーチャを、また上記誘電層の上側部分内に導電性トレンチフィーチャを有し、上記導電性トレンチフィーチャは上記導電性バイアフィーチャと接触し、上記導電性バイアフィーチャは上記金属相互接続と電気的に接触しており、
    上記デバイスは更に、
    上記導電性バイアフィーチャの側壁表面に沿って、及び上記導電性トレンチフィーチャの側壁及び底表面に沿って形成されている細孔シーリングライナー、
    を含むことを特徴とする半導体デバイス。
  2. 半導体デバイスを製造する方法であって、
    半導体ボディ上に誘電層を形成するステップと、
    上記誘電層内にバイア空洞を形成するステップと、
    上記誘電層内にトレンチ空洞を形成するステップと、
    上記トレンチ空洞及び上記バイア空洞の底及び側壁表面上に細孔シーリングライナーを形成するステップと、
    上記トレンチ空洞の底表面から上記細孔シーリングライナーを実質的に除去することなく、上記バイア空洞の底表面の少なくとも一部分から上記細孔シーリングライナーを除去する選択性エッチング・堆積プロセスを遂行するステップと、
    を含むことを特徴とする方法。
JP2008542516A 2005-11-23 2006-11-22 細孔シーリングライナーのデュアルダマシン方法及びデバイスへの統合 Abandoned JP2009519587A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/286,877 US7338893B2 (en) 2005-11-23 2005-11-23 Integration of pore sealing liner into dual-damascene methods and devices
PCT/US2006/061185 WO2007062383A2 (en) 2005-11-23 2006-11-22 Integration of pore sealing liner into dual-damascene methods and devices

Publications (1)

Publication Number Publication Date
JP2009519587A true JP2009519587A (ja) 2009-05-14

Family

ID=38054113

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008542516A Abandoned JP2009519587A (ja) 2005-11-23 2006-11-22 細孔シーリングライナーのデュアルダマシン方法及びデバイスへの統合

Country Status (4)

Country Link
US (1) US7338893B2 (ja)
JP (1) JP2009519587A (ja)
CN (1) CN101443894B (ja)
WO (1) WO2007062383A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105990218A (zh) * 2015-01-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005006231B4 (de) * 2005-02-10 2007-09-20 Ovd Kinegram Ag Verfahren zur Herstellung eines Mehrschichtkörpers
US20070126120A1 (en) * 2005-12-06 2007-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
CN101427361A (zh) * 2006-02-28 2009-05-06 St微电子(克偌林斯2)股份有限公司 电介质材料中的金属互连
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
KR100950553B1 (ko) * 2007-08-31 2010-03-30 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
JP2009147096A (ja) * 2007-12-14 2009-07-02 Panasonic Corp 半導体装置及びその製造方法
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP5173863B2 (ja) * 2009-01-20 2013-04-03 パナソニック株式会社 半導体装置およびその製造方法
JP2010287831A (ja) * 2009-06-15 2010-12-24 Renesas Electronics Corp 半導体装置およびその製造方法
DE102009031156B4 (de) * 2009-06-30 2012-02-02 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement mit nicht-isolierenden verspannten Materialschichten in einer Kontaktebene und Verfahren zu dessen Herstellung
CN102412192A (zh) * 2011-05-23 2012-04-11 上海华力微电子有限公司 一种用于金属互连侧壁修补的工艺方法
US20130341762A1 (en) * 2012-06-20 2013-12-26 Macronix International Co., Ltd. Semiconductor hole structure
SG11201500194UA (en) 2012-07-17 2015-04-29 Mitsui Chemicals Inc Semiconductor device and method for manufacturing same, and rinsing fluid
CN105097658B (zh) * 2014-05-15 2018-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件、互连层和互连层的制作方法
CN104152863B (zh) * 2014-08-27 2019-10-25 上海华力微电子有限公司 一种提高钴阻挡层沉积选择比的方法
KR20160116618A (ko) 2015-03-30 2016-10-10 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US20160300757A1 (en) * 2015-04-07 2016-10-13 Applied Materials, Inc. Dielectric constant recovery
US9536826B1 (en) 2015-06-15 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure with interconnect structure
US20190109090A1 (en) * 2017-08-15 2019-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure lined by isolation layer
CN109411358B (zh) * 2017-08-15 2023-03-17 台湾积体电路制造股份有限公司 有隔离层衬里的互连结构及半导体器件
US10629478B2 (en) 2017-08-22 2020-04-21 International Business Machines Corporation Dual-damascene formation with dielectric spacer and thin liner
US10964587B2 (en) 2018-05-21 2021-03-30 Tokyo Electron Limited Atomic layer deposition for low-K trench protection during etch
US11004773B2 (en) * 2019-04-23 2021-05-11 Sandisk Technologies Llc Porous barrier layer for improving reliability of through-substrate via structures and methods of forming the same
US11004736B2 (en) 2019-07-19 2021-05-11 International Business Machines Corporation Integrated circuit having a single damascene wiring network
US11164815B2 (en) * 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
CN111490005A (zh) * 2020-05-26 2020-08-04 上海华虹宏力半导体制造有限公司 间隙填充方法、闪存的制作方法及半导体结构

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US6794293B2 (en) * 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
US6723635B1 (en) * 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US6787453B2 (en) * 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US6893985B2 (en) * 2003-03-31 2005-05-17 Intel Corporation UV-activated dielectric layer
US7125793B2 (en) * 2003-12-23 2006-10-24 Intel Corporation Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material
US7244674B2 (en) * 2004-04-27 2007-07-17 Agency For Science Technology And Research Process of forming a composite diffusion barrier in copper/organic low-k damascene technology
US20060024953A1 (en) * 2004-07-29 2006-02-02 Papa Rao Satyavolu S Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7335587B2 (en) * 2005-06-30 2008-02-26 Intel Corporation Post polish anneal of atomic layer deposition barrier layers
KR100710201B1 (ko) * 2005-07-08 2007-04-20 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US20070080461A1 (en) * 2005-10-11 2007-04-12 Taiwan Semiconductor Manufacturing Comapny, Ltd. Ultra low-k dielectric in damascene structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105990218A (zh) * 2015-01-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
CN101443894A (zh) 2009-05-27
WO2007062383A8 (en) 2008-08-14
WO2007062383A2 (en) 2007-05-31
CN101443894B (zh) 2012-05-30
US20070117371A1 (en) 2007-05-24
WO2007062383A3 (en) 2008-12-04
US7338893B2 (en) 2008-03-04

Similar Documents

Publication Publication Date Title
JP2009519587A (ja) 細孔シーリングライナーのデュアルダマシン方法及びデバイスへの統合
US7439185B2 (en) Method for fabricating semiconductor device and semiconductor device
US7718524B2 (en) Method of manufacturing semiconductor device
US6713402B2 (en) Methods for polymer removal following etch-stop layer etch
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
US7265038B2 (en) Method for forming a multi-layer seed layer for improved Cu ECP
TWI660457B (zh) 具有減少低-k介電質損壞的鑲嵌結構之製程
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
US20120322261A1 (en) Methods for Via Structure with Improved Reliability
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
KR100977947B1 (ko) 반도체 장치 및 반도체 장치 제조 공정
KR20100130551A (ko) 무정형 탄탈륨 이리듐 확산 장벽을 갖는 구리 인터커넥트 구조
US7169701B2 (en) Dual damascene trench formation to avoid low-K dielectric damage
EP1460677A2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
JP2005038999A (ja) 半導体装置の製造方法
JP2005129937A (ja) 低k集積回路相互接続構造
JP2006319116A (ja) 半導体装置およびその製造方法
JP2009027048A (ja) 半導体装置の製造方法
JP4447433B2 (ja) 半導体装置の製造方法及び半導体装置
KR100454257B1 (ko) 구리를 사용한 대머신 금속배선 형성 방법
US7727885B2 (en) Reduction of punch-thru defects in damascene processing
KR101158059B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100512051B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP2006140373A (ja) 半導体装置の製造方法
JP4695842B2 (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20091113