JP2004289155A - 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング - Google Patents

選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング Download PDF

Info

Publication number
JP2004289155A
JP2004289155A JP2004079355A JP2004079355A JP2004289155A JP 2004289155 A JP2004289155 A JP 2004289155A JP 2004079355 A JP2004079355 A JP 2004079355A JP 2004079355 A JP2004079355 A JP 2004079355A JP 2004289155 A JP2004289155 A JP 2004289155A
Authority
JP
Japan
Prior art keywords
barc
etching
layer
trench
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004079355A
Other languages
English (en)
Inventor
Ping Jiang
ジャン ピン
Robert Kraft
クラフト ロバート
Mark Somervell
サマヴェル マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JP2004289155A publication Critical patent/JP2004289155A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】CDの制御を改善するBARCエッチングを提供すること。
【解決手段】BARCエッチングは、CD制御のための高重合性ガスと一緒に選択性エッチング化学薬品を含む。BARCエッチングをビア先行デュアルダマシン法に用いることができる。ビア116のパターン形成及びエッチングの後、厚いBARC層120を堆積してビア116を充填し、IMD110を被覆する。トレンチレジストパターン125をBARC層120の上に形成する。次いで、IMD110上のBARC120の露出部分を、選択性エッチング化学薬品に加えた高重合性ガスを用いてエッチングする。より多くの重合性ガスはトレンチレジスト125の側壁を不動態化してトレンチのCDを維持し、又は改善する。主要なトレンチエッチングの間、BARC120の一部がビア内に残って、ビア116の底部のエッチング停止材104を保護する。
【選択図】図2C

Description

本発明は一般に集積回路形成の分野に関し、さらに詳細には、例えば相互接続構造を形成するためのデュアルダマシンプロセスフローに用いることのできる有機底面反射防止コーティング(BARC)エッチングに関する。
半導体デバイスの密度が高くなるとともに、半導体デバイスを互いに接続する相互接続層の要求も高くなる。したがって、従来のアルミニウムの金属相互接続を銅の相互接続へ切り替え、かつ従来の二酸化ケイ素ベースの誘電体から有機ケイ酸ガラス(OSG)などの低k誘電体へ切り替える必要がある。銅の相互接続及び新しい低k誘電体で動作する半導体製造プロセスが依然必要とされている。従来のアルミニウムのサブトラクティブプラズマドライエッチングに比べて、半導体製造環境に適した銅のエッチングは容易に得られない。銅エッチングに関わる問題を克服するために、ダマシンプロセスが開発された。
ダマシンプロセスでは、まずIMD(金属間誘電体)を形成する。次いでIMDをパターン形成しエッチングして相互接続配線用のトレンチを形成する。接続ビアがまだ形成されていなかった場合、デュアルダマシンプロセスを用いることができる。デュアルダマシンプロセスでは、IMD14中にトレンチを形成し、(中間レベルの誘電体)ILD12中に下部の相互接続レベルへ接続するためのビアをエッチングする。次いで構造上にバリア層16及び銅のシード層を堆積する。バリア層16は一般に窒化タンタル又は他のある種の二元遷移金属窒化物である。次いでシード層を用いて表面全体の上に銅層を電気化学的に堆積させる。次いで銅を化学的機械研磨(CMP)にかけてIMD14の上の銅を除去して、図1に示すように銅相互接続配線18とビア20を残す。それによって金属エッチングが回避される。
デュアルダマシンプロセスにおいて、パターン形成及びエッチングは、トレンチとビアのいずれかを銅で充填する前に形成する必要があるため、問題が生じる。トレンチ先行のプロセスとビア先行のプロセスの両方が開発されつつある。ビア先行のプロセスでは、ビアをパターン形成しエッチングし、続いてトレンチをパターン形成する。ビアのエッチング停止層がエッチングされるのを防ぐために、トレンチのエッチングの間、ビアの底面を保護する必要がある。追加の処理上の問題を生じることなくトレンチのエッチングの間ビアを保護するデュアルダマシン法が望まれている。さらに、新しい技術では半導体デバイスにますます小さな臨界寸法(CD)が要求されるので、CD制御はより重要になる。小さなCDを再現可能とするために、半導体プロセスは制御可能でなければならない。
本発明はBARCエッチングである。スピンオン(spin−on)有機BARCは、しばしばレジストパターン形成中の基板の反射を低減するために使用される。BARCエッチングはCD制御のための高重合性ガスとともに選択エッチング化学薬品を含む。一実施形態において、BARCエッチングはビア先行のデュアルダマシン法に用いられる。ビアのパターン形成及びエッチングの後、ビアは厚いBARCで充填される。トレンチがパターン形成されエッチングされる。トレンチをエッチングする間、露出したBARC層は、選択エッチング化学薬品に加えた高重合性ガス(又は複数のガス)を用いてエッチングされる。高重合性ガス(又は複数のガス)はトレンチのレジスト側壁を不動態化する。このようにして、エッチングは臨界寸法(CD)を増大させることなく、かつ誘電体の損失も少なく、全てのBARCが除去されるまで継続される。
本発明の利点はCD制御を改善するBARCエッチングを提供することである。
この利点及び他の利点は、図面とともに明細書を参照することによって、当分野の技術者には明らかであろう。
ビア先行デュアルダマシンプロセスでは、トレンチをエッチングする間、ビアエッチング停止層を保護することが望ましい。トレンチのパターン形成に必要なBARCはビアの充填にも使用することができる。ビアのトポグラフィ(topography)が厳しいため、均一なBARCの充填は困難である。例えば、薄いBARC充填を用いると、密度の低い領域のビアは充填されるが、より密度の高い領域のビアは部分的にしか充填されない。不均一であると、トレンチのパターン形成が困難になり、ビアのトポグラフィが全体にわたって一貫しない。
トレンチのパターン形成を改善するために、高密度のビア領域でも完全なBARC充填が達成されるように、厚いBARCの充填が用いられる。しかし、そうすると長いBARCエッチングとビアのトポグラフィが全体にわたって均一性に欠けるためトレンチのエッチングがより難しくなる。使用する化学薬品によっては、この延長されたエッチングによってトレンチのCDが増大し、かつ/又は頂部表面のBARCがより薄いために、より高密度の領域のOSGが過大に除去される。例えば、CF/O/ArBARCのエッチングはOSGに対して比較的選択性が低く(すなわち〜1:1.5)、高密度領域でOSGの大部分が除去されることになる。他方、N/Oのエッチングは選択性が高い(すなわち>20:1)が、BARCエッチングの延長によってトレンチのCDが増大する。
これらの困難を克服するために、本発明では高重合性ガス又は複数のガス(CH、CHF、C、C、Cなど)を選択性の高いエッチング化学薬品に加えて、CD制御を向上させたBARCエッチングを提供する。望ましい選択性の程度は用途による。上記のトレンチのパターン形成及びエッチングには、10:1以上の選択性が望ましい。低重合性ガスの例にはCF、NF、C、C3x+y(Y>=0)がある。高重合性ガスの例にはC、C、C、CH4−x(x>0)がある。高重合性ガスは、C:Fの比が1:3以上(Cのとき)、かつ(C+H):Fの比が1:1以上(Cのとき)のものである。高重合性ガスは、エッチングされた形状のCDを維持し、さらに減少さえさせる不動態化機構を提供する。これはレジストマスクの側壁及びエッチングされた層に形成される不動態層によって達成される。デュアルダマシントレンチプロセスの場合、BARCエッチングの間、トレンチのレジスト側壁が保護され、したがって最終的なトレンチのCDが維持される。
一例では、好ましい実施形態では高重合性ガス(CH)を化学薬品N/Oに加える。化学薬品N/OはBARC層とOSGの間の高い選択性を提供する。プロセスの一例は以下の通りである。
圧力: 15mトル
電力: 200ワット
CH流量: 20sccm
流量: 80sccm
流量: 20sccm
チャック温度: 20℃
上記のプロセスでは、BARCのエッチング速度が〜2200Å/分、OSGのエッチング速度が約11Å/分になる。2400ÅのBARCにおいて、トレンチエッチングCDのシフトは、CHを加えないCDシフトの約+30nmに比べて約−15nmである。したがって、良好なCD制御と非常に選択性のあるエッチング速度の両方が達成される。
本発明の好ましい実施形態を、誘電体として有機ケイ酸ガラス(OSG)を用いるビア先行デュアルダマシンプロセスに関して説明する。当分野の技術者であれば、本発明が、FSG(フッ素ドープケイ酸ガラス)のデュアルダマシントレンチエッチング、ビア又はコンタクトホールエッチング、同様に他のデュアルダマシンエッチングもしくは単一ダマシンエッチングなど、CDを制御する他のBARCエッチングプロセスに使用できることは明らかであろう。例えば、パターンがレジストの頂部層に存在する、二層もしくは三層レジストを用いるデュアルダマシンプロセスにおいて、本発明を用いて二層レジストの底部レジスト、又は三層レジストの中間及び底部層をエッチングして、CDを維持することができる。
図2Aを参照すると、半導体本体100は第1相互接続レベル102を形成することによって加工される。第1相互接続レベル102は実際に金属1とすることができ、又最上部の相互接続レベル以外の任意の金属相互接続レベルとすることもできる。第1相互接続レベル102の上にエッチング停止層104を形成する。好ましい実施形態では、エッチング停止層104は窒化ケイ素を含む。SiCなど、エッチング停止層104の代替材料が従来技術で知られている。
エッチング停止層104の上にILD層106を堆積させる。ILD層106の上にIMD110を堆積させる。必要であれば、ILD106とIMD110の間にエッチング停止層を形成することもできる。また、このエッチング停止層は窒化ケイ素を含むこともできる。好ましい実施形態において、ILD106及びIMD110はOSGを含む。FSGなど、代替の誘電体材料が従来技術で知られている。
やはり図2Aを参照すると、IMD110及びILD106中にビア116をエッチングする。一般に、レジストマスク(図示せず)をビアのパターン形成及びエッチングに使用する。任意選択で硬質マスクをIMD層の上面に堆積することができる。適切なエッチング化学薬品は従来技術で知られている。例えば、OSGの場合、IMD110及びILD106のエッチングはC/N/Arを含むことができる。無論、エッチング化学薬品は、使用する誘電体(106/110)、エッチング停止材、及び硬質マスク材料によって変わる。
図2Bを参照すると、ビア116を充填するためにBARC層120を堆積させる。好ましくは、単一のBARC層を堆積して層120を形成する。BARC層の厚さは、ビアのCD、ビアの深さ、及びビアの密度に大きく依存する。例えば、2400Å程度のBARCの厚さが、ビアのトポグラフィ全体を完全に充填するために必要となり得る。
図2Cを参照すると、BARC層120の上にトレンチパターン125を形成する。デュアルダマシンプロセスにおいてトレンチ及びビアを形成するために、種々の方法が開発されている。例えば、トレンチパターン125はBARC充填の後に形成し、レジストマスクと硬質マスクの両方を備えることができる。
図2Dを参照すると、露出されたBARC層120はトレンチエッチング中のBARCエッチングの間に除去される。IMD110に対してBARCに高い選択性のあるエッチング化学薬品を高重合性ガスと一緒に使用する。高重合性ガスは、例えば、CH、CHF、C、C、Cを含む。高重合性ガスが存在することによってトレンチパターン125の側壁が不動態化される。不動態化層は長いBARCエッチングの間トレンチパターン125のCDを維持する。OSG(IMD110及びILD106用の)の場合、以下のBARCエッチング化学薬品を使用することができる。
圧力: 15mトル
電力: 200ワット
CH流量: 20sccm
流量: 80sccm
流量: 20sccm
チャック温度: 20℃
BARCのエッチングは、図2Dに示すように、BARC層120がIMD110の露出部の上で除去されるまで継続される。BARCエッチングの後、BARC層120の一部がビア中に残って、主要なトレンチエッチングの間エッチング停止層104を保護する。次いで主トレンチエッチングを実施して、IMD110の露出部分を除去する。適切なエッチング化学薬品は従来技術で知られている。例えば、OSGの場合、エッチング化学薬品はC/N/Arを含むことができる。主トレンチエッチングの後、図2Eに示すように、トレンチレジストパターン125及びBARC層120の残りを全て除去する。
次に、ビア116の底部のエッチング停止層104の残りの部分をエッチングすることによって、ビア116を開口する。次いで、図2Fに示すように、必要なバリア層と銅充填を形成し、CMPを行って第2相互接続層126を形成する。例えば、トレンチ124及びビア116内にTaNバリアを堆積させ、続いて銅のシード層を堆積させることができる。電気めっきプロセスを用いて銅の充填層が形成される。次いで銅を、IMD110と比較的平坦になるまで化学的機械研磨を施す。次いで上記のプロセスを繰り返して追加の金属相互接続層を形成することができる。
本発明を例示的な実施形態に関して説明したが、この記述を制限の意味に解釈すべきではない。当業者であれば、本説明を参照することによって、例示の実施形態の様々な修正及び組合せ、並びに本発明の他の実施形態は明らかであろう。したがって、添付の特許請求の範囲は、それらの修正又は実施形態を全て包含するものである。
以上の説明に関して更に以下の項を開示する。
(1)パターン形成可能な層を有する半導体本体を提供するステップと、
前記パターン形成可能な層の上にBARC層を堆積するステップと、
前記BARC層の上にレジストマスクを形成するステップであって、前記レジストマスクが前記BARC層の一部を露出させるステップと、
選択性エッチング化学薬品を前記レジストマスクの側壁を不動態化するための高重合性ガスと一緒に用いて、前記パターン形成可能な層の上に前記BARC層の露出部分をエッチングするステップとを含む集積回路を製造する方法。
(2)前記パターン形成可能な層が誘電体を含み、前記BARC層の露出部分をエッチングする前記ステップの後に、前記レジストマスクを使用して前記誘電体中にトレンチをエッチングするステップをさらに含む第1項記載の方法。
(3)前記パターン形成可能な層が誘電体を含み、前記BARC層の露出部分をエッチングする前記ステップの後に、前記レジストマスクを使用して前記誘電体中にビアホールをエッチングするステップをさらに含む第1項記載の方法。
(4)前記パターン形成可能な層が誘電体を含み、前記BARC層の露出部分をエッチングする前記ステップの後に、前記レジストマスクを使用して前記誘電体中にコンタクトホールをエッチングするステップをさらに含む第1項記載の方法。
(5)前記高重合性ガスが、CH、CHF、C、C、Cからなる群から選択される第1項記載の方法。
(6)誘電体層が半導体本体上に形成された半導体本体を提供するステップと、
前記誘電体層の上にBARC層を堆積するステップと、
前記BARC層上のレジストマスクをパターン形成するステップであって、前記レジストマスクが前記BARC層の一部を露出するステップと、
前記BARC層の露出部分を、選択性エッチング化学薬品と高重合性ガスを用いて、レジストマスクのパターンのCDを増大させずにエッチングするステップと、
前記誘電体層中に空洞をエッチングするステップとを含む集積回路を製造する方法。
(7)前記誘電体がOSGを含む第6項記載の方法。
(8)前記選択性エッチング化学薬品がNとOを含む第7項記載の方法。
(9)前記より多くの重合性ガスが、CH、CHF、C、C、Cからなる群から選択される第6項記載の方法。
従来のデュアルダマシン相互接続構造の断面図である。 本発明によるビア先行のデュアルダマシン相互接続構造の様々な製造段階における断面図である。 本発明によるビア先行のデュアルダマシン相互接続構造の様々な製造段階における断面図である。 本発明によるビア先行のデュアルダマシン相互接続構造の様々な製造段階における断面図である。 本発明によるビア先行のデュアルダマシン相互接続構造の様々な製造段階における断面図である。 本発明によるビア先行のデュアルダマシン相互接続構造の様々な製造段階における断面図である。 本発明によるビア先行のデュアルダマシン相互接続構造の様々な製造段階における断面図である。
符号の説明
14、110 IMD
12 ILD
16 バリア層
18 相互接続配線
20、116 ビア
100 半導体本体
102 第1相互接続レベル
104 エッチング停止層
106 ILD層
120 BARC層
124 トレンチ
125 トレンチパターン
126 第2相互接続層

Claims (1)

  1. パターン形成可能な層を有する半導体本体を提供するステップと、
    前記パターン形成可能な層の上にBARC層を堆積するステップと、
    前記BARC層の上にレジストマスクを形成するステップであって、前記レジストマスクが前記BARC層の一部を露出させるステップと、
    選択性エッチング化学薬品を前記レジストマスクの側壁を不動態化するための高重合性ガスと一緒に用いて、前記パターン形成可能な層の上に前記BARC層の露出部分をエッチングするステップとを含む集積回路を製造する方法。
JP2004079355A 2003-03-20 2004-03-19 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング Pending JP2004289155A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/393,317 US6900123B2 (en) 2003-03-20 2003-03-20 BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control

Publications (1)

Publication Number Publication Date
JP2004289155A true JP2004289155A (ja) 2004-10-14

Family

ID=32824903

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004079355A Pending JP2004289155A (ja) 2003-03-20 2004-03-19 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング

Country Status (3)

Country Link
US (1) US6900123B2 (ja)
EP (1) EP1460677A3 (ja)
JP (1) JP2004289155A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009530863A (ja) * 2006-03-20 2009-08-27 アプライド マテリアルズ インコーポレイテッド 低kデュアルダマシン集積回路の形成に用いることのできる有機barcエッチングプロセス

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7192877B2 (en) * 2004-05-21 2007-03-20 Texas Instruments Incorporated Low-K dielectric etch process for dual-damascene structures
US7361588B2 (en) 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
KR100673196B1 (ko) 2005-07-14 2007-01-22 주식회사 하이닉스반도체 플래쉬 메모리 소자의 금속배선 및 콘택플러그 형성방법
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7435676B2 (en) * 2006-01-10 2008-10-14 International Business Machines Corporation Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US7553758B2 (en) * 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7807064B2 (en) * 2007-03-21 2010-10-05 Applied Materials, Inc. Halogen-free amorphous carbon mask etch having high selectivity to photoresist
US8097402B2 (en) * 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
US8383510B2 (en) * 2011-03-04 2013-02-26 Globalfoundries Inc. Semiconductor device comprising metallization layers of reduced interlayer capacitance by reducing the amount of etch stop materials
US10957850B2 (en) 2018-10-04 2021-03-23 International Business Machines Corporation Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication
US10692755B2 (en) 2018-10-24 2020-06-23 International Business Machines Corporation Selective deposition of dielectrics on ultra-low k dielectrics

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208488A (ja) * 1999-01-12 2000-07-28 Kawasaki Steel Corp エッチング方法
JP2001250862A (ja) * 2000-03-07 2001-09-14 Matsushita Electric Ind Co Ltd コンタクトホール形成方法
JP2002525840A (ja) * 1998-09-08 2002-08-13 アプライド マテリアルズ インコーポレイテッド 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
WO2002080234A2 (en) * 2001-03-30 2002-10-10 Lam Research Corporation Method of plasma etching organic antireflective coating

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW320749B (ja) * 1994-09-22 1997-11-21 Tokyo Electron Co Ltd
JP3484317B2 (ja) * 1997-03-19 2004-01-06 沖電気工業株式会社 半導体装置の製造方法
US6228279B1 (en) * 1998-09-17 2001-05-08 International Business Machines Corporation High-density plasma, organic anti-reflective coating etch system compatible with sensitive photoresist materials
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP2001156041A (ja) * 1999-11-26 2001-06-08 Nec Corp 半導体装置の製造方法及びその製造装置
KR100520188B1 (ko) * 2000-02-18 2005-10-10 주식회사 하이닉스반도체 부분적으로 가교화된 2층 포토레지스트용 중합체
KR100520186B1 (ko) * 2000-06-21 2005-10-10 주식회사 하이닉스반도체 부분적으로 가교화된 2층 포토레지스트용 중합체
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002525840A (ja) * 1998-09-08 2002-08-13 アプライド マテリアルズ インコーポレイテッド 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
JP2000208488A (ja) * 1999-01-12 2000-07-28 Kawasaki Steel Corp エッチング方法
JP2001250862A (ja) * 2000-03-07 2001-09-14 Matsushita Electric Ind Co Ltd コンタクトホール形成方法
WO2002080234A2 (en) * 2001-03-30 2002-10-10 Lam Research Corporation Method of plasma etching organic antireflective coating

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009530863A (ja) * 2006-03-20 2009-08-27 アプライド マテリアルズ インコーポレイテッド 低kデュアルダマシン集積回路の形成に用いることのできる有機barcエッチングプロセス

Also Published As

Publication number Publication date
EP1460677A2 (en) 2004-09-22
EP1460677A3 (en) 2005-04-06
US20040185655A1 (en) 2004-09-23
US6900123B2 (en) 2005-05-31

Similar Documents

Publication Publication Date Title
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7125792B2 (en) Dual damascene structure and method
EP1353364B1 (en) Anisotropic etching of organic-containing insulating layers
US7015133B2 (en) Dual damascene structure formed of low-k dielectric materials
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US6620727B2 (en) Aluminum hardmask for dielectric etch
US20060024958A1 (en) HSQ/SOG dry strip process
US7217663B2 (en) Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
US6774031B2 (en) Method of forming dual-damascene structure
US6605536B2 (en) Treatment of low-k dielectric films to enable patterning of deep submicron features
JP2004289155A (ja) 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US7169701B2 (en) Dual damascene trench formation to avoid low-K dielectric damage
US7176141B2 (en) Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics
US7091612B2 (en) Dual damascene structure and method
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
US7232748B2 (en) BARC/resist via etchback process
JP4523351B2 (ja) 半導体装置の製造方法
US6780778B2 (en) Method for fabricating semiconductor device
JP2005217371A (ja) 半導体装置およびその製造方法
JP2005005697A (ja) 半導体装置の製造方法
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
US7135406B2 (en) Method for damascene formation using plug materials having varied etching rates

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091127

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100303

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100611