CN101496145A - 利用原位氮等离子体处理及非原位紫外光固化来增加氮化硅拉伸应力的方法 - Google Patents

利用原位氮等离子体处理及非原位紫外光固化来增加氮化硅拉伸应力的方法 Download PDF

Info

Publication number
CN101496145A
CN101496145A CNA200780017771XA CN200780017771A CN101496145A CN 101496145 A CN101496145 A CN 101496145A CN A200780017771X A CNA200780017771X A CN A200780017771XA CN 200780017771 A CN200780017771 A CN 200780017771A CN 101496145 A CN101496145 A CN 101496145A
Authority
CN
China
Prior art keywords
silicon nitride
film
deposition
stress
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200780017771XA
Other languages
English (en)
Other versions
CN101496145B (zh
Inventor
M·巴尔塞努
V·恩古耶
夏立群
D·R·威蒂
H·M'塞德
石美仪
I·罗弗劳克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101496145A publication Critical patent/CN101496145A/zh
Application granted granted Critical
Publication of CN101496145B publication Critical patent/CN101496145B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

可藉由在较高的温度下沉积而提高氮化硅层的应力。采用一种能使基板实际加热至高于400℃的设备(例如,一种陶制而非铝制的加热器),则初镀的氮化硅膜可展现出增强的应力,使位于其下的MOS晶体管器件的性能得以提升。根据其它实施例,氮化硅沉积膜在高温下暴露于紫外光(UV)下以进行硬化,从而有助于使自膜中移除氢并增进膜应力。根据另外的实施例,氮化硅膜利用一种采用多个沉积/硬化循环的集成处理形成,以维护下方凸起特征尖角处的膜层的完整。而相继膜层间的附着力,则可藉由在每一循环中纳入紫外光硬化后的等离子体处理而获得提升。

Description

利用原位氮等离子体处理及非原位紫外光固化来增加氮化硅拉伸应力的方法
相关申请的交叉引用
本非临时专利申请要求2006年6月20日提交的为所有目的通过引用结合于此的美国临时专利申请No.60/805,324的优先权。本非临时专利申请还是2006年4月7日提交的为所有目的通过引用结合于此的美国非临时专利申请No.11/400,275的部分继续申请(CIP),该申请又要求了2005年5月26日提交的共同受让美国专利申请60/685,365和2005年7月21日提交的美国专利申请60/701,854的优先权,这两个申请的全部公开内容通过引用结合于此。本非临时申请还涉及为所有目的通过引用结合于此的以下非临时专利申请:2006年4月5日提交的美国专利申请No.11/398,146和也是在2006年4月5日提交的美国专利申请No,11/398,436。
发明背景
在对基板进行加工以制作电路或显示器的过程中,通常要将基板暴露于能将材料沉积于其上或蚀刻其上材料受激励处理气体。在化学气相沉积(CVD)处理中,受高频电压或微波能量激励的处理气体用来将材料沉积在基板上,其可以是层、接触孔的充填物、或是其它选择性的沉积结构。此沉积层可经蚀刻或采用其它方法加工而在基板上形成有源或无源器件,例如金属氧化物半导体场效晶体管(MOSFET)及其它器件。MOSFET通常具有源极区、漏极区、以及介于源极和漏极间的沟道区。在MOSFET器件中,栅电极形成于沟道上方,并藉由栅极介电层与沟道隔离,以控制源极和漏极间的传导。
可以利用诸如减低供给电压、栅极介电层厚度或沟道长度等方法而改善这类器件的性能。然而,随着器件的尺寸和间隙越变越小,像这样的传统方法面临到安装的问题。例如,在沟道长度很短的情况下,藉由减小沟道长度而产生增加的单位面积晶体管数以及饱和电流的好处,会被非期望的载体速度饱和效应抵销掉。而藉由减小栅极介电层厚度所带来的类似优势,如:栅电极阻滞的降低,则在小型器件上受栅电极泄漏电流的增多及电荷隧穿介电层的限制,这会逐渐损毁晶体管。降低供给电压可使操作功率电平下降,但是晶体管的阈值电压使得上述的下降情形同样受到限制。
在相当近期才开发出来用以增进晶体管效能的方法中,施加应力于沉积材料的原子晶格以增进此材料本身或是由受应力沉积材料施力而产生应变之上方或下方材料的电子特性。晶格应变能够提升半导体(例如,硅)的载体迁移率,因而提高经掺杂的硅晶体管的饱和电流,进而增进其性能。例如,经由沉积具有内部压缩或拉伸应力的晶体管组成材料,可在晶体管沟道区中引发局部晶格应变。例如,用来作为蚀刻终止材料与栅电极硅化物材料之间隔层的氮化硅材料,可沉积为应力材料,而可在晶体管的沟道区中引发应变。沉积材料所需的应力类类型则视应力材料的种类而定。例如,在CMOS器件制造过程中,负沟道(NMOS)掺杂区覆有具有正拉伸应力的受张力材料,而正沟道MOS(PMOS)掺杂区则覆有具有负应力值的受压力材料。
因此,期望制作出具有预定应力类型的受应力材料,诸如拉伸应力或压缩应力;还期望控制沉积材料中产生的应力水平;也期望沉积出上述的受应力材料以在基板上产生均匀的局部应力或应变;另外也期望具有一种处理,其可在不毁损器件的情况下,在基板上的有源或无源器件上面形成受应力材料。还更期望沉积膜与下层形貌(topography)高度共形。
此外,当集成电路和晶体管器件的几何形状变小时,其晶体管所需的栅极驱动电流也就增高了。晶体管的栅极驱动电流随其栅极电容的增大而提高,而晶体管的栅极电容等于k*A/d,其中k为其栅极介电层(通常为氧化硅)的介电常数,d为其介电层厚度,而A为其栅极接触面积。因此,减小介电层厚度及提高栅极介电层的介电常数是两种增加栅极电容和驱动电流的方法。
曾尝试过减小介电层的厚度,诸如将二氧化硅(SiO2)介电层的厚度降至低于20埃。但是使用厚度低于20埃的SiO2介电层常会导一致性能不合乎要求以及耐久性的降低。之前采用过的将SiO2层氮化的方法作为将SiO2介电层的厚度降至低于20埃的一种方法。
利用气体的化学反应在基板上形成介电层是制作现代半导体器件的一个主要步骤。这些沉积处理被称之为化学气相沉积(CVD)。等离子体辅助化学气相沉积(PECVD)则将等离子体与传统CVD技术并用。
CVD及PECVD处理辅助垂直及水平互连的形成。金属镶嵌法或双金属镶嵌法包含一种或多种材料层的沉积及图案成形。在金属镶嵌法中,低k介电质(即,介电常数(k)低于4.0)或其它介电材料经沉积及图案蚀刻,形成垂直互连(亦称之为通孔(via))及水平互连(亦称之为导线)。
但当在金属镶嵌的形成中使用了低k材料,则难于制作出低甚或无表面缺陷或特征变形的特征。在沉积期间内,其材料可能过度条状化(overloaf),亦即在通孔的肩部沉积过量材料而在通孔的底部沉积太少材料,形成看似面包条侧边的形状。这种现象也被称之为留下足迹(footing),因为通孔的基部有着一个状似脚印的轮廓。在极端的情形下,通孔的肩部可相合并、横跨通孔顶部形成接合而密封的表面。晶片整体膜层于厚度上的不均可对增进器件到另一器件的驱动电流造成负面影响。仅仅调整处理参数对于改善阶梯覆盖(step coverage)及图案负荷问题并不具重大意义。
因此,此技术存在一种需求,即需有一种对半导体处理有用的沉积方法,在所形成的特征上提供共形膜。
发明概述
氮化硅层的应力可藉由在较高的温度下沉积而提高。采用一种能使基板实际加热至高于400℃的设备(例如,一种陶制而非铝制的加热器),则初镀的氮化硅膜可展现出增强的应力,从而使位于其下的MOS晶体管器件的性能得以改善。根据其它实施例,氮化硅沉积膜在高温下暴露于紫外光(UV)下以进行硬化,从而有助于自膜中移除氢并增进膜的应力。根据另外的实施例,氮化硅膜利一用种采用多个沉积/硬化循环的集成处理形成,以维护下方凸起特征尖角处膜层的完整限。而相继膜层间的附着力则可藉由在每循环中包含紫外光硬化后的等离子体处理而获得提升。
本发明的目的及优势可藉由接下来的详细描述并同其随附的图示而得到更进一步的了解。
附图简述
图1为基板之一简化剖面图,示出上方覆有受张力氮化硅沉积材料之一晶体管结构的一部分;
图2标绘在数种不同的处理条件下形成的CVD SiN膜的压缩应力及折射率;
图3示出在数种不同的处理条件下形成的CVD SiN膜的FT-IR光谱;
图4A标绘以不同的硅烷(silane)流速所沉积的CVD SiN膜的压缩应力及折射率;
4B标绘以不同的面板对晶片间隙所沉积的CVD SiN膜,其压缩应力及折射率;
图4C标绘以不同的氢气流速所沉积的CVD SiN膜的压缩应力及折射率;
图4D标绘在施用不同的功率下所沉积的CVD SiN膜的压缩应力及折射率;
图5A标绘在有氢气下及无氢气下所沉积的CVD SiN膜的压缩应力及折射率;
图5B标绘在不同功率及不同温度下所沉积的CVD SiN膜的压缩应力及折射率;
图6为曲线图,示出在提高高RF电压功率电平及在不同氮等离子体处理处理循环所测得的拉伸应力;
图7为曲线图,示出在不同沉积及氮等离子体处理处理循环下,沉积层的压缩应力及折射率;
图8为曲线图,示出随着N2等离子体处理时间,沉积材料的拉伸应力值的变化;
图9为曲线图,示出在不同清洗及抽吸循环的处理下,N2等离子体处理时间对拉伸应力值的影响;
图10标绘以不同沉积/处理循环所形成的CVD SiN膜的膜拉伸应力对产量的关系图;
图11A示出在表IV列出的多种循环条件下沉积所得的CVD SiN膜的N-H∶Si-N键的比率;
图11B示出在表IV列出的多种循环及时间下,以400℃沉积所得的CVD SiN膜的FT-IR光谱;
图12A-D标绘在多种不同的处理条件下,于450℃形成之一CVD SiN膜的膜应力;
图13A对在400℃下受过以等离子体作沉积后处理的CVD SiN膜绘制拉伸应力图表并标绘氢含量的降低量,而该等离子体由含有不同级量氩的气体所构成;
图13B标绘了图13A中沉积的CVD SiN膜的拉伸应力、氢含量、以及N-H及Si-H键的FT-IR光谱的尖峰面积;
图13C对受过以不同功率电平的Ar等离子体作沉积后处理的CVD SiN膜,表列拉伸应力并标绘氢含量的降低量;
图13D标绘了图13C中沉积的CVD SiN膜的拉伸应力、氢含量、以及N-H及Si-H键的FT-IR光谱的尖峰面积;
图13E对在550℃下受过以等离子体作沉积后处理的CVD SiN膜,绘制拉伸张应力图表并标绘氢含量的降低量,而该等离子体由含有不同级量氩的气体所构成;
图13F标绘了图13E中沉积的CVD SiN膜的拉伸应力、氢含量、以及N-H及Si-H键的FT-IR光谱的尖峰面积;
图14标绘了在每一循环间处以或不处以Ar等离子体清理而沉积的CVD SiN膜的膜厚度与沉积/处理循环数的关系图;
图15标绘了在每一循环间不处以Ar等离子体清理而沉积的CVD SiN膜的膜厚度与沉积/处理循环数的关系图;
图16标绘了在相继循环间多种条件下形成的CVD SiN膜的膜厚度与沉积/处理循环数的关系图;
图17标绘了在相继循环间多种条件下形成的CVD SiN膜的膜厚度与沉积/处理循环数的关系图;
图18为照射室的图示,其适用于将氮化硅材料暴露于合适的能量光束源;
图19为直方图,示出在不同处理条件下(A及B)沉积所得的材料,其于紫外辐射照射时间增加下拉伸应力值的变化;
图20为曲线图,示出在初镀(as-deposited)状态(初镀-以连续线表示)及经紫外辐射处理过后(处理过的膜-以虚线表示)的受应力氮化硅材料的傅立叶转换红外线(FT-IR)光谱;
图21A至21E为曲线图,示出氮化硅沉积材料的拉伸应力随紫外辐射照射时间的增加而提高,且在图21A中,其受单波长(处理1)及宽带波长(处理2)两种紫外光照射;
图22A标绘了受过以紫外光辐射作沉积后处理的CVD SiN膜的拉伸应力及收缩对沉积温度的关系图;
图22B标绘了22A中的CVD SiN膜的氢总含量以及N-H及Si-H键的FT-IR光谱的尖峰面积比;
图23示出初镀的CVD SiN膜以及其经紫外光辐射处理后的FT-IR光谱;
图24示出暴露于沉积后处理等离子体的CVD SiN膜的FT-IR光谱,而该等离子体由不同混合气体所生成;
图25A-D为电子显微剖面图,示出在不同处理条件下形成的CVD SiN膜的共形性(conformality);
图26A-B为放大的电子显微剖面图,示出在不同处理条件下形成的CVD SiN膜的形态(morphology);
图27标绘材料沉积速率对暴露量(exposure dose);
图28A标绘沉积速率对暴露量;
图28B为显微剖面图,示出拥有沉积层之一特征部位,此层经500mT*s配量的SiH4暴露后沉积而得;
图29A-H为电子显微剖面图,示出在不同处理条件下所形成的CVDSiN膜的形态;
图30为基板处理室之一实施例的图示,其为PECVD沉积室;
图31为相对较高压的传统处理室的简略剖面图,以及依据本发明一实施例而修改过的处理室,其在较低压下操作;
图32为图31中剖面示出的修改过的处理室之一透视图;
图33为条直方图,示出在加入一氧化物层的情况下,沉积粒子及退火后(post annealed)粒子的表现;
图34A-C图示在使用增厚的初始层时,沉积粒子及退火后粒子的表现;
图35为直方图,示出当将氧化物层及增厚的初始层并用时,沉积粒子及退火后粒子的表现;
图36为直方图,示出当使用多种增进压缩应力可靠性的方法时,沉积粒子及退火后粒子的表现;
图37A为突显菲涅尔原理(Fresnal Principle)的图形;
图37B为描述菲涅尔原理的显微剖面图;
图38A-B图示布鲁斯德角度论(Brewster angle theory);
图39A-M描述集成流程的简略剖面图,该流程使用来自多种来源的应力以增进器件性能;
图40图示在受应力氮化物膜随同快速热处理使用时,对膜组成(Si-H/N-H)以及氢总含量的反应;
图41图示沉积后NF3回蚀处理使隔离区获致较高蚀刻率;
图42为电子显微图,示出经过根据本发明一实施例的NF3回蚀处理后的外形变化以及图案负载效应(Pattern Loading Effect(PLE))。
图43示出在受激电子态中键的断裂及新受应变氮化硅的形成。
图44标绘在激发态及基态下,能量变化与键长增加%的关系。图44A为图44图示中部分的一个放大图。
图45A示出模拟经氢化的SiN的链状团簇。
图45B示出模拟经氢化的SiN的环状团簇。
图46将氧化硅及氮化硅计算出的(λ)相对于观察得到的能带间隙作比较。
图47标绘链状团簇中的能量变化相对于N-H键长的关系图。
图48A标绘不同状态下,能量变化相对N-H键长的关系图。
图48B标绘不同状态下,能量变化对Si-H键长的关系图。
图49A标绘环状团簇中拉伸较大的N-H键的能量变化对键长。
图49B标绘环状团簇中拉伸较大的Si-H键的能量变化对键长。
图50A标绘链状团簇在不同状态下,能量变化对Si-N键长。
图50B标绘环状团簇中拉伸较大的Si-H键的能量变化对键长。
图51标绘随着膜之一紫外光硬化的时间,Si-H及N-H含量的%降低量,以及Si-N于含量上的增加。
图52A示出链状团簇中Si-N键的裂解。
图52B示出环状团簇中Si-N键的裂解。
图52C示出在整体SiN材料中于环状团簇间修复SiN键。
图53A示出整体SiN材料与紫外光辐射交互作用以释放H原子。
图53B示出H与整体SiN材料反应以释放分子形态氢气。
图53C示出以整体SiN材料抽取H。
图54为简略图,示出在不同条件下的氮化硅沉积。
图55A为在不同条件下沉积的氮化物膜的应力的直方图。
图55B示出在55A图中所沉积的氮化物膜的FTIR吸收光谱。
图56A-C为直方图,示出在55A中沉积的氮化物膜的各种特性。
图57为在不同条件下沉积的氮化物膜所展现的应力对沉积温度。
图58标绘在硅基板上所形成的氮化硅膜的氢原子浓度相对于膜纵深的关系图。
图59A-B标绘在不同条件下所沉积的氮化硅膜的各种特性。
图60标绘在不同温度下所沉积的氮化硅膜的应力及折射率。
图61A-B为在各种条件下形成的氮化硅膜的应力及沉积速率的直方图。
图62A-C为在不同条件下所沉积的氮化硅膜的各种性质的直方图。
图63A-B分别标绘在不同条件下形成的氮化硅膜的应力及收缩率。
图64A为具有氮化硅沉积膜的致密图案化结构的电子显微图。图64AB为在不同条件下形成于致密图案化特征上的膜所具应力的直方图。
图64B为具有氮化硅沉积膜的隔离特征的电子显微图。图64BA为在不同条件下形成于隔离特征上的膜所具应力的直方图。
图65A-B为直方图,示出在各种条件下形成的氮化硅膜的氢含量及湿蚀刻率(WERR)。
图66A-B为具有有氮化硅膜的特征分别在紫外光硬化之前及之后的电子显微图。
图67A为简化图,示出NMOS结构的应力。图67B为经历着应力的NMOS栅极的简略剖面图。
图68A-F为电子显微图,示出在不同条件下形成于致密而隔离的结构上的氮化硅膜。
图69A-C为电子显微图,示出具有在不同条件下形成的氮化硅膜的凸起特征的的边角。
图70A-F为电子显微图,示出在不同条件下形成于凸起特征上的氮化硅膜。
图71A-B为直方图,分别示出在不同条件下形成的氮化硅膜的厚度及应力。
图72标绘在不同条件下形成的氮化硅膜的傅立叶转换红外线(FTIR)光谱。
图73A-B示出具有在不同条件下形成的氮化硅膜的凸起特征的电子显微图。
图74A-C示出在不同条件下形成于隔离特征上的氮化硅膜的电子显微图。
图75A-C为在不同条件下形成于致密图案化的特征上的氮化硅膜的电子显微图。
图76标绘在不同条件下形成的氮化硅膜的氢浓度相对于其纵深的关系图。
图77A-B标绘受曝于不同紫外光硬化条件的氮化硅膜的应力对硬化时间。
图78A标绘不同元素的原子浓度对氮化硅膜的纵向深度。
图78B为在不同条件下所形成的氮化硅膜的应力的直方图。
图79A为如本发明所述之一装置之一实施例的简化图,该装置可用于形成受应力的氮化硅膜。
图79B为屏幕照片,示出图79A的工具所采用的连串步骤。
图80标绘因变于沉积温度的氮化硅沉积膜的应力及湿蚀刻率。
图81为描绘添加掺杂物对氮化硅沉积化学的影响的示意图。
图82为沉积处理的一个实施例的流程图。
图83为沉积处理的另一实施例的流程图。
图84为描述一实施例对紫外光硬化后的湿蚀刻速率(WER)及应力的影响的图示。
图85为标绘相对氢含量对膜应力及收缩的关系图。
发明的详细描述
有许多技术可以单独或合并地使用,以增进利用化学气相沉积(CVD)所形成的膜层的共形性和应力。根据本发明所提出的实施例特别适用于制作具拉伸或压缩应力的共形层,其对位于下方的硅晶格施加应变。
在应用示例中,其受张力(tensile stressed)或受压力(compressivestressed)氮化硅材料于基板32或工件上形成,以制作MOSFET结构392,此结构描绘于图1的简略剖面图中。此沉积或经处理过的氮化硅材料20具有相对较高的内在应力,因而于晶体管24的沟道区28内引发应变。此被引发的应变提高了沟道区28内的载体迁移率,因而增进晶体管24的性能,例如提升晶体管24的饱和电流。氮化硅材料20在MOSFET 24中还具有其它用途,例如作为蚀刻终止材料。此受高度应力的氮化硅材料20于其它结构中也一样有用,比如:其它晶体管,包括但不限制于为二极接合晶体管、电容器、感应器及促动器等。其中的基板可为硅晶片,或可由其它材料制成,如锗、硅锗、砷化镓及这些材料的组合。此基板或工件32也可以为诸如玻璃的介电质,用于制作显示器。
图1中描述的晶体管24为负沟道(或称n-沟道)MOSFET(NMOS),具有源极和漏极区36、40,其经由掺杂基板32VA族元素而形成n-型半导体。NMOS晶体管中,源极和漏极区36、40的外的基板或工件32通常掺杂着IIIA族元素,而形成p-型半导体。NMOS沟道区上方覆盖的受应力氮化硅材料被制成具有拉伸应力。
在另一方案中,MOSFET晶体管24包含正沟道(或称p-沟道)MOSFET(PMOS)(无示出),其具有源极和漏极区,经由掺杂基板IIIA族元素而形成p-型半导体。在PMOS晶体管中,晶体管24可包含基板或工件32,其包含n-型半导体,或者是,晶体管24具有阱区(未示出),阱区包含n-型半导体,形成于基板或工件32之上,而该基板或工件32包含p-型半导体。此PMOS沟道区覆盖着受压力氮化硅。
在所示方案中,晶体管24包含沟渠44,于基板32上的晶体管24间或晶体管24群间供作隔离,亦即一种已知技术:浅沟渠隔离(shallow trenchisolation)。沟渠44通常以蚀刻处理而形成于源极和漏极区36、40之前。沟渠侧壁的衬里材料(未示出),举例来说,可利用在氧化物/氧化氮化物环境中的快速热氧化而在沟渠44内形成,其亦可将沟渠44(或其它地方)上的尖角变圆。在方案中,沟渠44亦可充填具拉伸应力的沟渠材料46,其还可用来对沟道区28提供拉伸应力。沟渠材料46的沉积可包含使用高深宽比处理(High Aspect Ratio Process(HARP)),其可包含使用应用O3/四乙氧基硅烷(TEOS)的次大气压化学气相沉积(SACVD)处理。过多的沟渠材料46可利用如化学机械研磨将之移除。
此晶体管包含栅极氧化材料48和栅电极52,其位于源极和漏极区36、40之间的沟道区28上方。在所示出的方案中,晶体管24还包含硅化物材料56,其位于源极和漏极区36、40之上,也位于栅电极52之上。此硅化物材料56与位于下方的源极和漏极区36、40以与栅电极52相较为高度导电,并经由金属接触54以有助于使电子信号传进及传出晶体管24。而取决于使用的材料及形成处理,硅化物材料56还可包含拉伸应力并在沟道区28中产生拉伸应变。所示晶体管也包含间隙物60以及氧化垫材料64,其可位于栅电极52的对立侧壁68上,使硅化物材料56在制作硅化物材料56的硅化处理中保持分隔。在硅化处理中,连续的金属材料(未示出)沉积在含氧化物的源极和漏极区36、40以与栅电极52之上,也沉积在含氮化物之间隙物60之上。此金属与位于下方源极和漏极区36、40以与栅电极52的硅反应,而形成金属-硅合金硅化物材料,但其对间隙物60中的氮化物材料较不具反应性。因此,间隙物60允许上方未反应的金属被蚀去,而并不影响硅化物材料56中的金属合金。
沟道区28的长度较栅极氧化物材料48的长度短。所测量源极区36和漏极区40边缘之间定义的沟道区28长度约为90纳米或更短,例如约90纳米至约10纳米。由于沟道区28的长度变短,植入(implant)72(也称之为环形植入(halo))可被反向掺杂进沟道区28中,以避免电荷载体失控地由源极区36跳到漏极区40,反之亦然。
在图1示出的方案中,氮化硅材料20形成于硅化物材料56上方。氮化硅材料20通常作为接触蚀刻的终止材料,同时也将应变提供给沟道区28。氮化硅材料20能够经沉积而具有应力值,其范围由压缩应力直至拉伸应力。选择氮化硅材料20的应力种类亦即选取了提供晶体管24沟道区28的应变类型。
如前所述,膜应力及共形性为对下方硅晶格上施加应变的薄膜所具有的两个主要特征。并入本文全部议题以供参考的是2005年2月11日提出的美国非临时专利申请No.11/055,936,题目为“半导体用的受张力及压缩材料(TENSILE AND COMPRESSIVE STRESSED MATERIALS FORSEMICONDUCTORS)”。此先前提出的专利申请书描述多种可用于控制沉积膜应力的技术。
本临时申请描述更多控制由化学气相沉积(CVD)所形成的膜的应力和共形性的技术。经发现,沉积的氮化硅受应力材料的两种类型的应力,即拉伸及压缩,以及应力值皆可于沉积材料中设定,藉由控制处理变量或藉由如下所述对沉积材料进行的处理。这些处理变量将个别地或以特别组合描述于下,然而,本发明不应被局限于本文所描述的个别或组合示例,而是如本领域技术人员所显而易见地,包含其它的变量个体或组合。
以下部分分别对压缩膜应力、拉伸膜应力以及膜共形性的控制进行阐述。
I受压力材料
沉积处理及处理条件可经调整以适于沉积受压力材料于基板上,或于沉积期间或沉积之后处理材料,使其压缩应力值提高。在不受限于说明的情况下,已发现欲获得具有较高压缩应力值的氮化硅受应力材料,可经由提高射频(RF)撞击来获致较高的膜密度,而这是由于沉积材料内具有较多的Si-N键而Si-H及N-H键减少的缘故。较高的沉积温度及RF功率增进了沉积膜的压缩应力水平。此外,较高动能值的等离子体种类所沉积的材料可获得较高的压缩应力水平。确信的是,高能的等离子体种类(如等离子体离子和中性物质)的轰击会在沉积材料中造成压缩应力的原因在于膜密度的增加。
用于沉积受压力氮化硅的处理气体包含如下所述(与受张力材料的形成有关)的含硅和含氮气体。且除非有特别声明,一般沉积处理的条件,诸如射频类型和功率电平、气体流率和压力、基板温度、以及其它的这类处理,与那些用于沉积受张力材料的处理大致类似。
要沉积受压力氮化硅材料,引进腔室的处理气体包含:含有含硅气体的第一成分、含有含氮气体的第二成分、及含有碳、硼或锗的第三成分。举例来说,含硅成分可为硅烷、二硅烷、三甲基硅烷(TMS)、三(二甲基氨基)硅烷(TDMAS)、二(第三-丁基氨基)硅烷(BTBAS)、二氯硅烷(DCS)、及它们的组合。除上述的化合物以外,含碳成分可为乙烯(C2H4)、丙烯(C3H6)、甲苯(C7H8)、及它们的组合。含硼及含锗成分可分别为二硼烷(B2H6)、氯化硼(B2Cl4)、及锗烷(GeH4)。适当的硅烷流率例如为约10至约200sccm。举例而言,含氮气体可为氨气、氮气、以及它们的组合。适当的氨气流率为约50至约600sccm。此处理气体也可含稀释气体,其供入的体积量比反应气体成分大很多。此稀释气体也可作为稀释物,并同时至少部分作为含氮反应气体,例如流率为约500至约20,000sccm的氮气。其它可包含于处理气体内的气体可为钝气,例如氦气、氩气或氙气,其具约100至约5,000sccm的流率。当沉积氮氧化硅材料时,此处理气体也可另含气体,如含氧气体,诸如氧气。除非有特别声明,在这些处理中,电极的功率电平通常维持在约100至约400瓦特,电极间隙为约5毫米(200毫寸)至约12毫米(600毫寸),处理气压为约1托至约4托,且基板温度为约300至约600℃。
经发现,将氢气引进沉积化学作用,可实质地使成形膜的压缩应力提高。下方表I列出三种氮化硅膜沉积的个别条件。
表I
  膜#   SiH4(sccm)   NH3(sccm)   N2(升)   Ar(升)   H2(升)
  1   60   30   1   3   0
  2   60   30   1   3   1
  3   60   30   0   3   1
图2标绘以上方表I中所列出的三种个别沉积条件所沉积的SiN膜的膜应力及折射率。图2示出加入氢气对所得膜所具压缩应力的影响。图2示出在已知H2和Ar气流下,将SiH4/NH3比率最优化而达最高压缩应力的N2/Ar/H2比率为0/3/1。
图3标绘上方表I中所列出氮化硅膜#1和#3的FT-IR吸收光谱。CVDSiN膜#3的FT-IR光谱与CVD SiN膜#1相比,可具相当明显的差异。图3的光谱示出,于存有氢气的状况下所沉积的氮化物膜#3,在波数约3330cm-1处强度增加,而此光谱区相当于拉伸应力的N-H变形特征,表示出压缩应力的增加。
在已知相对比率的处理气体范围内,还可改变其它参数来增进压缩应力。例如,以CVD处理,并在不同流速的SiH4和NH3下,采用前述0/3/1的N2/Ar/H2流速比率来沉积SiN膜。这些实验揭示了最大压缩应力(G~-2.8GPa)的中心点,其SiH4流速为60sccm而NH3流速为150sccm。
图4A-D指出经由改变其它处理参数,沉积膜中的压缩应力水平可进步增进至约-2.8GPa以上。例如,图4A标绘在三种不同的SiH4流速下,所沉积的CVD SiN膜的应力及折射率。图4A示出SiH4流速可经最优化而增进压缩应力。
图4B标绘在三种不同的晶片对面板之间距(wafer-to-faceplate spacing)下,所沉积的CVD SiN膜的应力及折射率。图4B也示出此间隙差异可经最优化而增进压缩应力。
图4C标绘在三种不同的氢流速下,所沉积的CVD SiN膜的应力及折射率,图4C示出H2流速可经最优化而增进压缩应力。
图4D标绘在三种不同的RF功率下,所沉积的CVD SiN膜的应力及折射率。图4D示出经由控制此处理参数,可获最大压缩应力。
图5A和5B示出在许多处理参数的并用变化下,可使CVD SiN膜具有接近-3.0GPa的压缩应力。明确地说,图5A标绘在三种不同的温度下,于有氢气下及无氢气下沉积的SiN膜所具有的应力及折射率。图5A示出于480℃且包含氢气的条件下所沉积的膜具有接近-3GPa的压缩膜应力。图5B标绘在480℃且包含H2及Ar的条件下,以75瓦特或100瓦特的高频功率沉积的SiN膜所具有的应力及折射率。图5B示出以75瓦特低频沉积,得-3GPa的压缩应力的膜。以此气体/压力/间隙的组合,使用75瓦特最适功率,得到具有最高压缩应力的膜。
如前所述,氮化硅于存有氢气下所作的沉积在增进制得的SiN膜的压缩应力上可达令人满意的程度。然而,据知氢气能够轻易地扩散通过介电材料,且该氢气旦渗入半导区就会降低器件的可靠性,尤其是在晶体管的级别。而且当使用高应力膜作为蚀刻终止层时,这种现象就会更加的显著。
且根据观察,氢可累积在氮化物/器件(NiSix)界面,而此积聚的氢也会造成实体缺陷,例如在接下来的处理步骤中起泡或分层。针对分层部位残余物所做的检验中,发现了Zn和Na的存在,属典型的金属污染物。这种实体缺陷的或然率随着压缩应力程度而提高,而且当氮化物膜在越低温下沉积,这也就越明显。
根据本发明的多个实施例,有三种方法可以单独或合并使用,以便于在高压缩应力的氮化物膜在氢气存在下沉积形成时,可以避免缺陷的发生,进而增进器件的可靠性。根据实施例,藉由对此高压缩应力氮化硅的承接面施以沉积前(pre-deposition)等离子体处理,可降低缺陷。根据另一实施例,在沉积此高压缩应力氮化硅层前,先在该氮化物层的承接面上,形成缓冲层,即可降低缺陷。根据本发明的再一实施例,先行在无氢的情况下形成SiN膜,再于氢气存在的情况下沉积上覆的高应力SiN膜,藉此可降低缺陷。这些方法现将依序讨论于下。
根据刚才提到的第一实施例,在氢气存在的情况下沉积氮化硅之前,可以使用等离子体前置处理(pre-处理ment)步骤。此沉积前等离子体处理将晶片表面清理,去除可使此表面有容许氢穿透余地的污染物,诸如残余硅烷或是金属污染物,如Zn及Na。用于此前置处理步骤的等离子体可由一些不同的周遭空气构成,包含但不限定于含N2O、含O2、及含NH3的等离子体,这些等离子体已经成功地用于降低氮化物膜退火后的缺陷数目。此前置处理可应用在与SiN进行沉积处相同或不同的处理室中。此等离子体处理可在进行接下来的沉积步骤之前就结束,或也可继续并延伸至SiN沉积步骤中。为达成某种需求效应,此等离子体前置处理的特定参数,诸如持续期、功率、温度、以及周遭空气,可根据各独特的应用而改变。
根据上述本发明的第二实施例,在用于承接高压缩应力氮化物的表面上形成缓冲层,可使缺陷减少并增进可靠性。这样的一种缓冲层通常包含氧化物,之后将位于氮化物/NiSix界面。此氧化物作为缓冲层,阻断氢扩散通过SiN沉积膜。抵达氧化缓冲物的原子形态氢尝试要与其它氢原子结合以形成分子形态的氢,但却不成功,此归因于Si-N、Si-H、及N-H的键结强度。明确地来说,氢藉由从Si-H或N-H键跳过另键而扩散。为了要由氮化物层移入氧化物层,Si-O键必须断开而一个Si-N键才形成。这种反应于能量观点而言并不被偏好,因此氢将捕获于氮化物层中。照这样,此氧化物缓冲层充当壁,以防止气体累积在Si/SiN界面,减少起泡和退火后分层的情况。
图33比较在三种不同厚度的氧化物缓冲层上方沉积的高压缩应力氮化硅所具有的污染物。图33示出,即使使用非常薄的氧化物缓冲层,导致的退火后面积计数为约2adders(单位晶片的缺陷数)或更少。
根据上述本发明的第三实施例,在引进用于形成高压缩应力氮化物的氢气前,先形成初始层,可使缺陷数目减少,且增进可靠性。如上所述,氮化物层所需的高压缩应力特性源自沉积过程间有氢气存在。根据此第三实施例,氢气的扩散可藉由在无氢情况下执行初始阶段沉积而降低,而使所得的氮化硅初始层不具高压缩应力。一旦初始层形成了,就将氢气引进沉积混合气体中,以使位于上方的氮化硅拥有需求程度的压缩应力。
此初始层的任务在保护器件免受可能发生在高压缩应力沉积中的等离子体中的电子骤增。将初始层厚度作适当调整,也可使其充当氢扩散的一个阻挡层。此初始层实质性地形成一个阻挡层,有助于排除氢的累积情形。
图34A示出在不同厚度的初始层下,于高压缩应力氮化硅层所观察得的adder数,此层在400℃下形成,并在400℃下退火5小时。图34A示出使用越厚的初始层会增进退火后的粒子表现。图34A也示出,当与具有约35埃(沉积5秒)厚度的初始层相比,只有在初始层厚度大于约90埃(沉积12秒)时,退火后的面积计数才降至小于约3adder。
图34B示出在相同厚度(沉积10秒)的初始层上方,于480℃下所形成的多种厚度高压缩应力膜的粒子表现。图34B示出沉积10秒所形成的初始层,其改善了膜的粒子表现,而形成厚度至少达1500埃的膜。
图34C标绘高压缩应力膜的膜应力相对膜厚度,此膜含沉积了5秒或10秒的初始层。图34C示出在将初始处理由5秒提高至10秒时,对具有大于约350埃厚度的膜而言,应力方面的变化并不大。
虽然已经对前述三种提升压缩应力可靠性的方法分别作了说明,但这些方法亦可采用各种组合而合并使用的。例如,图35标绘四种不同的高压缩应力氮化硅膜迭(film stack)的粒子计数,这些膜叠层于480℃沉积后,又于400℃下经5小时退火。其中的第一和第二膜叠层包含分别经5秒及10秒沉积的初始层;第三和第四膜含初始层,其形成于氧化物层之上,而其沉积时间如图所示。
图35示出使用较厚的初始层在“初镀”膜上得到好的粒子表现。图35示出在初始层下面加用氧化缓冲层(所具厚度30-50埃)也使膜叠层粒子表现变得更好。
图36标绘在多种不同条件下,所形成的高压缩应力氮化硅层的粒子计数及面积计数。图36示出以氨(NH3)作沉积前等离子体处理,对于增进起泡阻力,是最有效的技术。运用氧化缓冲层和初始层也示出了好结果。
前述本发明的三个实施例,能用来解决与其它介电膜集成相关的问题,诸如低k介电质及在沉积时使用氢或重氢的高拉伸应力氮化硅。根据本发明的其它实施例,重氢也可在沉积时用来代替氢,以形成压缩应力大于3GPa的氮化硅膜。
沉积后NF 3 回蚀处理
如上所述,氮化硅介电膜可在许多应用上作为阻挡层或蚀刻终止层。晶片整体的膜厚度(如底部对顶部对边墙的厚度)的不一致性,会对增进由器件到另一器件的驱动电流,造成负面的影响。PECVD介电膜的隔离区以及在多栅极上方角处可能因高沉积速率而受损(例如:左右串通(bread-loafing))。调整处理变量对于改善阶梯覆盖或图案负载的效果可能不大。
根据本发明的一个实施例,NF3回蚀处理调整PECVD氮化物的阶梯覆盖及图案负载。稀释的NF3等离子体可在沉积后用于回蚀氮化物膜,以调整阶梯覆盖的分布曲线。此回蚀处理导致低蚀刻率以及期望的蚀刻一致性。而此回蚀分布曲线可与PECVD的沉积分布曲线相似。在一实施例中,此NF3回蚀处理在与沉积处理相同的腔室中执行,且可在沉积结束时开始进行。反之,此回蚀处理可以沉积/蚀刻的顺序进行。NF3处理参数可经调整,而使蚀刻分布曲线能被调整至与沉积分布曲线相称。
图41描述沉积后NF3回蚀处理的结果。在图41中,隔离区示出出较高的蚀刻率。再者,稀释的NF3回蚀在不影响膜应力下,降低了约30%的底面覆盖负载。此方法在调整其它PECVD介电膜的阶梯覆盖上具有潜在能力。
图42示出在NF3回蚀氮化硅层(标示为M3)后,外观改变以及图案负载效应(PLE)的改良。M3描述氮化物左右串通的外观。经NF3回蚀处理后,M3外观改变。经对M3压缩性氮化物执行沉积后的NF3回蚀之后,PLE变好。
II.受张力材料(Tensile Stressed Materials)
在不受限于说明的前提下,已经发现要得到具有较高拉伸应力值的氮化硅受应力材料,可藉多种技术的单独或组合使用,而降低氮化硅沉积材料中的氢净含量或是硅-氢及氮-氢键含量(各别表示Si-H及N-H键)。一般相信,降低沉积材料的氢含量,可使得氮化硅材料中可检测的Si-H及N-H键含量较少,而使沉积材料的拉伸应力值提高。且一经发现,有数种不同的沉积处理参数、沉积材料处理、或上述的组合,可用来达成降低沉积材料的氢含量,诚如本文所述。
全部议题并入本文参考的是由史密斯等人于1990年2月发表于电化学协会期刊第137期第2卷的“由NH3-SiH4等离子体沉积SiNx的机制」(Mechanism of SiNx Deposition from NH3-SiH4.Plasma,Smith等人.,J. Electrochem.Soc.,137卷,No.2(1990年2月))”。这篇文章把层CVD SiN膜拉伸应力的形成,归因于膜层的次表层区(subsurface zone)的稠化,而稠化藉由对挥发性氨(NH3)类来排除。明确地来说,等离子体中的氮基可抽出氢而释放氨,留下由空隙分开而悬空着的Si及N键。然后拉长的Si-N键形成且可由FT-IR检验测得,其于840cm-1出现表征尖峰。这些拉长的Si-N键由周遭材料束缚住无法松弛而导致拉伸应力。
有许多技术可以用来增进被引生的拉伸应力水平。如下所详述,根据一种技术,藉由在多个相继的沉积/处理(dep/处理)循环下形成复合层材料,可以增进拉伸应力。根据另一实施例,也可藉由在较低温进行材料沉积,接着暴露于辐射中进行硬化,而增进拉伸应力。
要沉积受张力氮化硅材料,引进腔室的处理气体可包含:含有含硅气体的第一成分、含有含氮气体的第二成分、及含碳、硼或磷的第三成分。举例来说,含硅气体可为硅烷、二硅烷、三甲基硅烷(TMS)、三(二甲基氨基)硅烷(TDMAS)、二(三-丁基氨基)硅烷(BTBAS)、二氯硅烷(DCS)、及它们的组合。除上述气体外,含碳成分可为乙烯(C2H4)、丙烯(C3H6)、甲苯(C7H8)、及它们的组合。含硼及含磷成分可分别为二硼烷(B2H6)、氯化硼(B2Cl4)、及膦(PH3)。适当的硅烷流率例如为约5至约100sccm。举例而言,含氮气体可为氨气、氮气、以及它们的组合。适当的氨气流率为约10至约200sccm。处理气体也可含稀释气体,其供入的体积量比反应气体成分大很多。此稀释气体也可作为稀释物,并同时至少部分作为含氮反应气体,例如流率为约5000至约30,000sccm的氮气。当沉积氮氧化硅材料时,此处理气体也可另含其它气体,如含氧气体,诸如氧气。除非有特别声明,在这些处理中,典型的气体压力为约3至约10托,基板温度为约300至约600℃,电极间距为约5毫米(200毫寸)至约12毫米(600毫寸),RF功率电平为约5至约100瓦特。
A.氮等离子体处理循环
还发现,初镀氮化硅材料的应力值可藉由对氮化硅沉积膜处以氮等离子体处理步骤(处理)而增加。这样的一个处理循环可将沉积处理修改成两个处理步骤而执行。在第一或沉积处理步骤(dep)中,处理气体包含:含有含硅气体及含氮气体的第一成分,以及包含稀释氮气的第二成分,其被引进处理室,并经由对腔室电极施以高频或低频的电压,便由处理气体形成等离子体。在第二或氮气等离子体处理循环中,处理气体中含有含硅气体及含氮气体的第一成分气流被关掉或被实质上停止,而含有稀释氮气的第二成分气流则仍继续开着,且施用在电极以形成等离子体的高频或低频电压也继续保持。在氮化硅材料沉积期间,这两个处理循环被重复数次。
再者,在不受限于说明的情况下,一般相信氮等离子体循环进一步降低沉积氮化硅的氢含量。可确信,氮等离子体循环藉由移除沉积材料的硅-氢键,而促进氮化硅沉积材料中硅-氮键的形成。但因氮等离子体处理只能影响氮化硅沉积材料的薄表面区,所以氮处理循环在短暂沉积处理循环后即进行,而在此短暂沉积处理循环中只有层膜的氮化硅被沉积在基板上,而膜则薄到足以使氮等离子体处理实质地穿透沉积膜的整个厚度。如果氮等离子体处理在整层厚度的氮化硅膜沉积完全后才执行,则只有薄表面区的沉积材料会被适当地处理过。
修正过的沉积处理包含足够的沉积循环次数,再接着进行等离子体处理,以得所需膜厚度。例如,沉积处理沉积出厚度500埃的受张力氮化硅材料,此处理包含20个处理循环,每个循环包含沉积循环及第二氮等离子体处理循环。每个沉积循环执行约2至约10秒,而较典型的是约5秒,且每个氮等离子体处理循环执行约10至约30秒,而较典型的是20秒。所得到沉积的受张力氮化硅材料的厚度为500埃,且沉积材料的拉伸应力值在氮等离子体处理下增加至1.4GPa。此与初镀氮化硅材料的拉伸应力相比对,相当于进步了10至20%,如下表II所示。
表II
NPT=氮等离子体处理
表II示出沉积的氮化硅材料随着沉积期间基板温度的提高,在具有及不具有多重氮等离子体处理循环下,其拉伸应力的提升情形。基线(单材料)氮化硅膜在单沉积处理循环下沉积,所使用的处理条件如上所述,且不具氮等离子体处理循环。基线膜示出在基板温度由400提高至500℃时,拉伸应力由1GPa增加至约1.35GPa。而NPT(氮等离子体处理)膜则以多重沉积及氮等离子体处理循环沉积,其中NPT(1)相当于20秒氮等离子体处理循环,NPT(2)相当于10秒氮等离子体处理循环。由这两个NPT膜可以看出,与基线膜相比,氮等离子体处理提升了拉伸应力,而拉伸应力也随基板温度而提高。
图6示出在不同氮等离子体处理处理条件下,提高施加于电极105和109的高RF电压功率值,对于沉积材料的拉伸应力值的影响。第一处理(A)包含7秒的沉积阶段,然后40秒的等离子体处理阶段,并重复20个循环。第二处理(B)含有5秒的沉积阶段,然后40秒的等离子体处理阶段,并重复30个循环。第三处理(C)含有4秒的等离子体稳定阶段、5秒的沉积、及40秒的等离子体处理,并重复30个循环。当高射频设定在略超过40瓦特的功率电平时,第一和第三处理具有最高的拉伸应力值,且拉伸应力值由峰顶高度往两边下降。第三处理随着功率增加,拉伸应力值持续地由0瓦特功率下的略超过1000MPa,下降至100瓦特功率下的900MPa。因此20至60瓦特的功率电平,且较佳为45瓦特,被选用于氮等离子体/沉积处理。
图7示出沉积层在不同的沉积处理及不同的氮等离子体处理循环下所得的拉伸应力值及折射率。上方曲线标示量测的拉伸应力值,下方曲线标示量测的折射率。该处理包含:仅具沉积的处理;具有40秒清洗(purge)的处理,用以观察在不具RF功率下,即仅在热能作用下,所产生的效应;具有20秒清洗再接着20秒等离子体步骤的处理;具有40秒等离子体步骤的处理;具有20秒等离子体步骤再接着20秒清洗的处理;具有3秒快速清洗再接着20秒等离子体步骤的处理;具有3秒抽吸(pump)及20秒等离子体步骤的处理;及具有3秒快速清洗及10秒等离子体步骤的处理。这些膜在执行连串30个的连续循环下而形成。
最高拉伸应力值发生在以3秒抽吸加上20秒等离子体以及3秒快速清洗,再加上10秒等离子体的处理。而最低拉伸应力值则于仅有沉积的处理以及10秒清洗处理下测得。一般来说,在超过10秒的等离子体处理期下,所得应力值达最大且趋于平衡,但当加入了抽吸循环时,超过20秒的处理期下所得的应力值就不呈饱和了。
如下表III描述在7中示出循环期间每一步骤的示例性处理条件。
表III
所有步骤于400℃下进行,且晶片对面板之间距为430毫寸
TFO=节气阀全开
  步骤   SiH4(sccm)   NH3(sccm)   N2(公升)   压力(托)   功率(瓦特)   持续期(秒)
  稳定   25   50   20   6   0   4
  沉积   25   50   20   6   45   5
  抽吸   0   0   0   TFO   0   30
  快速清洗   0   0   20   TFO   0   30
  清洗   0   0   20   6   0   5-40
  处理处理   0   0   20   6   45   20-40
图8示出N2等离子体处理期对沉积材料的拉伸应力值的影响。拉伸应力值直要到处理期达10秒才增高,在这之后,拉伸应力值似呈“饱和”而不再增加。折射率则随着处理时间而稍微提高。
图9示出在具3秒快速清洗及3秒抽吸的处理下,处理期对拉伸应力值的影响。而即使处理时间高达约20秒,图9的拉伸应力值并未如图8般呈现“饱和状”。
经发现,在氮等离子体处理中加入步骤并予执行,能使所得膜的拉伸应力得到更大的增进。表IV概述多种不同N2等离子体暴露循环的处理顺序。
表IV
  处理顺序   步骤时间(x循环数)(秒)   厚度(埃)   RI  应力(MPa)   产量双工具(晶片数/小时)
  仅沉积(基线)   134   500   1.847  1000   20
  沉积/处理(DP)   10/20(x5)   525   1.874  1100   10
  Stab/沉积/处理(SDT)   4/5/20(x25)   580   1.892   1180   6
  Stab/沉积/抽吸/清洗/处理(SDPPuT)   4/5/3/5/20(x30)   510   1.891   1230   4.5
图10标绘以双室工具(twin chamber tool),在表IV所示的每个N2等离子体暴露循环下,膜应力对处理产量的关系图。图10示出在每循环中加入步骤则降低处理的产量。
图11B示出在表IV列出的多种循环及时间下,于400℃下沉积所得的厚度约2800埃CVD SiN膜的FT-IR光谱。图11B示出N2处理将氢由Si-H和N-H键移除,其分别于2200cm-1及3330cm-1的尖峰均降低。N-H经N2处理过即减少的另个表现是在降低的1167cm-1尖峰/肩区,其相当于Si-NH-Si键。当膜中具有相当量的N-H键时,1167cm-1尖峰变得较为显著。
在不希望被任何特定理论限制下,一般相信N2处理降低膜内的氢含量,而导致拉长的Si-N键的形成。在沉积后引进另外的步骤(诸如清洗抑或抽吸),由于腔室内不再有沉积气体,因此N2处理效应会增进。反之,残余的SiH4和NH3在处理期间残留在腔室内,一些沉积持续在进行,处理就无法如此良好地扩散进已沉积材料中。
图11A标绘在表IV列出的多种循环下沉积所得的CVD SiN膜的N-H∶Si-N键的比率。图11A示出在N2等离子体暴露循环外加步骤可降低N-H量达约40%。
表V列出在增温下使用
Figure A20078001777100311
SE工具所形成的CVD SiN膜的应力结果。
表V
Figure A20078001777100312
Figure A20078001777100321
表V示出使用修改过的拉伸处理方式,可在450℃热预算(thermalbudget)内形成具有1.5Gpa拉伸应力的CVD SiN膜。
图12A-D确认了这个结果,其标绘在不同的处理条件下,于450℃形成的CVD SiN膜的多个属性。图12A标绘膜应力对NH3流量,示出达到1.5GPa的拉伸应力。图12B标绘膜应力对N2流量,示出以较低N2流率达到1.5GPa的拉伸应力。图12C标绘膜应力对SiH4及NH3的总流量,示出膜应力并不是此处理参数的函数。图12D标绘膜应力对所施RF功率,示出以较低RF功率达到1.5GPa的拉伸膜应力。
采含氮等离子体所作的处理可执行于数个变量下。例如,于含氮等离子体下的暴露可在和执行材料初始沉积相同或不同的腔室中进行。此外,氮气等离子体的暴露仅可在一种或多种气体流进腔室的流率稳定了之后才进行。再者,含氮等离子体可在腔室中产生,或可在远程产生,然后再流进腔室中。
B.氩(等离子体处理)
如前所述,将CVD膜暴露于包含有含氮气体的等离子体,可增进此膜的拉伸应力。根据本发明的另一实施例,CVD膜的应力也可利用将此膜在沉积期间抑或沉积之后暴露于含氩气的等离子体而获得增进。
图13A-F描述在表VI列出的条件下,经由沉积/处理(dep/treat)循环而形成的CVD SiN膜的特性。
表VI
压力=8.5托
晶片对面板之间距=300毫寸
  循环步骤   SiH4(sccm)   NH3(sccm)   N2(公升)   N2+Ar(公升)   RF功率(瓦特)
  沉积(Dep)   60   900   1   --   100
  处理(处理)   0   0   --   20   不定
图13A-B示出在沉积后处理期间,改变氩气流量%所带来的影响,而其中沉积及处理均在400℃下进行。图13A-B示出沉积膜的拉伸应力量与所得膜中所减少的氢含量(〔H〕)有直接相关。图13A-B还示出拉伸应力的增加是氩气含量的函数,且拉伸应力在Ar含量大于约25%处达饱和。
图13C-D示出在沉积后Ar处理期间,改变所施用的RF功率电平而带来的影响,其中Ar占气流的25%,且沉积及处理均在400℃下进行。图13C-D示出拉伸应力的提升对于处理的RF功率电平相当不敏感。
图13E-F示出温度变化对于以含不同量氩的等离子体处理过的沉积膜所带来的影响。确切地来说,图13E-F的沉积/处理循环于550℃下进行。图13E-F确认了所得膜的拉伸应力的提升直接与膜中氢含量的减少有关。图13E-F也示出当沉积在较高温下(即,相对400℃的550℃)进行,处理过程就比较没效。图13E-F示出初镀膜的氢总含量较400℃下沉积的膜(图13A-B)为低,致使在等离子体处理期间,氢总含量的降低量较少。
虽然以上所述将沉积膜的暴露着重在包含氩气的等离子体上,其它种类的等离子体一样也可使用。例如,一种适用于沉积后暴露的等离子体可包含混合气体,其包含氩气及/或氙气。
C.紫外光照射
以适当的能量光束(energy beam)(例如,紫外辐射或电子光束)来处理沉积材料,则初镀氮化硅材料的拉伸应力可进一步增加。可确信,使用紫外线及电子光束照射能进步降低沉积材料中的氢含量。能量光束照射可在CVD室本身内或在另一个腔室内执行。例如,具有受应力沉积材料的基板,可于CVD处理室内,接受紫外线或电子光束辐射照射。在这样的一个实施例中,保护曝光源(exposure source)免于CVD反应的伤害可利用护罩或在处理气流之后接着才将曝光源引进腔室。此紫外线或电子光束可在沉积受应力材料的CVD反应期间,在原CVD沉积室中施用于基板。在此方案中,可确信在沉积反应间接受紫外线或电子光束照射,会使非期望的键在形成时即被瓦解,故而增进受应力沉积材料的应力值。
图18示出照射室200的示例性实施例,其可用于使基板32暴露于紫外辐射或电子光束处理。在所示方案中,腔室200包含基板支撑件104,其可移动于远离曝光源204的释放位置以及离曝光源204最近的高举位置之间,因而调整两者之间的间隔。基板支撑件104在腔室200内支撑着基板32。在将基板32置入或移出照射室200期间,基板支撑件104可移至安装位置,之后,在将具有沉积氮化硅材料的基板32暴露于紫外辐射或电子光束期间,则将基板支撑件104升高至高举位置,使照射度达最大。腔室200还包含加热器206,例如电阻式加热元件(resistive heating element),其于基板32暴露期间,可用于将基板32加热至需求温度。配置气体入口208以将气体引进照射室200,而气体出口210则用来自照射室200排出气体。
照射室200还包含曝光源204,其提供适当的能量光束,如紫外辐射或电子光束。适当的紫外辐射源能够发射出单波长的紫外线或者宽带(broadband)波长的紫外线。适当的单波长紫外光源包含受激准分子(excimer)紫外光源,其提供172纳米或222纳米的单波长紫外线。适当的宽带光源产生约200至约400纳米波长的紫外辐射。这样的紫外光源可得自美国Fusion Company或美国Nordson Company。受应力氮化硅材料亦可暴露于产自照射器的其它波长紫外辐射,这些照射器含有当受电力刺激即辐射特殊波长的气体。例如,适当的紫外光照射器可包含Xe气体(氙),其产生172纳米波长的紫外辐射。在其它方案中,照射器可包含不同对应波长的其它气体,如水银照射器于243纳米波长产生辐射、重氢于140纳米波长产生辐射、而KrCl2则于222纳米波长产生辐射。另外,在一方案中,要特别量制产生紫外辐射以修正受应力沉积材料的应力值,可藉由将混合气体引进照射器而达成,其中每气体能够在激发下放射具特定波长的辐射。经由改变气体的相对浓度,即可选择由辐射源输出的波长种类,以同时接受到所有想要的波长,因而让所需暴露时间减至最小。紫外辐射的波长和强度可经拣选,以在氮化硅沉积材料中获得预定的拉伸应力值。
CVD沉积室80(见图30)及照射室200也可被集成在个多腔室的处理平台(未示出)上,而处理平台备有机器单臂以供使用。曝光源204、照射室200的支撑件、以及CVD沉积室80的器件(包含:基板支撑件104、马达、阀或流量控制器、气体输送系统、节气阀、高频电力供应、及加热器206、还有处理集成系统的机器手臂),均可藉由系统控制器对合适的控制线进行全面操控。系统控制器依靠光学感应器的回馈而决定可动机械配件的位置,可动机械配件(诸如,节气阀和基板支撑件104)运用适当的马达在控制器的操控下移动。
关于在所述的照射室200中的暴露处理,将根据本文所述的任何沉积处理或本领域技术人员所知的其它沉积处理的具有氮化硅材料的基板置入照射室200中,并将其放在低位置的基板支撑件104上。然后将基板支撑件104升高至高举位置,开启支撑件104内随意的加热器206,并启动曝光源204。在暴露期间,气体(例如,氦气)可在照射室200各处流通,以促进基板32和支撑件104间的热传导速率。其它气体也可加以运用。在一段期间的辐射暴露后,将启动的曝光源204解除,并将基板支撑件104放低至释放位置。然后将具有曝露过的氮化硅受应力材料的基板32由照射室200移出。
图19为直方图,示出紫外辐射处理对于在不同处理条件下沉积所得材料的拉伸应力值的影响,而处理条件包含-A:压缩膜(45sccm SiH4/600sccm NH3/2000sccm He/30瓦特HF/30瓦特LF/2.5T/480毫寸/430℃)及B:拉伸膜(75sccm SiH4/1600sccm NH3/5000sccm N2/50瓦特HF/5瓦特LF/6T/480毫寸/430℃)。并于400℃下使用5分钟和10分钟两种不同的宽带紫外光处理时间。对于所有的沉积膜而言,紫外辐射暴露增进了拉伸应力值,且具有最低拉伸应力值的材料增进最多,亦即材料A和B。A和B的拉伸应力由约-1500MPa增至约-1300MPa。因此,紫外光处理能增加沉积材料的拉伸应力值。
经确定,将氮化硅沉积材料暴露于紫外辐射或电子光束能够降低沉积材料的氢含量,进而增进材料的拉伸应力值。可确信,紫外辐射暴露能使不想要的化学键被比较想要的化学键取代。例如,在暴露中传送的紫外光辐射的波长可经拣选,以打断不想要的氢键,诸如吸收此波长的Si-H及N-H键。然后剩下的硅原子与可得的氮原子形成键结,而成为想要的Si-N键。例如,图20示出在初镀状态(初镀-以连续线表示)及经紫外辐射处理过后(处理过的膜-以虚线表示)的受应力氮化硅材料的傅立叶转换红外线(FT-IR)光谱(Fourier Transformed Infrared spetrum)。由此FT-IR光谱可见,经紫外辐射处理过后,N-H伸展尖峰以及Si-H伸展尖峰两者的尺寸明显变小,而Si-N伸展尖峰的尺寸却变大。这示出了经紫外光处理后所得的氮化硅材料含较少的N-H和Si-H键,并含增量的Si-N键,Si-N键对于提高沉积材料的拉伸应力而言是种想要得到的键。
图21A至21E示出受到不同期间的紫外光照射处理时间的氮化硅初镀材料的拉伸应力值的改良。图21A中的氮化硅材料于下述处理条件下沉积:60sccm硅烷流率;90sccm氨流率;10,000sccm氮流率;6托处理气体压力;100瓦特的电极功率电平;及11毫米(430毫寸)的电极间距。在初镀状态所量测的氮化硅沉积膜拉伸应力约为700MPa。在X轴上标示0至6的各点分别相当于0分钟(初镀)、10分钟、30分钟、45分钟、1小时、2小时、及3小时等不同的紫外光处理时间。在线标示着四面体(◆处理1)的初镀氮化硅材料被暴露于宽带紫外辐射源,而标示着正方形(■处理2)的初镀氮化硅材料则被暴露于172纳米的单波长紫外辐射源。经确定,当与单波长紫外辐射源相较,宽带紫外辐射源为沉积材料提供了增大的拉伸应力。
一般而言,当紫外光处理时间增长,初镀膜的拉伸应力也由原先的700MPa增大至超过约1.6GPa。图21B和21C的氮化硅材料在与21A所示样品相同的条件下沉积,除了下述的差异:图21B的样品于沉积时使用60sccm流率的硅烷、600sccm流率的氨、以及150瓦特的电极功率;图21C的样品于沉积时使用60sccm流率的硅烷、300sccm流率的氨、以及150瓦特的电极功率。图21B和21C中,初镀材料仅以宽带紫外辐射处理,而处理时间也于0分钟至3小时之间作变化,但时间间隔不同,分隔成8或9段,诚如图所示。最佳结果示于图21C,在约3小时的紫外光照射后,初镀氮化硅材料的拉伸应力由800MPa增至1.8GPa,几乎是原拉伸应力值的2倍。
图21D所示的沉积材料于沉积时使用60sccm硅烷流率、900sccm氨流率、10,000sccm氮流率、100瓦特的电极功率、7托压力、及11毫米的电极间距。曲线(a)以Fusion H紫外光光源处理的提供约200至400纳米的紫外光波长,曲线(b)则以Excimer紫外光光源处理的提供约172纳米的紫外光波长。材料经过约50分钟的紫外光照射后,这两个处理分别将拉伸应力由约800MPa(针对初镀氮化硅)提高至1.8及1.4GPa。藉由将紫外光照射器进步最优化来提高抵达晶片的光强度,还可大幅减少硬化(cure)时间。图21E样品于沉积时使用60sccm硅烷流率、300sccm氨流率、10,000sccm氮流率、150瓦特电极功率、6托压力、及11毫米间距。沉积材料以Fusion H光源进行处理。如前一般,在经过约50分钟的处理后,初镀氮化硅材料的拉伸应力由约700MPa提高至1.6GPa。
依照前述的方法,CVD材料的拉伸应力可藉由沉积后的紫外辐射照射而获得增进。藉由改变处理参数如紫外光处理时间及沉积时的稀释气体量,即有可能达到增强此应力的功效。
还经确定的是紫外光照射效应可藉由对“初镀”膜成分进行最优化而获得增进。又经发现,拉伸应力随着“初镀”膜中氢含量的提高以及将Si-H/N-H键比率调整至约1∶1而变大。膜中的氢总含量可随紫外光照射前沉积温度的下降而提高。确切地来说,降低沉积时的温度,能增加分与膜的拉伸应力,此膜将于后续接受紫外光辐射而硬化。
表VIII列出两种暴露于沉积后紫外光辐射照射的CVD氮化硅膜的FT-IR光谱数据。其中第一CVD氮化物膜于400℃下沉积,而第二氮化物膜于300℃下沉积。
表VIII
Figure A20078001777100381
表VIII示出沉积后执行紫外光处理,在增加Si-N键网络的同时,降低了Si-H和N-H两键的数目。在不受限于特定说明下,表VIII可能指出,在一较低温度下进行沉积,使紫外光硬化步骤期间容许有更多的膜结构变更,而使所得膜的拉伸应力较高。
图22A标绘多个在不同温度下沉积的CVD氮化物膜,在受过紫外光硬化后的应力及膜收缩度。图22A示出出应力随着沉积温度下降而增加。图22A还示出收缩度也随着沉积温度下降而增加。图22A这个降低沉积温度反提高收缩度的关系,符合了最初在低温沉积的膜会受到较大的结构变更的这种说法。
图22B标绘在不同温度下,以CVD形成的SiN膜的氢总含量([H])以及SiH/NH的尖峰面积比。图22B示出在较低沉积温度下,膜的拉伸应力随着氢含量而增大。
图23标绘CVD氮化物膜在300℃初镀过后以及再经400℃紫外光辐射照射后的FT-IR光谱。表IX列出初镀及经紫外光硬化后的CVD SiN膜的组成,其以拉瑟福德回向散射光谱术(Rutherford BackscatteringSpectrometry(RBS))及氢前向散射光谱术(Hydrogen-Forward Scattering(HFS))决定。
表IX
  RBS/HFS   H(%)   N(%)   Si(%)   Si/N
  初镀膜   25   43   32   0.74
  紫外光处理过后的膜   16.5   48.5   35   0.73
图23及表IX示出较低的沉积温度使更多的SiN键在紫外光硬化后形成,进而使硬化过的膜应力更高。
N2处理及紫外光处理两者都奠基于相同的原理。确切地说,打断Si-H及N-H键并由膜中移除氢。移除了氢,膜中留下悬空着的Si和N键,而容使新的Si-N键形成。而因Si和N原子被网络锁在定的位置而无法更进步减轻应变,故这些新形成的Si-N键则被拉伸。
然而,N2处理技术受限于N自由基/离子实际上的穿透深度以及这些N自由基/离子的能量。提高能量有可能造成伤害,因为N将植入膜层中减少拉伸应力。
相反地,紫外光处理技术具有块体效应(bulk effect)。可次处理整个膜层因此该处理更有效率且可破坏更多键结。再者,因为使用低达200纳米的宽带紫外辐射源,紫外光能量亦有利于悬空的键重新键结以形成受应利的Si-H键。明确地说,在形成整个膜层的过程中保留某些悬空的键。特别若Si悬空键与N悬空键之间的距离过大,则这些悬空键可以度过之后的处理过程。紫外光处理技术提供必需的活化能量好让这两种类型的悬空键(Si与H)形成所欲的Si-H键。在不限定于任何特定的说明下,一般相信波长范围在200-300纳米的紫外光能应用会促进受激电子态中Si-H键及N-H键的断裂,并促成新受应变Si-N的形成。图43示出在受激电子态中键的断裂及新受应变氮化硅的形成。
有关紫外光辐射对键断裂及膜应力的影响,可从Ab initio模拟中得到进一步的了解。而从这种ab initio模拟所预测的紫外光硬化时间可和FTIR分析所得的数据相较,以找出紫外光辐射对键断裂/形成的影响。
一般而言,键的断裂藉需要能量的键拉伸而进行。图44为一般性的关系图,标绘在激发态及基态下,能量变化对键长增加%的关系图。图44A为图44示中部分的放大图。这些图示出紫外光照射对在激发态下初始的键拉伸能与在基态下初始的键拉伸能间的相对差异所造成的影响的量测。一般认为基态及激发态初始拉伸的差异与基态及激发态中键结强度的差异相关。
微小的键拉伸可进行垂直电子激发。垂直激发在激发态及基态中包含了相同的几何结构。
随时间而变的DFT适合垂直激发。在采用TDDFT的激发态中的DFT函数B3LYP;基组6-311+g(d,p)。其在激发态和基态中的几何结构是相同的-B3LYP/6-31g(d,p)。键拉伸在长度上增加了高达约12%。两种不同的团簇被用来模拟经氢化的SiN。图45A示出模拟经氢化的SiN的链状团簇。图45B示出模拟经氢化的SiN的环状团簇。
此TDDFT方法如下所述而确认其效用。图46将氧化硅及氮化硅所计算出的(λ)相对于观察得的能带间隙作比较。氧化物所计算出的λ值和观察到的能带间隙相近;而氮化物的计算值则较观察所得的能带间隙稍低。
初始键拉伸的说明示例如下。图47标绘链状团簇中的能量变化(ΔE)相对于N-H键长的关系图,其键长由平衡键拉伸长度1.015埃起有0.2埃的增量。图47示出在激发态下较在基态下易于拉伸N-H键。
紫外光照射对N-H及Si-H键的初始键拉伸的模拟效应示出于图48A-B中。图48A标绘不同状态下能量变化相对Si-N键长。此图示出N-H初始拉伸在激发态下较为有利。图48B则标绘不同状态下,能量变化对Si-H键长。而在激发态及基态下,Si-H拉伸的ΔE相近。链团簇的模拟产生类似的结果。在图48A-B中,基态下的键结强度(N-H=4.8eV;Si-H=4.0eV)和激发态的键结强度没关。比较图48A-B,指出N-H键比Si-H键可能在紫外光硬化下断裂。
紫外光照射对N-H及Si-H键于环状团簇中键被大幅拉伸的影响示出于图49A-B中。图49A为环状团簇中拉伸较大的N-H键的能量变化对键长。激发态下N-H拉伸的ΔE仅为0.5eV,但在基态下遽增达3eV。图49B为环状团簇中拉伸较大的Si-H键的能量变化对键长。激发态下Si-H伸展的ΔE仅在ΔE达1.5eV时较基态下小。由于基态Si-H的所增并不如N-H所增般急遽,在大拉伸中,暴露于紫外光辐射下,N-H键较Si-H键易于断裂。
图50A-B描述紫外光照射对Si-N键的影响的模拟结果。图50A为链状团簇在不同状态下能量变化对Si-N键长。图50A示出链状模型的Si-N键在激发态下明显变弱。图50B标绘环状团簇中拉伸较大的Si-H键的能量变化对键长。而在激发态下环中Si-N键变弱较轻微由于环所施加的束缚所致。确切地来说,在此构型中修复断裂的Si-N键是高度可能的。由于Si及N原子移动性受限,这种在网络中修复Si-N键是可发生的。
传导FTIR分析示出,在将SiN暴露于由宽带源所发出的紫外光中的期间,Si-H及N-H含量减低且Si-N含量增加。图51标绘相对于紫外光硬化时间,Si-H及N-H含量的%降低量,以及Si-N于含量上的增加。此图示出Si-H及N-H含量以约相同的减低率下降。而与模拟预估不尽吻合可归因于包含了所释放的H的额外反应。
例如,图53A-C示出包含了释放的H原子的数个不同的可能性反应。图53A示出整体SiN材料与紫外光辐射相互作用以释放原子形态H。图53B示出H与整体SiN材料反应以释放分子形态氢气。确切地来说,在没有阻挡(DFT)的情况下,易于藉由H而将H从SiH中抽出。由于此反应,结果Si-H键的数量减少。图53C示出藉由整体SiN材料抽取H。确切地来说,在0.5Ev的阻挡(DFT)下,藉由H而将H从N-H中抽出。由于此反应,结果N-H键的数量增加。图53A-B所示的反应可导致此两种键有相同的H失去率。
Si-N键能以数种不同的方法裂解然后再修复。图52A示出一个在链状团簇中的Si-N键的裂解。其对抗伸张的阻力大部分位于Si-N键内部。图52B示出一个在环状团簇中的Si-N键的裂解。此处,对抗伸张的阻力散布于相邻键。图52C示出在整体SiN材料中环状团簇间的SiN键的修复。总的,这些示出紫外光照射几乎不会导致Si-N键不可逆的断裂。
上述的模拟及实验上的观察可总括如下。首先,ab initio模拟预测,藉紫外光硬化,从N-H比从Si-H有较高的可能性可抽出H来。再者,紫外光硬化不太可能导致Si-N键的不可逆断裂。最后,FTIR传导分析示出,N-H键及Si-N键的含量随紫外光硬化时间以约相同的速率递减。而ab initio模拟与FTIR观察结果有任何不吻合的处则可能归因于其它包含了所释放的H原子的反应。
如上所论,紫外光处理是用来增进氮化物层拉伸应力的技术的。紫外光硬化效能与氮化硅层的光学特性及基板形貌有直接相关性。
提升紫外光硬化效能可增进高应力氮化物膜的应力水平及可制性。如图37A所示菲涅尔(Fresnel)原理,描述如果栅极与栅极之间之间隙与紫外光波长为同等级大小,则将产生衍射,而使些区域没有经过处理,如多晶硅栅极的边墙和底角。图37B示出经照射过的区域的照片,靠着边缘有深色波段,再接着浅色及深色波段。图37B在底部和边墙附近示出了大量深色区域,这符合了菲涅尔原理。
适当地设计操纵紫外光的入射以及器件边墙的轮廓,以避免菲涅尔效应,而利用布鲁斯德角度论(Brewster angle theory)的优势,就能够增进紫外光硬化效能。根据此原理,在一个由真空及氮化物的折射率所计算出的临界角(critical angle)下,光吸收最为理想。对一些SiNx膜,此角度经计算介于63及66度之间。图38A经由定义极化(polarization)的几何方位以及p-和s-成分,描述此原理。图38B示出每成分(p和s)的反射率与入射角度的函数关系,p-成分在布鲁斯德角度(Brewster’s angle)显出最小值。在此布鲁斯德角度下,因为没有衍射现象所以吸收度达最大。
根据本发明的一个实施例,有两种方法可用来利用布鲁斯德角度论的优势,并确保紫外光对膜层不同位置处理的一致性。根据第一实施例,基板可相对于紫外光光源而移动,以确保光以多种角度而入射,包含布鲁斯德角度。根据另一实施例,基板上可形成凸起的特征部位,而具小于90度的边墙,因而使光能以布鲁斯德角度入射,以扩散至基板表面。
如上提及,最大吸收度发生在当膜的垂直面与紫外光入射方向介于63及66度之间。对于毯覆式(blanket)晶片或覆盖着大面积的特征部位,膜相对于晶片表面只有一种定位,因此与入射光成固定角度。故根据本发明实施例,利用在晶片上方绕着光轴抑或在半圆上转动光源,或是相对于光源而转动基板,藉以修正紫外光入射方向。此转动确保了晶片上氮化物膜的每个部分皆被暴露于以63-66度角入射的紫外光。
根据本发明另一实施例,修改器件结构使其与晶片表面成小于90度的角度,藉此能够增强氮化物膜对紫外光的吸收。在经图案化(patterned)的晶片上,膜依循着器件轮廓以及紫外光投射和膜垂直面夹设0至180度变化的角度。当特征部位形成了小于90度的角时,满足布鲁斯德角度标准的可能性就提高了,这使得紫外光吸收度获得增进,且致使拉伸应力直接增强。
紫外光光源转动的实施例以及器件角度设计操控的实施例也可起使用,以增进紫外光硬化的效能。这两个实施例也可用于增进其它膜于紫外光硬化后的性质,诸如低k介电质。
根据本发明的另外实施例,加入成孔剂能够增进紫外光硬化效能。紫外光硬化效应与紫外光照射器效能以及沉积膜的硬化潜能有直接相关性。硬化潜能与膜结构于进行硬化中的变化有关。结构变化包含氢的排除及非晶氮化物网络的重建。这些结构变化依次导致膜性质相对的改变,即膜折射率和密度提高、膜收缩、以及膜中的残余应力变得更紧拉。要使硬化后的拉伸应力达到最大,需要在维持膜中SiH和NH间含量的平衡下,将膜中的氢含量增至最大。氮化物膜中的氢含量为沉积温度的个重要函数,且局限在约30%。
根据本发明一实施例,可将多种对温度不稳定的分子引进沉积化学作用中来形成氮化硅膜,以增进其硬化潜能。这种对温度不稳定的分子通常为大尺寸,且在沉积间混入膜中而不致断裂。
沉积后,可使用紫外光处理或在原处进行等离子体处理将此分子移除。在沉积后的硬化处理期间,膜中原先被此对温度不稳定的分子所占据的空间将会闭合,结果在膜中获致受拉伸的Si-N键,并提高拉伸应力。此对温度不稳定的分子可包含但不受限于如下表X所列出者。
表X
对温度不稳定的分子(成孔剂)
  名称   化学式
  α萜品烯(alpha-terpinene)   C10H10
  甲苯(toluene)   C7H8
  薴烯(limonene)   C10H16
  吡喃(pyran)   C6H10O2
  乙酸乙烯酯(vinyl acetate)   C4H6O2
  环戊烯(cyclo-penetene)   C8H14
  1甲基环戊烯(1 methyl cyclo-pentene)   C6H10
  5乙烯基二环庚2烯(5 vinyl bicyclo hept-2-ene)   C9H12
 氧化环戊烯(cyclo-pentene oxide)   C5H8O
以上的论述着重在紫外光能对增进氮化硅层中的拉伸应力的应用,而如本发明所述的实施例则不限定于此特定的应用。根据其它实施例,紫外光辐射可用来增进沉积膜中的压缩应力。这种紫外光硬化可修正膜的键构型及结晶结构。示例包含但不限于非晶硅膜及多晶硅膜中以紫外光辅助的硅粒增长或非晶型氮化硅膜的结晶化。
III.引发应变之间隙物
根据本发明又一实施例,提出集成方案,藉由突发式退火(spikeannealing)处理引发氮化物层应力变化,取此优势而助于进步增进NMOS器件的性能。图39A-M描绘此集成处理步骤的简化剖面图。
如图39A所示,处理集成的起点CMOS前导结构3900的包含PMOS区3902,其藉由浅沟渠隔离(STI)结构3906与邻接的NMOS区3904分开。栅极氧化物层3908以及位于上方的栅极多晶硅层3910以叠层状而形成于CMOS前导结构3900之上。
图39B示出光阻掩模(photoresist mask)3912的图案形成,以定义出间隔(gap)3912a,其于NMOS栅极所在位置露出栅极多晶硅/氧化物叠层。图39C描绘在照射区的多晶硅上执行的非结晶化前置处理。如此前置于非结晶化的两种可能方法含(1)将锗植入NMOS多晶硅栅极、或(2)在NMOS多晶硅栅极形成凹处,再接着进行选择性SiGe沉积。对于这第二种选项,可用氧化物阻挡以确定结果。
图39D示出以标准处理步骤形成PMOS和NMOS晶体管结构的个别栅电极3903及3905的成果。此传统处理包含使用可丢弃的(牺牲的)间隙物于源极/漏极植入,然后再环形植入(Halo implants)。
拉伸应力的负荷增进了整个NMOS沟道区的电流速度。相反地,压缩应力的负担则增进PMOS沟道区内空穴移动的速度。据此,图39E示出在快速热处理处理(RTP)前,受张力氮化物膜3930沉积于PMOS及NMOS栅电极3903、3905上。
图39F-G示出PMOS区3902上方的受张力氮化物层3930的移除。如图39F所示,阻挡层3931首先被图案化以露出位于PMOS区3902上方的SiN。在图39G中,露出的SiN利用阻挡层3931而经过选择性蚀刻,阻挡层3931用后即被移除。
图39H示出RTP突发式退火步骤的成果,其将覆盖均匀的氮化物膜的应力由<1GPa提高至约2GPa。此RTP突发式退火在多晶硅栅极中创造出个引发应力的构造3932。换句话说,此步骤期间的退火形式可取用于活化掺杂物的动态表面退火。这些退火方法或其它任何退火方法也可用于再结晶NMOS栅极的多晶硅,藉的提高氮化物应力至2.0GPa。由此膜所加负的拉伸应力可用于增进NMOS器件的性能。
氮化硅层的成分可经最优化,以于RTP后得到最高拉伸应力的SiN膜。图40为不同组成的SiN沉积膜标绘膜应力对RTP突发温度(RTPtemperature spike)的关系图。图40示出PECVD氮化物膜对RTP的反应,相对于膜组成(Si-H/N-H)及氢总含量的关系图。SiN膜在RTP后的应力为2GPa(拉伸),而经由进步将沉积化学最优化,此应力值有再提高的可能。
图39I-L示出集成流程的下列步骤,其中氮化物间隙物邻接着栅极氧化物/多晶硅叠层层形成,以完整形成栅电极结构。明确地说,在图39I中,中性(neutral)或具压缩应力的氮化物层3934于整个结构上方形成。如图39J-K所示,光刻(lithography)及蚀刻被用来将氮化物层3934自NMOS区3904移除。
图39L描绘NMOS及PMOS器件之间隙物结构3950及3952的形成,其由分别蚀刻拉伸应力SiN层3930及中性(neutral)/压缩SiN层3934而形成。
最后,图39M示出双(dual)应力层集成。先执行环形植入,并进行接触,例如形成NiSix,然后形成氮化物蚀刻终止层(ESL)。在NMOS器件上方,产生具拉伸应力的SiN ESL(氮化硅蚀刻终止层)3936。在PMOS器件上方,产生具压缩应力的SiN ESL 3938。
一旦沉积完成,氮化硅蚀刻终止层可经处理以增进其拉伸应力。例如此经沉积的蚀刻终止层可于原处接受等离子体处理。更换或连接着等离子体处理,此经沉积的蚀刻终止层可在具或不具罩层(capping layer)下,接受紫外光硬化,以调整膜所受的辐射。这种罩层的例子包含但不限于非晶状的碳、氮氧化物、或其它与高应力氮化物层具有不同消光系数(extinctioncoefficient)的材料。
图39A-L所示的集成结构取多种不同来源的拉伸应力的优势以增进器件性能。首先,利用氮化物间隙层的拉伸应力。而第二种应力来源由RTP步骤于多晶硅栅极引发。第三种应力来源则来自形成于栅电极上方的氮化物蚀刻终止层。
IV.增进的膜共形性
以上的描述着重于增进膜应力。然而,与图1相关的如上所述及所示,膜欲在硅晶格上施加应变的另重要特性为共形性。根据本发明的一个实施例,其容许于低压力下执行沉积及处理,因而去除了在膜沉积及处理间独立且耗时的清洗步骤,使CVD膜的共形性得以增进。
直至目前所述的基板制作技术执行于约1托或更大的压力下。然而,如上所示及所述,在呈现受控特性的膜的CVD处理循环中,可包含后续不同条件的沉积及处理。
当处理室于约1托或以上的压力下操作,这种改变的条件通常可能需要抽吸或清洗步骤,以达最佳结果。然而,与表IV及图10相关的如上所示及所述,像这样的一个从中插入的抽吸/清洗步骤会耗损大量的处理时间,实质性地降低产量。
据此,本发明的实施例也有关于在较低压下(即,介于约20-150毫托)以化学气相沉积法沉积膜的方法及装置。维持腔室在此低压范围内所需进行的抽气步骤,对于用于沉积及处理的气体,确保得致短暂滞留时间(residence time),因而免去了独立抽吸及清洗步骤的需要。
还发现,低压下的CVD处理,以及处理中同时排除了从中插入的独立气体抽吸/清洗步骤,充分降低了处理时间并将产量提高至足以使制作高度共形SiN膜于商业上的可行。特别是,此高度共形的CVD SiN膜以重复循环形成,其中初始步骤包含无等离子体下的硅前驱物,其导致高度共形的非晶硅(a-Si)层的沉积。此沉积步骤后接处理步骤,其中共形的a-Si膜暴露于含氮等离子体。此循环式制作方式是商业可行的,其在循环之后续沉积及处理步骤间,排除了从中插入独立气体抽吸及清洗步骤的需求。
根据本发明的一个实施例,共形的SiN层可在低压下利用循环式沉积处理而形成,其中于无等离子体下的硅烷浸渍(soak)沉积步骤,后接着以由N2作为含氮类而形成的等离子体所做的处理。在某些实施例中,等离子体也可包含氩,其可轰击沉积膜抑或助使N2裂解,因而降低沉积膜的N-H含量,并形成稠密的SiN键。
根据本发明另一实施例,共形的SiN层可利用低压下的循环式沉积处理形成,其中于无等离子体下的硅烷浸渍处理,后接着以由N2及NH3作为含氮类所形成的等离子体作处理。
图24示出CVD SiN膜的FT-IR光谱,此膜以20秒硅烷浸渍,后接以等离子体经10秒暴露的处理而形成,而等离子体由N2+Ar或由N2+NH3而形成。图24示出处理期间存有氩气可使N-H含量降低,而形成稠密的SiN键。
在另一实验中,此SiN处理形式以具或不具SiH4浸渍后的清洗步骤执行的。经发现,移除在低温下进行的SiH4浸渍后清洗步骤,对每次循环形成的SiN层的厚度并无影响。明确地说,低压及有效抽吸有效地干扰了SiH4浸渍步骤。
还发现,与NH3/N2等离子体相较,以N2/Ar等离子体进行处理,增进了每次循环所沉积的SiN材料厚度。确切地说,以N2/Ar等离子体进行处理,获致约3-5埃的每次循环所沉积的材料厚度,而以NH3/N2等离子体进行处理,则得约2-5埃的每次循环所沉积的材料厚度。
图25A及25B示出密集排列的形貌(topographic)特征电子显微图,该特征拥有于350℃晶片温度下形成的SiN CVD膜,并分别使用N2+NH3及N2+Ar等离子体处理。将图25A与25B相比较,示出在处理步骤中Ar的存在提高了N2的裂解并增进了膜的形态和阶梯覆盖。
图25C示出密集排列的形貌特征的电子显微图,该特征拥有于较高晶片温度430℃以及由N2+Ar等离子体所形成的SiN CVD膜。将图25C与图25B相比较,示出提高温度增进了所得膜的阶梯覆盖。
图25D示出较不密集的形貌的电子显微图,其拥有于430℃晶片温度下,由N2+Ar等离子体形成的CVD SiN膜。将图25D与图25C比较,示出此沉积方式也增进了图案负载效应(Pattern Loading Effect(PLE))。
在不受缚于任何特定原理下,N2处理降低膜中的氢含量,导致受拉伸Si-N键的形成。经由在沉积后引进更多步骤(例如清洗及/或抽吸),由于不再有沉积气体存在腔室中,N2处理效应也就增进。当SiH4及NH3仍残留室中时,处理期间的沉积也还是继续进行,且在此企图沉积的步骤期间所做的处理亦能扩散通过既已沉积的材料。
图26A-B为放大的剖面显微图,示出凸起的特征部位之上部,其具SiN层,经SiH4浸渍,且后续分别以N2/Ar及N2/NH3等离子体处理而形成。比较图26A-B,示出处以N2/NH3等离子体的氮化物层相较于暴露于N2/Ar等离子体的膜层,具有圆柱的粒状膜形态。
所得膜的特质可视SiH4浸渍沉积步骤,也可视后续以含氮等离子体所做的处理而定。例如,SiH4浸渍步骤期间的暴露量(exposure dose)可影响所得沉积膜的形态质量。为利于下方的论述,暴露量以下式(I)定义:
(I)D=TxPP;其中
D=暴露量;
T=暴露时间;及
PP=SiH4分压
每个沉积/处理循环的饱和膜厚度视达表面的SiH4入流量以及SiH4由该表面的去吸附率而定。而SiH4入流量视暴露量而定,SiH4去吸附率则视温度而定。据此,图27为材料沉积速率对暴露量。图27示出沉积速率随着温度提高而下降。
图28A标绘沉积速率对暴露量。图28B示出显微剖面图,其示出特征部位,其具有在500mT*s的SiH4暴露量后所沉积的层。图28B示出以相当于图28A第四组数据点的处理条件所沉积的氮化硅膜的阶梯覆盖成果。
图29A-H为电子显微剖面图,示出沉积膜的形态,而膜的沉积使用SiH4浸渍沉积步骤,再接着藉由表XI列出的多种条件下被暴露于含氮等离子体而进行处理。
表XI
Figure A20078001777100501
图29A-H着重于处理间的温度及加入Ar对所得沉积膜的形态及阶梯覆盖的效应。这些示出高温增进阶梯覆盖及膜形态两者。尤其于阶梯覆盖上,特征部位的边墙至顶端比率(side wall-to-top(S/T))由400℃时的30%增至500℃时的60%。而膜形态则由柱状/粒状改良成致密均质的膜。
在不受缚于任何理论下,将以NH3处理(通常以N2稀释)与以N2+Ar处理作比较,因为Ar的加入增进了N2裂解,而提高了等离子体密度,故两处理之后者属较为有益。其提供较多的N基及离子,而与先前SiH4浸渍(soak)既存于表面上的SiH4反应。
还发现,暴露于等离子体(包含氩气)能实质上增进根据本发明实施例所形成的膜的沉积速率。
例如,前述至今着重于处理方式,其中SiN由含SiH4及NH3两者的等离子体沉积而得,此于本发明并非必要。根据本发明的替换实施例,当材料于无等离子体下沉积,NH3∶SiH4比可为零。在如此的实施例中,非晶硅层(a-Si)首先在低压下由SiH4沉积。接着,此非晶硅层处以含氮等离子体,其中也可能含氩及氦,以形成SiN。
沉积处理的效能部分受限于前驱物的表面覆盖。硅源前驱物必须在初始表面以及新形成表面两者上均以100%表面覆盖进行化学法吸附。然而,已知含氮前驱物在表面上抑制硅烷(SiH4)吸附作用的可使沉积速率随循环数而下降。
在整个沉积处理保持定沉积速度对于控制膜厚度是重要的。使用表面活化处理的移除未反应之前驱物并增进SiH4在SiNx表面的化学吸附,则可达成基本上固定的沉积速率。此表面活化处理可使用氩(Ar)清理步骤而实现。Ar基的作用是要将吸附在表面上多余之前驱物溅离。
根据本发明的实施例,将Ar引进室中而用以稳定处理,或是在稳定后穿贯改道管线。要激励Ar,使用腔室内电容等离子体(capacitive plasma)释放,或是使用远方等离子体装置(Remote Plasma Unit(RPS))。等离子体功率、气体流量以及清理时间为影响表面复原的参数。
图14示出在程序中含及不含处理后的Ar等离子体清理步骤的膜厚度对循环数所产生的差异。当无Ar等离子体清理,经过120次循环,沉积速率降低10倍。当使用Ar清理,达成约每循环0.5毫升的固定沉积速率。于处理后清理的类似概念也可用于形成其它类型的膜,例如其它介电阻障膜。
图15标绘于下方表XII列出的特定条件下,SiN膜厚度与沉积-浸渍(SiH4)/处理(NH3)循环数的关系图:
表XII
Figure A20078001777100521
图15指出沉积速率随着时间由8埃/每循环降至小于1埃/每循环。此沉积速率的下降可能是由于NH3以及NH3衍生物在每沉积/处理循环后于膜表面累积所致。据此,在每一循环的最后可用清理步骤来重建及备制表面,以在下个循环的沉积阶段中吸收SiH4
图16标绘利用表XII所述的循环式沉积处理方式而沉积的SiN膜的厚度,其中表面在接续的沉积/处理循环之间暴露于不同的条件下。图16示出暴露于氩等离子体为最具功效的循环之间清理方法。
图17标绘利用表XII所述的循环式沉积处理方式而沉积的SiN膜的厚度,其中表面在相继的沉积/处理循环间暴露于不同的条件下。图17示出降低提供产生Ar清理等离子体的功率,会使得沉积速率更佳。
V.基板处理室的实施例
用于沉积受应力材料的基板处理室80的实施例在图30中示例性地例示。当示例性腔室用于描述本发明时,其它本领域技术人员所显知的腔室也可加以使用。据此,本发明的范围当不限于腔室的示例实施例或此处提出的其它器件。一般来说,腔室80是等离子体强化的化学气相沉积(PE-CVD)室,适于对基板32,如硅晶片,进行加工。例如,合适的腔室为由美国加州(California)圣克拉拉(Santa Clara)应用材料公司(AppliedMaterials)制造的
Figure A20078001777100531
SE型腔室。腔室80包含围墙84,而其又含顶板88、边墙92、及底墙96,围墙84围出处理区100。腔室80也可包含里衬(未示),其至少衬里为处理区100各处围墙84的一部分。当对300毫米硅晶片进行加工时,腔室80通常有约20,000至约30,000立方厘米的容积,更典型约24,000立方厘米。
在处理循环期间,先降低基板支撑件104,而基板32则藉由基板输送106,如机器臂,而通过进入口110并放置在支撑件104上。基板支撑件104可在用于安装或卸除的较低位置及用于加工基板32的较高可调位置间被移动。基板支撑件104可含内附的电极105,而使引进腔室80的处理气体产生等离子体。基板支撑件104可藉由加热器107来加热,加热器107可为电阻式加热器件(如图示)、加热照射器(未示)、或等离子体本身。基板支撑件104通常包含陶制结构的具承接面以承接基板32,且其保护电极105及加热器107免受腔室环境的影响。如下所论述,腔室器件使用陶制材料可使处理在超过400℃的温度下进行,而400℃通常为诸如铝等传统材料之上限。能使加热器在高温下执行处理的陶制材料的示例包含氮化铝(高达900℃)、石墨(>1000℃)、碳化硅(>1000℃)、矾土(氧化铝)-Al2O3(<500℃)、及钇氧-Y2O3(>1000℃)。
使用期间,对电极105施以射频(RF)电压,而对加热器107施以直流(DC)电压。基板支撑件104中的电极105也用于以静电而将基板32箝束于支撑件104上。基板支撑件104也可包含个或多个环(未示出),其至少部分围绕着支撑件104上基板32的外围部分。
将基板32安装至支撑件104上后,将支撑件104升高至较靠近气体分配器108的加工位置,以在其间提供所欲之间隔距离ds。间隔距离ds可由约2毫米至约12毫米。气体分配器108位于处理区100上方,将处理气体均匀地散布于整个基板32。气体分配器108能分别传送及第二处理气体的两种个别气流至处理区100,且不会在它们被引进处理区100之前便使气流混合,或能在供应预混处理气体至处理室100前便预先将处理气体混合。气体分配器108包含面板111,面板111具洞孔112而使处理气体从中通过。面板111通常为金属制,使电压或电位能应用于兹,因而作为腔室80中的电极。合适的面板111可为铝制且覆有阳极电镀层。基板处理室80还包含第一及第二气体供给124a、124b,以传输第一及第二处理气体至气体分配器108,气体供给124a、124b各包含气源128a、128b、或多个导气管132a、132b、以及或多个气阀144a、144b。例如,在方案中,第一气体供给124a包含导气管132a及第一气阀144a以将处理气体由气源128a输送至气体分配器108的第一入口110a,而第二气体供给124b包含第二导气管132b及第二气阀144b以将第二处理气体由气源128b输送至气体分配器108的第二入口110b。
藉由结合电磁能可将处理气体激发,例如,提供处理气体高频电压能量而使处理气体形成等离子体。要激发第一处理气体,施电压于下两者之间:(i)支撑件104中的电极105及(ii)第二电极109,其可为气体分配器108、顶板88或腔室边墙92。施予并通过双电极105及109的电压电容式地将能量耦合至处理区100中的处理气体。通常,施于电极105及109的电压处于射频。般而言,射频涵盖的范围为约3kHz至约300GHz。针对本申请的目的,低射频为小于约1MHz,且较偏好是从约100KHz至1MHz,例如约300kHz的频率。另亦针对本申请的目的,高射频为约3MHz至约60MHz,且较偏好是约13.56MHz。将经拣选的射频电压以由约10瓦特至约1000瓦特的功率电平施于第一电极105,而第二电极109通常是接地的。然而,特定使用的射频范围以及施用电压的功率电平随沉积的受应力材料的类型而变。
腔室80也包含排气管182,以将用过的处理气体及副产物由腔室80排除,并将处理区100中的处理气体保持在预定压力下。在方案中,排气管182含由处理区100接收用过的处理气体的抽吸沟道184、排气界面185、节气阀186以及或多个用于控制腔室80内处理气体压力的排气泵188。排气泵188可含或多个涡轮式分子泵(turbo-molecular pump)、低温泵(cryogenic pump)、低真空泵(roughing pump)及具多于种功能的组合功能泵。腔室80也可包含入口的界面或接管(未示),其穿过腔室80的底墙96以输送清洗用气体入腔室80内。清洗用气体通常由入口界面经过基板支撑件104至环状抽吸沟道而往上流动。清洗用气体用于保护基板支撑件104的表面以及其它腔室器件,免在处理期间产生不想要的沉积。清洗用气体也可用于影响处理气流使成想要的状况。
亦配备控制器196以控制腔室80的活动以及操作参数。控制器196可包含例如处理器及内存。此处理器执行腔室操控软件,例如储存在内存的计算机程序。此内存可为硬磁盘驱动器、只读存储器、闪存、或其它类型内存。控制器196也可包含其它器件,例如软磁盘驱动器及卡片架。此卡片架可含单板计算机、模拟及数字输入/输出板、接口板、以及步进马达控制板(stepper motor controller boards)。腔室控制软件包含成套的指令,其规定时间、气体的混合、腔室的压力、腔室的温度、微波的功率电平、高频的功率电平、支撑的位置、以及特定处理的其它参数。
腔室80还包含电力供应198,以将电力输送至多种腔室组件,例如基板支撑件104中的第一电极105及腔室80中的第二电极109。为了要输送电力到腔室电极105及109,电力供应198包含射频电压源,其供给选中的射频的电压以及想要且可选择的功率电平。电力供应198可包含单射频电压源或是兼备高射频及低射频的复合式电压源。电力供应198也包含RF配对电路(matching circuit)。电力供应198还可包含静电的充电源,而为经常在基板支撑件104中受到静电的电极提供静电的充电。当使用基板支撑件104内部的加热器107时,电力供应198也包含加热器电源,其供应加热器107适当且可控的电压。当将DC偏压施于气体分配器108或基板支撑件104时,电力供应198也包含DC偏压电压源,其连接于气体分配器108的面板111的导电金属部分。电力供应198也可包含其它腔室组件的电源,例如腔室马达及自动机械。
基板处理室80也包含温度感应器(未示),如热电偶(thermocouple)或干涉计(interfermeter),用以侦测腔室80内部的表面温度,如组件表面或基板表面。此温度感应器能够将其数据传送到腔室控制器196,然后控制器196则可使用此温度数据来控制处理室80的温度,例如控制在基板支撑件104中的电阻式加热组件。
与图30相关之上述腔室实施例通常经配置以便在约1托及以上压力下执行处理。如上所示及所述,然而为了要在合理的高产量下,沉积高度共形膜,以实质上较低压的方式执行处理可能较具优势。
据此,图31示出简略剖面图,将配置成在较高压力(≥1托)下操作的应用材料公司(Applied Materials)SE型腔室的处理室,与另配制成在较低压(~20-150毫托)下操作的腔室实施例作比较。图32示出修改过的腔室的透视图。
较低压腔室3100于下述几方面,不同于较高压腔室3102。首先,将低压腔室3100经过修改,增大加热器3104下方的空间,以增进涡轮抽吸的匀称性和效率。这使得修改过的腔室能够以转接管3103及涡轮节气阀(未示出)承载较高功率的泵(未示出),并且加入新的涡轮转接器的管线零件来调适这些零件。此腔室经重新设计,创造了低压泵接口3106,其大概位于与晶片座3108相同的高度。此依次包含将腔室体轮廓加深约2寸英寸的依次包含将升举栓杆(lift pin rods)3110及加热器转接器塞(heater adapterblock)3112延伸了约相同的距离。
位于晶片座3108上方的区域内,使用厚度经量测的隔离物3114,而间隙物(未示)则用于依需要而升高盖子组件。
依本发明实施例可沉积不同类型的受应力材料。一种常被沉积的受应力材料包含氮化硅。就氮化硅来说,其指的是具有硅-氮(Si-N)键的材料,包含材料诸如氮氧化硅、硅-氧-氢-氮、以及其它硅、氮、氧、氢、及甚至碳的化学计量或非化学计量组合。
例如,氮化硅膜传统上用作直接上覆于在基板上形成的有源器件的硼磷硅玻璃(BPSG)金属前介电质(PMD)层的蚀刻终止物。这在部分上因在很高的温度下(例如>650℃)沉积时,氮化硅膜充当移动性离子的一种优良阻挡层。但在将硅化物接触纳入栅极(诸如NiSix)的同时,沉积SiN膜的热预算降低至480℃。此外,此阶段曾采用其它材料(诸如低k的SiOC、SiCN、BN、BCN、SiBCN及相关的材料),兼作蚀刻终止物及间隙物的应用。
已经找出两种方法,以增进作为此类蚀刻终止物及间隙物的氮化硅介电膜的阻挡层性质。其中一种方法是采用较高(480℃,相对于400℃)的沉积温度,将论述于后。
另外一种方法则是将掺杂物引入SiN膜中。掺杂离子的角色有两重:充当移动性离子的获取质(即P)及增加膜密度。在沉积化学中加入掺杂物可用于在低温下(<400℃)增进阻挡层性能。此类掺杂物的示例包含但不限于磷、硼、碳、氯、氟、硫、Ar、及Xe。
在掺杂P的氮化物的情形中,每隔个磷位置会有「多出的」未桥接氧原子与其有所联。图81提出一个此类膜的简化图。如在图81中所示,这些原子将带明显的局部负电,并因此代表一个正离子(诸如漂流过晶格的钠)的较佳位置。
兹描述沉积氮化硅受应力材料的示例方法以描绘本发明;但应明白的是这些方法也能用于沉积其它类型的材料,包含受应力氧化硅、受应力介电层及其它等等。因此,本发明的范围不应限定于本文所述作为例证的受应力氮化硅实施例。
VI沉积温度
如上的论述,可藉以稀释气体的RF轰击,达成增进SiN层的应力性质。图54为在不同条件下描绘氮化硅沉积的简单示。图54示出在400℃的温度下使用PECVD示范出最高压缩应力(-3.3GPa)。这些条件代表现存SiH4-NH3沉积化学的种延伸。
进一步的研究示出此薄膜的沉积温度也可影响其性质,其中包含压缩应力。尤其是有人已经发现SiN膜的压缩应力可藉由沉积温度的增高(480℃)而增大(至-3.5GPa)。表XIII示出氮化硅膜形成的3种不同条件。
表XIII
  参数   A2   M3i   M3r
  高频RF   100   80   90
  低频RF   75   80   30
  SiH4流量(sccm)   60   60   50
  NH3流量(sccm)   130   150   100
  Ar流量(sccm)   3000   3000   3000
  N2流量(sccm)   1000   --   --
  H2流量(sccm) --   1000   3500
图55A为表XIII的3种不同条件沉积的氮化物膜,其应力的直方图。此图示出在全部3种条件下,压缩应力因沉积温度提高而增进。
图55B示出在图55A中所沉积的氮化物膜的FTIR吸收光谱。此图示出Si-H含量因沉积温度提高而降低,导致热稳定性的增进。
图56A-C利用直方图,示出图55A中沉积的氮化物膜的各种特性。这些图示出诸如密度,湿蚀刻速率(WER)、及氢含量等薄膜性质因沉积温度提高而增进。
图57标绘在不同条件下沉积的氮化物膜所展现的应力对沉积温度。图57示出将沉积温度由400℃提高至480℃,应力迟滞现象(stress hysteresis)下降了1GPa。
表XIV
  薄膜性质   M3i压缩处理   M3i压缩处理
  沉积温度(℃)   400℃   480℃
  沉积速率(埃/秒)   6.2   6.1
  折射率(RI)   1.970   1.980
  应力(GPa)   -2.8   -3.0
  密度(克/立方厘米)(XRR所测)   2.9   3.0
  Si∶N∶H(RBS/HFS)   31∶47∶22   33∶48.4∶18.6
  100∶1HF中的湿蚀刻速率(埃/分)   15   8.5
  热稳定性(5小时/400℃)Δ应力(MPa)   300*   <100(80MPa)
表XIV示出膜应力、密度、湿蚀刻率、及氢含量等性质均因沉积温度提高而增进。
图58标绘在硅基板上所形成的氮化硅膜的氢原子浓度相对于膜纵深的关系图。这些结果示出在480℃下所沉积的膜中,氢浓度较低。
提高SiN薄膜的沉积温度也可导致其增进对下方材料的附着。表XV示出要将各种包含SiN的膜叠层分层所需的能量(Gc):
表XV
  测试叠层   SiN   平均Gc   损坏界面
  沉积温度   (焦耳/米2)
  Si/NiSix 200A/850A SiN   480℃   177.8   上端SiN/上端环氧树脂层
  Si/850A SiN   480℃   230.7   上端SiN/上端环氧树脂层
  Si/NiSix 200A/850A SiN   400℃   138.4   上端SiN/上端环氧树脂层
  Si/850A SiN   400℃   207.2   上端SiN/上端环氧树脂层
所有的测试样品都在Si/环氧树脂界面分层。因为Si/SiN叠层从未在Si/SiN界面分层,所以Si/SiN或NiSi/SiN界面不会产生Gc。其附着度则因沉积温度由400℃提高至480℃而改善(较高的Gc)。
图59A-B标绘在不同条件下所沉积的氮化硅膜的各种特性。这些图示出压缩应力在480℃下达-3.0GPa。
图60标绘在不同温度下所沉积的氮化硅膜的应力及折射率。此处,在400℃下使用PECVD示范出-3.3GPa的压缩应力。这些条件代表现存SiH4-NH3化学的种延伸。而采用480℃的沉积温度时则沉积膜的压缩应力增至-3.5GPa。
在较高的温度下进行氮化硅膜的沉积需要使用种具备能够承受较高温度的器件的装置。例如,为了要抵抗高于420℃的温度,基板加热器由陶瓷而非铝所组成。
可在某些处理条件下达到增进受张力SiN膜的阶梯覆盖。表XVI列出3组用于形成SiN膜的不同条件。
表XVI
  工艺参数   D1   D1-H   D8
  HF RF(W)   45   45   100
  SiH4(sccm)   25   25   75
  NH3(sccm)   50   50   3,200
  N2(sccm)   20,000   10,000   10,000
  压力(托)   6   6   5
  间距(毫寸)   430   430   480
  基准线   获增进的阶梯覆盖   参考
表XVI描述在气相中有较高浓度的Si(NH2)3时,则处理规范可预期良好的阶梯覆盖。
图61A-B为在各种条件下形成的氮化硅膜的应力及沉积速率的直方图。表现氮化物膜特征的所有应力,在480℃下展现出>1.0GPa的应力。因此,在没有严重的应力降低下,可以较高沉积温度而达成其特征,较高沉积速率。
图62A-C为在不同条件下所沉积的氮化硅膜的各种性质的直方图。密度、湿蚀刻速率比例(WERR)、及氢含量皆随沉积温度的提高而获得改善。
图80标绘在不同温度下沉积的氮化硅膜的应力及湿蚀刻率。此示出拉伸的氮化物膜的密度随沉积温度升高而增大。而因借着较高沉积温度,达成了可靠性的改善,较高沉积温度的好处也可从器件性能得到左证。
如上的论述,SiN沉积膜的紫外光硬化可导致应力增强。此紫外光硬化的参数(诸如温度)也会影响所得SiN膜的性质(例如,应力)。
图63A-B分别标绘在不同条件下形成的氮化硅膜的应力及收缩率。在这些图中,第一温度表沉积时的温度,第二温度表示进行紫外光硬化时的温度。图63A-B示出,低温沉积膜展示最高的紫外光硬化后的应力,即使其初镀膜所具的应力较低。因此沉积温度在紫外光硬化期间对膜的收缩具有最大的冲击。这些图也示出在较高温下进行硬化会提升硬化效率,可使硬化时间较短或以既定硬化时间使应力较高。
而SiN膜沉积于其上的表面,其形貌可影响应力。图64A为具有氮化硅沉积膜的致密图案化结构的电子显微图。图64AB为在不同条件下形成于致密图案化特征上的膜所具应力的直方图。图64B为具有氮化硅沉积膜的隔离特征的电子显微图。图64BA为在不同条件下形成于隔离特征上的膜所具应力的直方图。这些指出以400℃的沉积温度并同480℃的紫外光硬化温度,在致密图案化结构上获得最高的应力。在这些图中,根据膜收缩数据推断应力大小。
图65A-B为直方图,示出在各种条件下形成的氮化硅膜的氢含量及湿蚀刻速率比例(WERR)。这些图显现较高的紫外光硬化温度降低膜的氢总含量。此外,较高的沉积温度有利于较佳的膜密度,诚如于湿蚀刻率的降低所指出。
表XVII示出在不同条件下形成的SiN膜的性质:
表XVII
表XVII指出较高的紫外光硬化温度会减低膜的氢总含量。较高的沉积温度有利于较佳的膜密度,诚如于湿蚀刻率的降低所指出。
总而言之,沉积温度在紫外光硬化期间内对膜收缩具有最大的冲击。较低的沉积温度获得较高之后紫外光应力,即使初镀膜所具的应力最低。紫外光硬化期间的膜收缩随沉积温度而减低,且较高沉积温度有利于降低湿蚀刻率。较高的紫外光硬化温度会从膜中清除较多的氢并增加紫外光硬化后的应力。密度、湿蚀刻速率、及氢含量随沉积温度的增加而改善。
VII.集成的沉积/硬化处理
受应力的SiN膜可形成于MOS晶体管凸起的栅极结构上,以负加应力。这种栅极的边墙通常大致是垂直的,因此形成这些受应力的氮化物层所会遭遇到的种议题就是在紫外光硬化期间内,由于膜收缩的故,膜的完整性在尖角(90°)处恶化。
图66A-B为具有氮化硅膜的特征分别在紫外光硬化之前及之后的电子显微图。图66B示出紫外光硬化期间由于膜收缩,膜的完整性在底角处恶化。
图67A为简化图,示出NMOS结构的应力。此示出沿着x轴及z轴,应力皆属拉伸,而沿y轴的应力则属压缩。
图67B为经历应力的NMOS栅极结构的简略剖面图。基于压电电阻(piezoresistance)效应,每1GPa应力即改变电子和空穴的移动性
但氮化硅膜的收缩可在凸起的栅极结构的底角处将膜往相反方向拉开,导致这些地方裂开并发生裂痕。这种在栅极角处膜质量的恶化使整体移动性的增进降低了50%。因此,理想上要确保受应力氮化物膜的连续完整性,以在NMOS器件性能上获得最大的改善。
图68A-F为电子显微图,示出在不同条件下形成于致密与分开的结构上的氮化硅膜。这些图中的SiN膜具600埃的初镀厚度,并在480℃下被暴露于次单紫外光硬化7分钟。这些图指出在低温下沉积的薄膜,由于高紫外光硬化收缩,比较有可能发生边角裂开的情形。
图69A-C为电子显微图,示出凸起特征的边角,此特征具有在400℃的温度下沉积并在480℃下被暴露于紫外光硬化7分钟的氮化硅膜。将这些图与图68A-F作比较,示出较高的沉积温度会提高破裂的门坎。确切地来说,图69B示出在400℃下沉积且厚度<600埃的薄膜没有破裂的情形。反之,图68B示出在300℃下沉积而厚度<300埃的薄膜则有裂开的情形。但提高此破裂门坎的代价为这些薄膜负有较低的应力(分别为1.70GPa与1.75GPa)。
一种解决膜破裂问题的方法为采用集成的紫外光硬化来增进边角完整性。图70A-F为电子显微图,示出在不同条件下形成于凸起特征上的氮化硅膜。
确切地来说,图70A示出具有SiN膜的凸起特征,该薄膜藉沉积接着硬化的3个连续循环而形成。图70B示出具有SiN薄膜的凸起特征,该薄膜藉沉积接着硬化的6个连续循环而形成。这些示出种集成的多重沉积-硬化方法有助于增进薄膜边角处的完整。但由连续沉积-硬化循环所获的膜可能呈现出薄弱的界面。
然而,紫外光硬化后的等离子体处理处理的集成可助于增强集成沉积-硬化处理的各膜层间的界面。确切地来说,将受紫外光硬化的氮化物层的面暴露于等离子体可导致悬空键的形成。这种悬空键会活化表面,促进接下来要覆盖在该表面上的氮化物的形成,并促进该表面及覆盖其上的氮化物间的黏附。
图70C示出具有SiN膜的凸起特征,该膜藉沉积接着硬化及等离子体处理的3个连续循环而形成。图70D示出具有SiN膜的凸起特征,该薄膜藉沉积接着硬化及等离子体处理6个的连续循环而形成。这些图示出紫外光硬化后的等离子体处理改善了层间的界面并保持边角的完整。
另外,并入了这种紫外光硬化后的等离子体处理,在增进黏附性的同时,并不会影响所得膜的应力。图70E-F示出具有膜的凸起特征,该膜包含集成沉积-硬化循环所形成的厚度200埃的三重SiN膜,两图分别为欠缺及包含氮等离子体所进行的处理。这些图示出出紫外光硬化后的等离子体处理改善了层间的界面并保持边角的完整而不损失应力。
图71A-B为直方图,分别示出在不同的集成沉积-硬化条件下所形成的SiN膜的厚度及应力。这些图指出对多层沉积-硬化而言,不管有没有进行硬化后的等离子体处理,并无观察到重大的收缩或应力差异。而以集成过的等离子体处理后的薄膜,其硬化后的厚度为原厚度的20-30%。
图72标绘在不同的集成沉积-硬化条件下所形成的氮化硅膜的傅立叶转换红外线(FTIR)光谱。此图指出减少层数及引进硬化后的等离子体处理步骤并不会影响所得薄膜的组成。
图73A-B示出具有在不同条件下形成的氮化硅膜的凸起特征的电子显微图。确切地来说,图73A示出利用沉积-硬化单循环所形成的SiN膜。图73B示出利用沉积-硬化多重循环所形成的SiN膜。这些图示出出集成的沉积及紫外光硬化程序有助于增进薄膜边角的完整性,而不会对薄膜的应力有所冲击。
再者,采用一种用以形成SiN膜的集成沉积/硬化处理可解决与边角破裂有关的议题。图74A-C示出隔离特征的电子显微图,该隔离特征具有沉积于300℃并于480℃下被暴露于紫外光硬化而总厚度为600埃的氮化硅膜。与在沉积-硬化单循环(图73A)中形成的膜对照,采用集成沉积-硬化多重循环所形成的膜,并未在所观察体积变化较大的隔离区域的边角处呈现重大的薄膜质量劣化。其厚度极限可视表面形貌而增至300埃。
图75A-C为根据与图74A-C相同的条件所形成的氮化硅膜(除了是形成在致密图案化的特征上之外)的电子显微图。再次,并未在每层200埃的初镀膜上观察到边角的裂痕。且其厚度极限可视表面形貌而增至300埃。
图76标绘在不同条件下形成的受张力氮化硅膜的氢浓度相对于其纵深的关系图。此图指出集成多重沉积-硬化处理于最靠近栅极的膜层产制出较低的总氢含量。
图77A-B标绘被暴露于不同紫外光硬化条件的氮化硅膜的应力对硬化时间。确切地来说,图77A示出不同厚度的氮化物膜经单次的紫外光硬化对应力的影响。此处,由于氢的扩散路径较长,当与较薄的膜相比时,1200埃的初镀膜产生较低的最终应力。图77B示出氮化硅膜经多次的紫外光硬化对应力的影响。此图示出对厚膜而言,多次沉积-硬化程序也比单次通过的程序更能改善应力,并防止边角破裂。
图78A标绘不同元素的原子浓度对氮化硅膜纵向的深度。此图指出,初镀的SiN膜旦接触空气即呈现出某种程度的表面氧化作用,如下方反应的所述:
Si-H+H-OH-→SiOH+H2
图78B为在不同条件下所形成的氮化硅膜的应力的直方图。此图指出,膜表面所形成的氧化物膜作为氢的阻障,降低了紫外光硬化所引起的伸张应力。据此,希望能有种不会真空中断及因真空中断而接触空气的集成沉积/硬化处理,以维持膜的高应力水平并防止膜的氧化。
图79A为如本发明所述的装置7900的实施例的简化图,该装置可用于形成受应力的氮化硅膜。传输室7902维持在真空的下,以避免在7904室内所执行的沉积步骤及在7906室内所进行的紫外光硬化之间生成不想要的氧化物。氮化硅膜沉积于高温的所在,其加热器及沉积室7904的支撑应以一种能够耐高温,诸如陶制(而非铝制),的材料制成。同理亦适用于7906室的组件器件,因根据本发明的实施例,硬化于高温下执行。
图79B为屏幕照片,示出图79A的工具所采的一连串步骤。可运作的工艺处理的参数的示例示出于如下表XVIII中:
表XVIII
  名称   N2稳定   N2处理   清洗   稳定   沉积   清洗   提升   抽吸
  模式   时间   时间   时间   终点   时间   时间   时间或终点   时间
  最长时间   10   10   5   25   18*   5   5   10
  加热器温度(℃)   300   300   300   300   300   300   300   300
  压力模式   伺服   伺服   伺服   伺服   伺服   伺服   伺服   绝对控制
  压力(托)   8.5   8.5   8.5   8.5   8.5   8.5   8.5   0
  TV方位(°)   0   0   0   0   0   0   0   90
  提升加工   加工   加工   加工   加工   加工   加工   加工   提升
  加热器空间(寸)   0.3   0.3   0.3   0.3   0.3   0.3   1.6   1.6
  RF时间(秒)   0   10   0   0   18   0   0   0
  高频RF   0   50   0   0   100   0   0   0
  功率(瓦)
  RF配比设定   M4   M4   M4   M4   M4   M4   M4   M4
  SiH4气流设定(sccm)   0   0   0   60   60   0   0   0
  NH3气流设定(sccm)   0   0   0   900   900   0   0   最终抽过
  氩气沉积气流设定(sccm)   10000   10000   0   0   0   0   0   最终抽过
  氮气流设定(sccm)   10000   10000   10000   1000   1000   2000   1000   最终抽过
  终点   压力及气流
总括而论,种在低沉积温度下沉积的膜,即使初镀时呈现最低的应力的却在紫外光硬化后展现出最高的应力。这示出出沉积温度在紫外光硬化期间对膜的收缩具有最大的冲击。较高的紫外光硬化温度会增进硬化效能(导致较短的硬化时间或在特定时间内获得较高的应力)。集成了多个沉积/硬化循环的处理增进其栅极底的边角处的完整,但这需要额外的步骤。然藉由提高沉积温度可提升产量,而这将提高每层的初镀厚度的极限。最后,这样的种集成沉积/硬化处理应在真空不中断下进行,以防止薄膜氧化并维持高应力水平。
如本发明所述的实施例通常提出种在基板上形成介电膜的方法。在一实施例中,其方法包含将基板置入腔室中,而横跨该基板的表面至少有形成的特征。将介电层沉积于该基板的该表面上。将该介电层以等离子体处理。将该介电层以紫外光源处理。在实施例中,该方法还包含重复:沉积该介电层及以等离子体处理该介电层。在另一实施例中,该介电层包含氧化硅、氮氧化硅、或氮化硅。在一实施例中,其沉积介电层及以等离子体处理该介电层在同一腔室中进行。在一实施例中,其等离子体包含氩气及氮气的混合气体。
且如本发明所述的实施例通常包含提出种在基板上形成介电膜的方法。该方法包含将基板置入腔室中,而横跨该基板的表面有着至少形成的特征。将介电层沉积于该基板的该表面上。将该介电层以等离子体处理。将该介电层以紫外光源处理。在一实施例中,其介电层包含氧化硅、氮氧化硅、或氮化硅。在一实施例中,其等离子体包含氩气及氮气的混合气体。
如本发明所述的一个实施例提出一种在形成的特征上沉积共形介电膜的方法及设备。可受益于此处理的薄膜包含诸如氧化硅、氮氧化硅、或氮化硅等的介电材料。此膜可掺杂碳、掺杂氢、或包含其它些化学品或元素,以调制成适用的介电性质。该层可掺杂碳或掺杂氮。确切地来说,经个别沉积及等离子体处理而成的薄层所构成的一种组合层比层厚厚的单层介电层,提供种更为共形的膜层。本处理的较佳腔室包含PRODUCER P3TM腔室、PRODUCER APF PECVDTM腔室、PRODUCER BLACK DIMONDPECVDTM腔室、PRODUCER BLOK PECVDTM腔室、PRODUCER DARCPECVDTM腔室、PRODUCER HARPTM腔室、PRODUCER PECVDTM腔室、PRODUCER SACVDTM腔室、PRODUCER STRESS NITRIDE PECVDTM腔室、及PRODUCER TEOS FSG PECVDTM腔室,而这些腔室均可自美国加州圣克拉拉的应用材料公司(Applied Materials,Inc.,Santa Clara,California)购得。2006年5月1日提出申请而标题为“辅以紫外光的热处理(UVASSISTED THERMAL PROCESSING)”的美国专利申请No.11/414,869专利申请书描述了一个可作为示例的系统,兹将该申请书未与本说明书不一致的范围并入文中作为参考。本处理的腔室可个别地配置构型,但其最可能是一个集成工具的部件,诸如ENDURATM集成工具及CENTURATM集成工具,这些集成工具可自加州圣克拉拉的应用材料公司购得。此处理可在任何基板上执行,诸如200毫米或300毫米基板或其它适于半导体或平板显示器加工的媒介。
图82为沉积处理8200的实施例的流程图。沉积处理8200的所有处理步骤可在相同的腔室中进行。处理8200始于开始步骤8210,8210包含将基板置入腔室中,该基板至少有一个横跨其表面的形成特征。该形成特征可为任何种类的形成特征,诸如通孔或互连。接下来则在薄介电层沉积步骤8220的期间内以CVD或PECVD沉积介电层。该薄介电层可为氧化硅、氮氧化硅、或氮化硅。该薄膜可掺杂碳或掺杂氮。该薄介电层的厚度可约为1埃至约8埃。该腔室的压力为约100毫托至约8托,并以2至8托为较佳。该薄介电层在沉积步骤8220的期间内经约2至约5秒的沉积,然后该薄介电层在步骤8230的期间内受等离子体处理。2006年3月31日提出申请而标题为“改善氮化硅膜的阶梯覆盖及图案负载的方法(METHOD TOIMPROVE THE STEP COVERAGE AND PATTERN LOADING FOESILICON NITRIDE FILMS)”的美国临时专利申请No.11/414,869论述了薄介电膜的沉积方法,兹将该申请书未与本说明书发生抵触的范围并入文中作为参考。然后该薄介电层在步骤8240中受紫外光处理。
用于紫外光处理步骤8240的紫外光源可包含紫外光灯,其包括充填着一种或多种藉电源而激发的气体(诸如,氙(Xe)或汞(Hg))的密闭式等离子体灯泡。在一实施例中,其电源可为传统的紫外光电源或是一种或多种转换器,其中包含给与磁电管钨丝电压的转换器。在另一实施例中,其电源可采用能够在紫外光灯泡内激发气体的射频(RF)能源。在实施例中,其紫外光灯泡可具低压Hg或其它低压紫外光放电物质,以产生254纳米及185纳米的辐射。
此处理在其结束步骤8260的期间内完成。在结束步骤8260的期间内,其基板经历额外的工序并自腔室中移出。
图83为沉积处理8300的实施例的流程图,其包含开始步骤8310。处理8300始于起使步骤8310,8310包含将基板置入腔室中,该基板至少有个横越其表面的形成特征。该形成特征可为任何种类的形成特征,诸如通孔或互连。接下来则在薄介电层沉积步骤8320的期间内以CVD或PECVD沉积介电层。如上所论述,此薄介电层可为氧化硅、氮氧化硅、或氮化硅。然后此薄介电层在步骤8330的期间内受等离子体处理。等离子体处理步骤8330可采种任何惰性等离子体并同氮气的组合气体来进行,但以种氩气并同氮气的组合气体为较佳。接着在步骤8340的期间内,此薄介电层受紫外光处理。在步骤8350中,此薄介电层再经历另个等离子体处理步骤。图案化结构的紫外光硬化通常会因阴影效应(shadowing effects)而在栅极角处蒙受硬化效能降低的害。加入此第二次等离子体处理步骤(在执行上以氮气等离子体为佳),藉由愈合多栅极底部的“部分硬化”区,因而增进了边角的完整性。此处理能以所欲的薄膜厚度为函数而加以最优化,以增进产量的绩效。此外,重复执行如下步骤:沉积介电层、以等离子体处理此介电层、以紫外光源处理此介电层、及以等离子体处理此介电层,可改善介电层的阶梯覆盖。将此沉积/硬化顺序分成多个循环可使边角的完整性因每层收缩量的降低而改善,并使阶梯覆盖得以增进。
图84为描述沉积处理对紫外光硬化后的湿蚀刻速率(WER)及应力的影响的示。图84示出,与无等离子体处理(A)或高温等离子体处理(B)相较,藉由在沉积之后紫外光硬化之前引入氩气/氮气等离子体处理(C),WER显著地下降,而无重大的应力减退。NMOS器件性能随SiNxHy接触衬垫的拉伸应力水平而呈线性增进。图84示出,并用了原位等离子体的紫外光处理可用于增强氮化物层的拉伸应力。但要同时受益于原位等离子体处理及紫外光硬化,则“初镀”氮化物膜的组成应调制成Si-H/N-H的比大约为1。而氩气的添加于氮气等离子体变更了等离子体密度,并因此提高了负责将氢移出薄膜的氮自由基的浓度。例如,此原位等离子体处理并同紫外光硬化的组合可使具有1.55GPa拉伸应力及低湿蚀刻率的氮化物膜在约400℃的低温下沉积。
藉由将“初镀膜”中Si-H/N-H的比及氢总含量最优化,即可在400℃下,使用紫外光硬化,沉积出具有拉伸应力高达1.7GPa的氮化硅膜。诚如图85所示,将PECVD SiNx膜暴露于宽带紫外光,则导致其氢含量的陡降及氮化物网络的交联的增加。其应力的增强因膜的种立体性收缩而引发,此收缩导源于Si-H键及N-H键裂解之后接着形成Si-N键。
上方的实施例在描述上与氮化硅膜的形成有关,但本发明并不限定于此特定的示例。也可根据本发明的实施例而形成其它种类的膜,包含氮氧化硅膜及经过掺杂的氮化硅膜。此类膜中的掺杂物的示例包含但不限于碳、氧、硼、磷、锗、及砷。
虽然本文中示出及描述了本发明可作示例的实施例,那些具本领域技术人员可能构想其它纳入本发明的实施例,而其亦在本发明的范畴的内。例如,其它辐射处理,如红外光辐射或拣选过的可见光波长也可用于处理沉积膜。还有,也能使用不同辐射照射的组合。此外,词语下方、上方、底、顶、上、下、第一及第二以及其它相对或位置方面的词语与中示例实施例相关而示出,且可互换。因此,本文附加的申请专利范围不应受限于用以描绘本发明的文中所述较佳方案、材料、或空间配置。

Claims (26)

1.一种形成氮化硅的方法,所述方法包含:
(i)将含有一表面的基板配置于处理室中的陶制支撑件上;及
(ii)藉由在高于400℃的温度下将所述表面暴露于含硅前体气体,而将氮化硅层沉积于所述表面上。
2.如权利要求1所述的方法,其特征在于,所述基板被配置于陶制支撑件上,所述陶制支撑件包含氮化铝、石墨、碳化硅、矾土、及钇氧(Ytria)。
3.如权利要求1所述的方法,其特征在于,所述表面于480℃或更高的温度下暴露于所述含硅前体气体。
4.如权利要求1所述的方法,其更包含将所述氮化硅暴露于紫外光辐射,同时维持所述基板的温度高于400℃。
5.如权利要求1所述的方法,其特征在于,所述在高于400℃的温度下所进行的沉积,比起在较低温度下所进行的沉积,其可在所述氮化硅上产生较高的应力。
6.如权利要求1所述的方法,其特征在于,所述氮化硅膜沉积在位于所述基板中的一晶体管结构的凸起的栅电极上。
7.如权利要求1所述的方法,其更包含:
沉积第二氮化硅层于所述氮化硅层上;及
将所述第二氮化硅层暴露于紫外光辐射。
8.如权利要求7所述的方法,其更包含:
在沉积所述第二氮化硅层之前,将所述氮化硅层暴露于等离子体。
9.如权利要求7所述的方法,其特征在于,所述氮化硅层及所述第二氮化硅层的厚度为1000埃或更少。
10.如权利要求1所述的方法,其特征在于,所述氮化硅层包含氮氧化硅或经掺杂的氮化硅。
11.一种形成氮化硅的方法,所述方法包含:
(i)将含有一表面的基板配置于处理室中;
(ii)形成氮化硅层于所述表面上;
(iii)形成另一氮化硅层于所述现有的氮化硅层上;
(iv)将所述氮化硅暴露于紫外光辐射;及
重复步骤(iii)-(iv)以增加所述氮化硅的厚度。
12.如权利要求11所述的方法,其更包含在将额外的氮化硅形成于所述现有的氮化硅上之前,将所述现有的氮化硅暴露于等离子体。
13.如权利要求11所述的方法,其特征在于,所述氮化硅膜沉积在位于所述基板中的晶体管结构的凸起的栅电极上。
14.如权利要求11所述的方法,其特征在于,所述氮化硅层的厚度为1000埃或更少。
15.如权利要求11所述的方法,其更包含在将所述氮化硅层暴露于所述紫外光辐射期间或之后加热所述氮化硅层。
16.如权利要求11所述的方法,其特征在于,所述沉积及紫外光暴露步骤在一集束型设备的不同腔室中进行。
17.一种在基板上形成介电膜的方法,所述方法包含:
将基板置入腔室中,且至少有一特征形成在所述基板的一个表面上;
沉积介电层于所述基板的所述表面上;
将所述介电层以等离子体处理;及
将所述介电层以一紫外光源处理。
18.如权利要求17所述的方法,其更包含重复以下步骤:沉积介电层及以等离子体处理所述介电层。
19.如权利要求17所述的方法,其特征在于,所述介电层包含氧化硅、氮氧化硅、氮化硅、或经掺杂的氮化硅。
20.如权利要求17所述的方法,其特征在于,所述沉积介电层及以等离子体处理所述介电层的步骤在一相同的腔室中进行。
21.如权利要求17所述的方法,其特征在于,所述以紫外光源处理所述介电层的步骤在包含一紫外光源的第二腔室中进行。
22.如权利要求17所述的方法,其特征在于,所述等离子体包含氩、氮、氙、及氦。
23.如权利要求17所述的方法,其更包含以等离子体处理所述介电层。
24.如权利要求23所述的方法,其更包含重复以下步骤:沉积介电层、以等离子体处理所述介电层、以紫外光源处理所述介电层、及以等离子体处理所述介电层。
25.如权利要求23所述的方法,其特征在于,所述沉积介电层、以等离子体处理所述介电层、以紫外光源处理所述介电层、及以等离子体处理所述介电层等步骤于集成式沉积/紫外光系统中执行。
26.如权利要求23所述的方法,其特征在于,所述等离子体包含氩、氮、氦、及氙。
CN200780017771XA 2006-06-20 2007-06-15 利用原位氮等离子体处理及非原位紫外光固化来增加氮化硅拉伸应力的方法 Expired - Fee Related CN101496145B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US80532406P 2006-06-20 2006-06-20
US60/805,324 2006-06-20
US11/762,590 US8138104B2 (en) 2005-05-26 2007-06-13 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US11/762,590 2007-06-13
PCT/US2007/071387 WO2007149788A2 (en) 2006-06-20 2007-06-15 Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure

Publications (2)

Publication Number Publication Date
CN101496145A true CN101496145A (zh) 2009-07-29
CN101496145B CN101496145B (zh) 2012-10-03

Family

ID=38834274

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200780017771XA Expired - Fee Related CN101496145B (zh) 2006-06-20 2007-06-15 利用原位氮等离子体处理及非原位紫外光固化来增加氮化硅拉伸应力的方法

Country Status (5)

Country Link
US (2) US8138104B2 (zh)
KR (1) KR101081632B1 (zh)
CN (1) CN101496145B (zh)
TW (1) TWI466191B (zh)
WO (1) WO2007149788A2 (zh)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102412125A (zh) * 2011-04-29 2012-04-11 上海华力微电子有限公司 一种制造高拉应力氮化硅薄膜的方法
CN102420122A (zh) * 2011-06-07 2012-04-18 上海华力微电子有限公司 一种增强氮化硅薄膜张应力的方法
CN102543741A (zh) * 2010-12-23 2012-07-04 中芯国际集成电路制造(上海)有限公司 P型金属氧化物半导体管的制作方法
CN102569090A (zh) * 2010-12-31 2012-07-11 中芯国际集成电路制造(北京)有限公司 Nmos晶体管的形成方法
CN102790014A (zh) * 2011-05-19 2012-11-21 台湾积体电路制造股份有限公司 经受应力的半导体器件及其制造方法
CN102867784A (zh) * 2011-07-06 2013-01-09 台湾积体电路制造股份有限公司 具有位错结构的半导体器件及其形成方法
CN103280400A (zh) * 2013-05-09 2013-09-04 上海集成电路研发中心有限公司 一种高压应力氮化硅薄膜的制备方法
CN103329259A (zh) * 2011-01-26 2013-09-25 应用材料公司 氮化硅与氮氧化硅的等离子体处理
CN103489778A (zh) * 2012-06-11 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103606519A (zh) * 2013-10-23 2014-02-26 上海华力微电子有限公司 一种形成多层复合式接触孔刻蚀阻挡层的方法
CN103855092A (zh) * 2012-11-28 2014-06-11 中国科学院微电子研究所 半导体器件制造方法
CN104143534A (zh) * 2013-05-10 2014-11-12 中国科学院微电子研究所 半导体器件制造方法
CN104253049A (zh) * 2013-06-28 2014-12-31 中国科学院微电子研究所 半导体器件制造方法
CN104465344A (zh) * 2014-11-28 2015-03-25 上海华力微电子有限公司 一种改善pmos器件性能的离子注入方法
CN105895634A (zh) * 2015-01-26 2016-08-24 中芯国际集成电路制造(上海)有限公司 Cmos器件及其制作方法
CN104157575B (zh) * 2014-08-15 2017-01-04 上海华力微电子有限公司 改善pmos器件性能的离子注入方法
CN106298527A (zh) * 2015-06-01 2017-01-04 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法
CN106356337A (zh) * 2015-07-17 2017-01-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN107564800A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种氮化硅层的制备方法
CN107895724A (zh) * 2017-11-13 2018-04-10 中国科学院微电子研究所 一种三维存储器及其制作方法
CN108417481A (zh) * 2018-03-22 2018-08-17 京东方科技集团股份有限公司 氮化硅介电层的处理方法、薄膜晶体管和显示装置
CN109841687A (zh) * 2017-11-29 2019-06-04 乐金显示有限公司 薄膜晶体管及其制造方法和包括该薄膜晶体管的显示设备
CN110867376A (zh) * 2019-11-25 2020-03-06 上海华力集成电路制造有限公司 用于改善半导体应变器件nbti的方法和结构
CN111094205A (zh) * 2017-06-23 2020-05-01 辛麦特有限公司 用于向陶瓷材料施加压缩应力的膜
CN111883419A (zh) * 2020-08-18 2020-11-03 华虹半导体(无锡)有限公司 Cmos器件的制造方法
CN112204706A (zh) * 2018-06-19 2021-01-08 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
CN112885713A (zh) * 2021-01-29 2021-06-01 合肥维信诺科技有限公司 改善膜质的方法和显示面板

Families Citing this family (410)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
DE102006019935B4 (de) * 2006-04-28 2011-01-13 Advanced Micro Devices, Inc., Sunnyvale SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
WO2009055450A1 (en) * 2007-10-25 2009-04-30 Applied Materials, Inc. Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
US7727903B2 (en) * 2007-10-29 2010-06-01 United Microelectronics Corp. Method of forming strain-causing layer for MOS transistors and process for fabricating strained MOS transistors
DE102007052050B4 (de) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
JP5064289B2 (ja) * 2008-04-17 2012-10-31 パナソニック株式会社 半導体装置およびその製造方法
DE102008026182B4 (de) 2008-05-30 2010-05-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mikrostrukturbauelements mit einem kompressiv verspannten Material mit kleinem ε und Halbleiterbauelement bzw. MOS-Transistor
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9018109B2 (en) * 2009-03-10 2015-04-28 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor including silicon nitride layer and manufacturing method thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8728958B2 (en) * 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8460981B2 (en) 2010-09-28 2013-06-11 International Business Machines Corporation Use of contacts to create differential stresses on devices
US8815671B2 (en) * 2010-09-28 2014-08-26 International Business Machines Corporation Use of contacts to create differential stresses on devices
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8470678B2 (en) 2011-02-24 2013-06-25 International Business Machines Corporation Tensile stress enhancement of nitride film for stressed channel field effect transistor fabrication
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
KR20120106453A (ko) * 2011-03-18 2012-09-26 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8350334B2 (en) 2011-06-13 2013-01-08 United Microelectronics Corp. Stress film forming method and stress film structure
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
TWI663731B (zh) * 2011-07-19 2019-06-21 聯華電子股份有限公司 半導體元件及其製作方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US8877599B2 (en) 2012-05-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US8916430B2 (en) * 2013-05-17 2014-12-23 Globalfoundries Singapore Pte. Ltd. Methods for fabricating integrated circuits with the implantation of nitrogen
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
CN103346080A (zh) * 2013-07-09 2013-10-09 上海华力微电子有限公司 减少金属硅化物掩模层缺陷的方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9735159B2 (en) * 2013-12-30 2017-08-15 Texas Instruments Incorporated Optimized layout for relaxed and strained liner in single stress liner technology
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2015179700A (ja) * 2014-03-18 2015-10-08 キヤノン株式会社 固体撮像素子の製造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9502263B2 (en) 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
EP3038132B1 (en) * 2014-12-22 2020-03-11 IMEC vzw Method and apparatus for real-time monitoring of plasma etch uniformity
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10388515B2 (en) * 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9871067B2 (en) 2015-11-17 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Infrared image sensor component
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102637922B1 (ko) * 2016-03-10 2024-02-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 안정화 방법 및 이를 이용한 증착 방법
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102335188B1 (ko) * 2017-01-13 2021-12-02 어플라이드 머티어리얼스, 인코포레이티드 저온 실리콘 나이트라이드 필름들을 위한 방법들 및 장치
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10263107B2 (en) * 2017-05-01 2019-04-16 The Regents Of The University Of California Strain gated transistors and method
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10760159B2 (en) * 2017-07-13 2020-09-01 Applied Materials, Inc. Methods and apparatus for depositing yttrium-containing films
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10600684B2 (en) * 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
SG11202006604RA (en) * 2018-01-26 2020-08-28 Applied Materials Inc Treatment methods for silicon nitride thin films
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200190664A1 (en) * 2018-12-13 2020-06-18 Applied Materials, Inc. Methods for depositing phosphorus-doped silicon nitride films
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020146030A1 (en) * 2019-01-09 2020-07-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR102343148B1 (ko) * 2019-04-29 2021-12-27 삼성디스플레이 주식회사 디스플레이 장치 및 그 제조방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
TWI800664B (zh) * 2019-07-08 2023-05-01 聯華電子股份有限公司 拉伸應力介電層的製作方法
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11823907B2 (en) * 2019-10-16 2023-11-21 Wonik Ips Co., Ltd. Processing method for substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN114641591A (zh) * 2019-10-25 2022-06-17 应用材料公司 用于沉积高品质pvd膜的方法
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113823546A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种反应腔体及其处理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11538677B2 (en) * 2020-09-01 2022-12-27 Applied Materials, Inc. Systems and methods for depositing high density and high tensile stress films
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11710631B2 (en) * 2020-10-23 2023-07-25 Applied Materials, Inc. Tensile nitride deposition systems and methods
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4568410A (en) * 1984-12-20 1986-02-04 Motorola, Inc. Selective plasma etching of silicon nitride in the presence of silicon oxide
JPS63261571A (ja) 1987-04-20 1988-10-28 Nippon Telegr & Teleph Corp <Ntt> デイスク・タ−ンテ−ブル
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5817562A (en) * 1997-01-24 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US6354395B1 (en) * 1997-08-04 2002-03-12 Delphi Technologies, Inc. Delashed worm gear assembly and electric power assist apparatus
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP2000339837A (ja) 1999-05-26 2000-12-08 Matsushita Electric Ind Co Ltd 回転駆動装置の製造方法
JP4562835B2 (ja) * 1999-11-05 2010-10-13 株式会社半導体エネルギー研究所 半導体装置の作製方法
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
WO2001069673A1 (fr) * 2000-03-13 2001-09-20 Tadahiro Ohmi Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
TW584902B (en) 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
JP2002187231A (ja) * 2000-10-13 2002-07-02 Dainippon Printing Co Ltd バリア性フィルムおよびその製造法
KR100767950B1 (ko) * 2000-11-22 2007-10-18 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6365518B1 (en) * 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US6482688B2 (en) * 2001-03-30 2002-11-19 Texas Instruments Incorporated Utilizing amorphorization of polycrystalline structures to achieve T-shaped MOSFET gate
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US20020168828A1 (en) * 2001-05-10 2002-11-14 Kuan-Lun Cheng Method of reducing threshold voltage shifting of a gate
JP2002368084A (ja) * 2001-06-12 2002-12-20 Hitachi Ltd 半導体集積回路装置の製造方法
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6929831B2 (en) * 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
KR100422597B1 (ko) * 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
EP1466034A1 (en) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
TWI273143B (en) * 2002-06-10 2007-02-11 Konica Corp Layer formation method, and substrate with a layer formed by the method
EP1408140A1 (en) * 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
JP2004172389A (ja) * 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
JP2004343031A (ja) * 2002-12-03 2004-12-02 Advanced Lcd Technologies Development Center Co Ltd 誘電体膜およびその形成方法ならびに誘電体膜を用いた半導体装置およびその製造方法
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6924181B2 (en) * 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
CN1777696B (zh) * 2003-03-14 2011-04-20 杰努斯公司 用于原子层沉积的方法和设备
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
KR100500451B1 (ko) * 2003-06-16 2005-07-12 삼성전자주식회사 인장된 채널을 갖는 모스 트랜지스터를 구비하는반도체소자의 제조 방법
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7342289B2 (en) * 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US6808991B1 (en) * 2003-11-19 2004-10-26 Macronix International Co., Ltd. Method for forming twin bit cell flash memory
US7244654B2 (en) * 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
US7192894B2 (en) * 2004-04-28 2007-03-20 Texas Instruments Incorporated High performance CMOS transistors using PMD liner stress
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7041543B1 (en) * 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7323391B2 (en) * 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
TWI263265B (en) * 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7309659B1 (en) * 2005-04-01 2007-12-18 Advanced Micro Devices, Inc. Silicon-containing resist to pattern organic low k-dielectrics
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7462527B2 (en) * 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543741A (zh) * 2010-12-23 2012-07-04 中芯国际集成电路制造(上海)有限公司 P型金属氧化物半导体管的制作方法
CN102569090B (zh) * 2010-12-31 2014-09-24 中芯国际集成电路制造(北京)有限公司 Nmos晶体管的形成方法
CN102569090A (zh) * 2010-12-31 2012-07-11 中芯国际集成电路制造(北京)有限公司 Nmos晶体管的形成方法
CN103329259A (zh) * 2011-01-26 2013-09-25 应用材料公司 氮化硅与氮氧化硅的等离子体处理
CN103329259B (zh) * 2011-01-26 2015-05-27 应用材料公司 氮化硅与氮氧化硅的等离子体处理
CN102412125A (zh) * 2011-04-29 2012-04-11 上海华力微电子有限公司 一种制造高拉应力氮化硅薄膜的方法
CN102412125B (zh) * 2011-04-29 2013-12-04 上海华力微电子有限公司 一种制造高拉应力氮化硅薄膜的方法
CN102790014A (zh) * 2011-05-19 2012-11-21 台湾积体电路制造股份有限公司 经受应力的半导体器件及其制造方法
CN102790014B (zh) * 2011-05-19 2015-04-01 台湾积体电路制造股份有限公司 经受应力的半导体器件及其制造方法
CN102420122B (zh) * 2011-06-07 2013-10-02 上海华力微电子有限公司 一种增强氮化硅薄膜张应力的方法
CN102420122A (zh) * 2011-06-07 2012-04-18 上海华力微电子有限公司 一种增强氮化硅薄膜张应力的方法
CN102867784B (zh) * 2011-07-06 2015-03-11 台湾积体电路制造股份有限公司 具有位错结构的半导体器件及其形成方法
CN102867784A (zh) * 2011-07-06 2013-01-09 台湾积体电路制造股份有限公司 具有位错结构的半导体器件及其形成方法
CN103489778A (zh) * 2012-06-11 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103855092B (zh) * 2012-11-28 2018-11-06 中国科学院微电子研究所 半导体器件制造方法
CN103855092A (zh) * 2012-11-28 2014-06-11 中国科学院微电子研究所 半导体器件制造方法
CN103280400B (zh) * 2013-05-09 2019-02-05 上海集成电路研发中心有限公司 一种高压应力氮化硅薄膜的制备方法
CN103280400A (zh) * 2013-05-09 2013-09-04 上海集成电路研发中心有限公司 一种高压应力氮化硅薄膜的制备方法
CN104143534B (zh) * 2013-05-10 2018-05-15 中国科学院微电子研究所 半导体器件制造方法
CN104143534A (zh) * 2013-05-10 2014-11-12 中国科学院微电子研究所 半导体器件制造方法
CN104253049A (zh) * 2013-06-28 2014-12-31 中国科学院微电子研究所 半导体器件制造方法
CN104253049B (zh) * 2013-06-28 2018-11-06 中国科学院微电子研究所 半导体器件制造方法
CN103606519A (zh) * 2013-10-23 2014-02-26 上海华力微电子有限公司 一种形成多层复合式接触孔刻蚀阻挡层的方法
CN103606519B (zh) * 2013-10-23 2016-08-03 上海华力微电子有限公司 一种形成多层复合式接触孔刻蚀阻挡层的方法
CN104157575B (zh) * 2014-08-15 2017-01-04 上海华力微电子有限公司 改善pmos器件性能的离子注入方法
CN104465344A (zh) * 2014-11-28 2015-03-25 上海华力微电子有限公司 一种改善pmos器件性能的离子注入方法
CN105895634A (zh) * 2015-01-26 2016-08-24 中芯国际集成电路制造(上海)有限公司 Cmos器件及其制作方法
CN106298527A (zh) * 2015-06-01 2017-01-04 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法
CN106298527B (zh) * 2015-06-01 2019-07-30 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法
CN106356337A (zh) * 2015-07-17 2017-01-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN111094205A (zh) * 2017-06-23 2020-05-01 辛麦特有限公司 用于向陶瓷材料施加压缩应力的膜
CN107564800A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种氮化硅层的制备方法
CN107895724A (zh) * 2017-11-13 2018-04-10 中国科学院微电子研究所 一种三维存储器及其制作方法
CN109841687A (zh) * 2017-11-29 2019-06-04 乐金显示有限公司 薄膜晶体管及其制造方法和包括该薄膜晶体管的显示设备
CN108417481A (zh) * 2018-03-22 2018-08-17 京东方科技集团股份有限公司 氮化硅介电层的处理方法、薄膜晶体管和显示装置
CN108417481B (zh) * 2018-03-22 2021-02-23 京东方科技集团股份有限公司 氮化硅介电层的处理方法、薄膜晶体管和显示装置
CN112204706A (zh) * 2018-06-19 2021-01-08 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
CN112204706B (zh) * 2018-06-19 2022-02-25 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
CN110867376A (zh) * 2019-11-25 2020-03-06 上海华力集成电路制造有限公司 用于改善半导体应变器件nbti的方法和结构
CN111883419A (zh) * 2020-08-18 2020-11-03 华虹半导体(无锡)有限公司 Cmos器件的制造方法
CN112885713A (zh) * 2021-01-29 2021-06-01 合肥维信诺科技有限公司 改善膜质的方法和显示面板

Also Published As

Publication number Publication date
US8138104B2 (en) 2012-03-20
US20120196450A1 (en) 2012-08-02
CN101496145B (zh) 2012-10-03
WO2007149788A3 (en) 2008-06-05
KR20090017665A (ko) 2009-02-18
KR101081632B1 (ko) 2011-11-09
US20080020591A1 (en) 2008-01-24
WO2007149788A2 (en) 2007-12-27
TW200822225A (en) 2008-05-16
TWI466191B (zh) 2014-12-21

Similar Documents

Publication Publication Date Title
CN101496145B (zh) 利用原位氮等离子体处理及非原位紫外光固化来增加氮化硅拉伸应力的方法
CN100561708C (zh) 制造受应力电晶体结构的集成制程
CN102437053A (zh) 增加pecvd氮化硅膜层的压缩应力的方法
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
TWI345814B (en) Integration process for fabricating stressed transistor structure
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
KR101032817B1 (ko) 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법
US8586487B2 (en) Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) Plasma activated conformal dielectric film deposition
KR101244859B1 (ko) 인장 응력 및 압축 응력을 받은 반도체용 재료
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
CN107833825A (zh) 掺杂氧的碳化硅膜的基于远程等离子体的沉积

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121003

Termination date: 20150615

EXPY Termination of patent right or utility model