WO2004019134A1 - 剥離液 - Google Patents

剥離液 Download PDF

Info

Publication number
WO2004019134A1
WO2004019134A1 PCT/JP2003/010547 JP0310547W WO2004019134A1 WO 2004019134 A1 WO2004019134 A1 WO 2004019134A1 JP 0310547 W JP0310547 W JP 0310547W WO 2004019134 A1 WO2004019134 A1 WO 2004019134A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
mass
ether
solvent
group
Prior art date
Application number
PCT/JP2003/010547
Other languages
English (en)
French (fr)
Inventor
Mitsushi Itano
Takashi Kanemura
Shingo Nakamura
Fumihiro Kamiya
Takehiko Kezuka
Original Assignee
Daikin Industries, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries, Ltd. filed Critical Daikin Industries, Ltd.
Priority to JP2004530588A priority Critical patent/JP4434950B2/ja
Priority to EP03792757A priority patent/EP1536291A4/en
Priority to KR1020057003010A priority patent/KR100649418B1/ko
Priority to US10/525,249 priority patent/US7833957B2/en
Priority to AU2003257636A priority patent/AU2003257636A1/en
Priority to CN038198762A priority patent/CN1678961B/zh
Publication of WO2004019134A1 publication Critical patent/WO2004019134A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/428Stripping or agents therefor using ultrasonic means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/24Hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/264Aldehydes; Ketones; Acetals or ketals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern

Definitions

  • the present invention relates to a resist stripping solution for low-k films and a via hole or capacitor cleaning solution.
  • a titanium compound and / or a polymer may remain on the side wall and the Z or bottom surface of the via hole. Therefore, development of a composition for removing the titanium compound and Z or polymer from the via hole is required.
  • a polymer, a resist residue, and a titanium compound may remain after dry etching of an upper or lower electrode metal film (TiN, Ti, etc.) of a metal capacitor. Therefore, development of a composition for removing the polymer, resist residue and titanium compound is required.
  • interlayer insulating film as a wiring material have been manufactured in the center.
  • a low resistance Cu used as wiring material a small low- k film (low dielectric constant inter-wire capacitance in place of the Si0 2 film as an interlayer insulating film Cu / low-k multilayer wiring structures using film) have been developed.
  • al / Si0 2 multilayer wiring structure is formed separately via layer is a hole-type wire in the vertical direction for connecting the wiring layer and the wiring layers for supplying current to the horizontal direction with respect to the wafer to be processed.
  • Wiring layer by processing a metal wire, such as A1 convex by metal dry etching it is formed by embedding the periphery of the wiring interlayer insulating film such as Si_ ⁇ 2 film. Via layers after the deposition of the interlayer insulating film such as Si0 2 film, a hole (via hole) and Caro E with an interlayer insulating film dry etching to form the buried metal such as A1 and W.
  • a via-first process in which a via hole is formed first and then a trench for wiring is formed, and a trench for wiring is formed in the reverse order, followed by a trench in which a via hole is formed There are first process, middle first process and dual hard mask process.
  • Embedding materials are often used in dual damascene processes. For example, in a via-first process, after a via hole is formed by dry etching, a burying material is buried, lithography for forming a trench is performed, and etching is performed. After that, the embedding material must be selectively removed.
  • the metal etching for wiring formation is used is chlorine or Nioikamizu element
  • the via etching for forming the via hole Furuorokabo Ngasu and Hyde port Furuoro
  • a mixed gas such as an inert gas such as carbon gas and Ar and an oxygen-containing gas such as oxygen and carbon monoxide is used.
  • asshing is performed with a plasma containing oxygen to remove unnecessary substances such as resist and etching residues. The residue present after this assing is removed with a stripper.
  • the residue here is an oxide such as aluminum containing a small amount of an organic substance such as a resist in metal etching. Since this residue is formed on the side wall of the aluminum wiring, it is sometimes called a sidewall polymer, a rabbit wheel, or the like.
  • oxides and fluorides such as Ti and TiN, which are metal barrier films containing a small amount of organic substances such as resist and fluorocarbon polymer, are used.
  • This residue is also Sometimes called all-polymer.
  • the residue after etching with a metal or via hole is often subjected to asking treatment until the resist disappears with oxygen plasma, and the main component of the etching residue is an inorganic oxide.
  • grooves (trench) or holes (via holes) are formed in the low-k film by dry etching using a mixed gas of fluorocarbon gas and nitrogen.
  • nitrogen is used as the dry etching gas, processing accuracy can be increased.
  • a non-volatile nitrided silicon residue is generated with the silicon-containing low-k film. Performing complete asking with an oxygen-containing plasma to remove post-etch resist and residues damages the low-k film causing a change in the dielectric constant.
  • a plasma assing force containing a gas such as hydrogen, nitrogen, a rare gas, or a mixed gas thereof, or a plasma ashes containing light oxygen or oxygen is used without performing the plasma assing.
  • the resist and filling material are not completely removed by asking to minimize the damage to the low-k film.
  • the residue further contains a large amount of nitrogenated silicon. In such a case, even after the asshing, a relatively large amount of resist, antireflection film, filling material, and etching residues such as silicon nitride containing nitrogen exist.
  • the main components of the residue existing after the etching in the damascene process are a resist containing an inorganic substance such as silicon nitride, an antireflection film, an embedding material, and an organic substance derived from a fluorocarbon polymer.
  • 3255551 discloses that at least one anticorrosive selected from the group consisting of HF, a water-soluble organic solvent, an aromatic hydroxy compound, an acetylene alcohol, a carboxyl group-containing organic compound and its anhydride, and a triazole compound is used.
  • a resist stripper composition for resist is disclosed. Yes It is said that sulfoxides, amides, polyhydric alcohols and the like are effective as organic solvents.
  • Japanese Patent Application Laid-Open No. H10-50647 after forming a contact hole, the natural oxide film on the bottom surface of the contact hole and the oxide film on the side wall containing contaminants such as etching residues and metal substances are carefully etched to clean the contact hole.
  • 6,150,282 discloses a method for simultaneously stripping and etching at least one of a mask, an etching residue, a silicon oxide film and a silicon nitride film with a stripping solution comprising hydrogen fluoride, an organic solvent and water.
  • a cleaning solution containing 20% by weight or less of hydrogen fluoride and an alcohol having a dielectric constant of 10 or more is used to perform cleaning after via hole etching and side wall polymer after dry etching of metal wiring. Can be removed while suppressing corrosion of metal wiring such as aluminum.
  • the main object of the present invention is to provide a resist stripping solution for low-k films, a cleaning solution for via holes, and a cleaning solution for metal capacitors.
  • An object of the present invention is to remove and remove a resist after dry etching, an antireflection film, a burying agent, and an etching residue containing the same after forming a Cu / low-k multilayer wiring structure.
  • Al / Si_ ⁇ target is different from the case of the two multi-layer wiring structure.
  • the etching residue contains a residue of nitrided silicon such as SiN, which is rich in organic substances such as resist, antireflection film, and filling material. .
  • a stripping solution containing a large amount of organic compounds and selectively dissolving silicon nitride is required.
  • the present inventor has confirmed that the effect of the stripper selectively dissolving the silicon nitride can be evaluated using the effect of selectively etching the silicon nitride film (SiN) as an index. Yes.
  • a stripper that selectively etches a silicon nitride film (SiN) dissolves silicon nitride in an etching residue better and has a greater effect of removing the residue.
  • Copper is used as a wiring material in combination with the low-k film. It is necessary that copper is not etched by the stripper. Strippers that meet these requirements have not been previously developed.
  • the stripping solution of the present invention is composed of a mixture of hydrogen fluoride and an organic acid and / or organic solvent, and controls the etching of metal such as copper as a wiring material, an insulating film barrier, a low-k film, and an etching residue. It is possible. That is, the stripping solution of the present invention has the following features:
  • the etching solution of the present invention can remove etching residues.
  • the weight ratio of hydrogen fluoride: isopropanol: water is 1.00 to 5.00 mass%: 87.00 to
  • JP-A-1-46331 and JP-A-10-50647 the same cleaning solution consisting of hydrogen fluoride, isopropyl alcohol and water has a hydrogen fluoride concentration of 0.5% by weight or less. Since the insulating film barrier and the silicon nitride film (SiN) cannot be selectively etched, the removal of the resist, the antireflection film, the filling material, and the etching residue is poor.
  • Japanese Patent Application Laid-Open No. 10-50647 aims to obtain a uniform profile with no steps when cleaning contact holes, and uses a plasma silicon oxide film and a low-pressure chemical vapor deposition silicon oxide film used there.
  • the present invention has a completely opposite configuration, and is characterized in that an insulating film barrier and a silicon nitride film (SiN) are selectively etched with respect to a low-k film.
  • SiN silicon nitride film
  • Japanese Patent No. 3255551 states that organic solvents are effective against sulfoxides, amides, polyhydric alcohols and the like. Polyhydric alcohols have a small effect on etching silicon nitride (SiN) selectively with respect to low-k films. Sulfoxides and amides are highly corroded by copper. Particularly, dimethyl sulfoxide corrodes copper violently. It is obvious that this is not intended for copper as a wiring material. In contrast, the present invention selects an organic solvent that does not require an anticorrosive. That is, Japanese Patent No. 3255551 is not a stripper used to form a Cu / low-k multilayer wiring structure.
  • U.S. Pat. No. 6,150,282 is characterized by being substantially free of moisture and having low metal corrosion.
  • silicon nitride (SiN) when silicon nitride (SiN) is contained in the etching residue, water is required. Without moisture, silicon nitride (SiN) cannot be selectively removed from the low-k film.
  • an organic solvent having a small copper corrosion is selected without reducing the water content to the minimum.
  • the exemplified isopropanol is one of them.
  • the reason for reducing the water content is to avoid corroding metals such as aluminum used for forming an Al / Si02 multilayer wiring structure. For these reasons, US Pat. No. 6,150,282 is not a stripper used to form a Cu / low-k multilayer wiring structure.
  • the stripping solution of the present invention is used to remove the insulating film barrier and the insulating film in contact therewith. Etch the low-k film slightly evenly. In this case, if a water-insoluble organic solvent is used, the insulating film barrier and the surface of the low-k film are roughened, and the shape after peeling is deteriorated. Therefore, it is desirable that the organic solvent used as a stripping solution for forming a Cu / low-k multilayer wiring structure be water-soluble. USP6150282 does not show such a thing.
  • Hydrocarbons containing halogens are non-aqueous solvents, and other oxolanes, sulfolane, esters, ketones, aldehydes, lactones, alcohols, amines and imides are insoluble in water for the above reasons. It is difficult to use as a stripper for forming low-k multilayer wiring structures.
  • sulfolane, amine and imide when used, copper is corroded. Alcohols are preferred to alcohols with one OH group. Methanol corrodes copper when used. Ethanol also corrodes more copper than isopropanol. In the present invention, methanol and ethanol are not used alone, but can be used in combination with other organic solvents.
  • water-soluble alcohols with 3 or more carbon atoms such as propanol and t-butanol
  • water-soluble alcohols with 3 or more carbon atoms can be removed only by rinsing with pure water after stripping treatment with less copper corrosion. Effective peeling treatment can be performed by a number.
  • the present inventors have found that such water-soluble alcohols having 3 or more carbon atoms, such as propanol and t-butanol, are useful for forming a Cu / low-k multilayer wiring structure.
  • US Pat. No. 6,150,282 does not show such a thing, and many of them are unsuitable as a stripper for forming a Cu / low-k multilayer wiring structure.
  • Methyl acetate, ethyl acetate, butyl acetate, acetone, etc. which are desirable to be water-soluble in esters and ketones, have a large effect of selectively etching silicon nitride (SiN) to low_k films.
  • SiN silicon nitride
  • the present invention shows that these are the best esters and ketones that can effectively remove the resist, the anti-reflection film, and the etching residue containing them when forming the low-k multilayer wiring structure.
  • US Pat. No. 6,150,282 does not specifically disclose any organic solvent useful as a stripper for forming a Cu / low-k multilayer wiring structure.
  • Japanese Patent Application Laid-Open No. H11-340183 discloses that a cleaning solution containing 20% by weight or less of hydrogen fluoride and a dielectric constant of 10 or more, such as alcohol (R-OH), is used to remove a side wall polymer with a small amount of metal corrosion. It is characterized by providing. R-OH + 2HF ⁇ (R-OH) H + + HF 2 — dissociates HF slightly and generates a small amount of HF 2 — ion. A small amount of HF 2 Removes the wall polymer and suppresses the reaction to A1.
  • R-OH + 2HF ⁇ (R-OH) H + + HF 2 dissociates HF slightly and generates a small amount of HF 2 — ion.
  • a small amount of HF 2 Removes the wall polymer and suppresses the reaction to A1.
  • the present invention dissociates the hydrogen-bonded polymer (HF) n with an organic solvent such as an alcohol, and forms HF with protons H + supplied from HF and (HF) n (n is 2 or more).
  • the etching residue is removed by selectively etching the insulating film barrier and the silicon nitride film (SiN) with respect to the low-k film.
  • methanol is shown in Examples as an organic solvent effective as a side wall polymer removing liquid. Al / Si0 sidewall polymer generated upon forming the aluminum Niumu wiring in 2 multilayer wiring structure in many cases that is mineralized by Atsushingu.
  • the etching rate of the alumina film as an index of removing the polymer (A1 2 0 3 film) Yore can Rukoto.
  • the higher the etching rate of this film the more easily the sidewall polymer is removed.
  • the etching rate of the A1203 film was higher than that of isopropanol as shown in the examples, and the effect of removing the sidewall polymer of aluminum wiring was higher than that of S-isopropanol.
  • the silicon nitride film (SiN) can be etched more selectively with methanol than with isopropanol.
  • SiN silicon nitride film
  • methanol corrodes copper significantly more than isopropanol.
  • Japanese Patent Application Laid-Open No. 11-340183 does not show that other solvents such as isopropanol have an effect of suppressing corrosion of copper.
  • the resist removed during the formation of the Cu / low-k multilayer wiring structure, the amount of antireflection, and the etching residues containing these are rich in organic substances. They do not disclose that isopropanol is more soluble and easier to remove than methanol. These indicate that JP-A-11-340183 is not a stripper used to form a Cu / low-k multilayer wiring structure.
  • a silicon nitride film which is less corrosive to copper, such as isopropanol / acetic acid, is selectively formed with respect to a low-k film.
  • the present invention relates to a stripping solution and a stripping method, a cleaning solution and a cleaning method described in the following sections.
  • Item 1 A resist stripping solution for a low-k film, comprising at least one selected from the group consisting of organic acids and organic solvents, and hydrogen fluoride (HF).
  • Item 2 The resist stripping solution according to Item 1, further comprising at least one selected from the group consisting of ammonia and amine power.
  • Item 3 The stripper according to Item 1, which is used for ultrasonic cleaning.
  • Item 4 The stripper according to Item 1, wherein the relative dielectric constant force of the low_k film is greater than 1 and not more than 3.
  • Item 5 The stripper according to Item 1, which is capable of etching the SiN film by 1 A or more.
  • Item 6 The stripper according to Item 1, wherein the organic acid or the organic solvent has an SP value of 7 to 17.
  • Item 7 The stripping solution according to Item 1, wherein the HF concentration is 0.01 to 10% by mass.
  • Item 8 The peeling according to Item 1, wherein at least one selected from the group consisting of an organic acid and an organic solvent is an organic acid or a mixture of the organic acid and the organic solvent, and the HF concentration is 0.01 to 5 mass%. liquid.
  • Item 9 Organic acid and organic solvent power The stripping solution according to Item 1, wherein at least one selected from the group is an organic solvent, and the concentration of HF is 0.01 to: I0 mass%.
  • the composition further contains water, and the weight ratio of HF: organic acid: water is 0.01 to 5 mass%: 49 to 99.9 mass%: 0 to 50 mass%, and the organic acid is monocarboxylic acid, sulfonic acid, or polycarboxylic acid.
  • Item 4 The stripper according to Item 1, which is at least one member selected from the group consisting of carboxylic acids.
  • the monocarboxylic acid is acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, caproic acid, caprylic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, ⁇ - At least one member selected from the group consisting of clobutyric acid, ⁇ -clobutyric acid, y-monobutyric acid, lactic acid, glycolic acid, pyruvic acid, dalioxalic acid, methacrylic acid and acrylic acid;
  • Sulfonic acid power At least one selected from the group consisting of methanesulfonic acid, benzenesulfonic acid, trifluoromethanesulfonic acid, and toluenesulfonic acid,
  • Item 11 The stripper according to Item 10, wherein the polycarboxylic acid is at least one selected from the group consisting of oxalic acid, succinic acid, adipic acid, tartaric acid, and citric acid.
  • Item 12 further contains water, wherein the weight ratio of HF: organic solvent: water is 0.01 to 10 mass%: 49 to 99.9 mass%: 0 to 50 mass%, and the organic solvent is a monohydric alcohol or polyol. , Ketones, amides, nitriles, anolehydrides, alkylene glycol monoalkyl ethers, ethers, esters, hydrocarbons, halogen compounds, fluoroalcohols, phosphate esters and nitrogen-containing compounds Item 10.
  • the stripper according to Item 9 which is at least one member selected from the group consisting of: Item 13 HF: At least one selected from the group consisting of ammonia and amine: Organic solvent: Water weight ratio SO.01-10 mass%: 0.01-130 mass%: 49-99.9 mass%: 0-50 mass%
  • Organic solvents are monohydric alcohols, polyols, ketones, amides, nitriles, aldehydes, alkylene glycol monoalkyl ethers, ethers, esters, hydrocarbons, halogen compounds, fluorine compounds Item 3.
  • the stripper according to Item 2 which is at least one member selected from the group consisting of phenolic, phosphoric acid esters, and nitrogen-containing compounds.
  • Item 14 Monohydric alcohol power S, methanol, ethanol, isopropanol (IPA), 1-propanol, 1-butanol, 2-butanol, t-butanol, 2-methyl-1-propanol, 1-pentanol At least one selected from the group consisting of, 1-hexanol, 1-heptanol, 4-heptanol, 1-octanol, 1-nonyl alcohol, 1-decanol, 1-dodecanol, lauryl alcohol and cyclohexanol (However, methanol and ethanol are used in combination with other organic solvents or organic acids);
  • the polyol is at least one selected from the group consisting of ethylene glycol, diethylene glycol, 1,2-propanediol, propylene glycol, 2,3-butanediol and glycerin;
  • Ketone analogs at least one selected from the group consisting of acetone, acetylacetone, methylethylketone, methylisobutylketone, cyclohexanone, getylketone and diisobutylketone;
  • Amides at least one selected from the group consisting of S, N-methylformamide, ⁇ , ⁇ -dimethylformamide, ⁇ -methylacetamide and ⁇ , ⁇ -dimethylacetamide;
  • Aldehyde analogs At least one member selected from the group consisting of honolemunorehdehyde, acetoaldehyde and propionaldehyde;
  • Anoalkylene glycol monoalkynole ether is at least one selected from the group consisting of ethylene glycol monomethinole ether and ethylene glycol monoethyl ether; ethers such as S, tetrahydrofuran, dioxane, diisopropyl ether, dibutyl ether At least one selected from the group consisting of ter, tetrahydropyran, anisole, 1,2-dimethoxyethane and diethylene glycol dimethyl ether; Esters are methyl acetate, ethyl acetate, propyl acetate, isopropyl acetate, butynole acetate, isobutyl acetate, pentyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, butyl propionate, Isobutyl propionate, pentyl propionat
  • the hydrocarbons are at least one selected from the group consisting of hexane, cyclohexane, octane, isooctane, benzene and toluene;
  • the fluoroalcohol is at least one selected from the group consisting of trifluoroethanol, pentafluoropropanol and 2,2,3,3-tetrafluoropropanol;
  • Phosphate ester power At least selected from the group consisting of dimethyl phosphate, dibutyl phosphate, diphenyl phosphate, dibenzyl phosphate, trimethyl phosphate, triethyl phosphate, tripropyl phosphate, tributyl phosphate and triphenyl phosphate.
  • the nitrogen-containing compound is at least one member selected from the group consisting of tetramethylurea and dimethyl-2-pyrrolidone;
  • Item 15 An object to be treated that has a resist on the surface of a low_k film or an anti-reflection film (BARC) on a low-k film is separated from the resist but does not substantially damage the low-k film.
  • a resist stripping method wherein the resist is stripped at a temperature and for a time using the stripping solution according to item 1.
  • Item 16 The method according to Item 15, wherein the resist is subjected to an asshing treatment so as not to substantially damage the low-k film before the treatment with the stripping solution.
  • Item 18 The method according to Item 15, wherein the treatment of the object is performed while performing ultrasonic cleaning.
  • Item 19 A resist stripped product obtained by the method according to Item 15.
  • Item 20 A via hole or capacitor cleaning liquid containing at least one selected from the group consisting of organic acids and organic solvents, and hydrogen fluoride (HF).
  • HF hydrogen fluoride
  • Item 21 The cleaning solution according to Item 20, further comprising at least one member selected from the group consisting of ammonia and amine.
  • Item 22 The stripper according to Item 20, which is for ultrasonic cleaning.
  • Item 23 The cleaning solution according to Item 20, which is capable of etching the TiN film by 0.01 A or more.
  • the composition further contains water, and the weight ratio of HF: organic acid: water is 0.01 to 5 mass%: 49 to 99.9 mass%: 0 to 50 mass%, and the organic acid is monocarboxylic acid, sulfonic acid, or polycarboxylic acid.
  • the monocarboxylic acid is acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, caproic acid, purinoleic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, At least one selected from the group consisting of clobutyric acid, ⁇ -clobutyric acid, ⁇ -clobutyric acid, lactic acid, glycolic acid, pinolevic acid, dalioxalic acid, methacrylic acid and acrylic acid;
  • Sulfonic acid power At least one selected from the group consisting of methanesulfonic acid, benzenesulfonic acid, trifluoromethanesulfonic acid, and toluenesulfonic acid,
  • Item 25 The cleaning solution according to Item 24, which is at least one member selected from the group consisting of oxalic acid, succinic acid, adipic acid, tartaric acid, and citric acid.
  • Item 26 It further contains water, and the weight ratio of HF: organic solvent: water is 0.01 to 10 mass%: 49-99.9 mass%: 0 to 50 mass%, and the organic solvent is a monohydric alcohol; a polyol; Ketones; Amides; Nitriles; Aldehydes; Alkylene glycol monoalkyl ethers; 21.
  • Item 27 HF At least one selected from the group consisting of ammonia and amineca: organic solvent: water weight ratio 0.01 to: 10 mass%: 0.01 to 30 mass%: 49 to 99.9 mass%: 0 to 50 mass%
  • the polyol is at least one selected from the group consisting of ethylene glycol, diethylene glycol, 1,2-propanediol, propylene glycol, 2,3-butanediol and glycerin;
  • the ketone is at least one selected from the group consisting of acetone, acetylacetone, methylethylketone, methylisobutylketone, cyclohexanone, getylketone and diisobutylketone;
  • Amide analogs At least one member selected from the group consisting of N-methylformamide, ⁇ , ⁇ -dimethylformamide, ⁇ -methylacetamide and ⁇ , ⁇ -dimethylacetamide;
  • Aldehyde analogs At least one member selected from the group consisting of honolemunorehdehyde, acetoaldehyde and propionaldehyde;
  • the alkylene glycol monoalkynole ether is at least one member selected from the group consisting of ethylene glycol monomethinoleate ether and ethylene glycol monoethyl ether; Ethers; at least one selected from the group consisting of tetrahydrofuran, dioxane, diisopropyl ether, dibutyl ether, tetrahydropyran, anisol, 1,2-dimethoxyethane, and diethylene glycol dimethyl ether;
  • Esters are methyl acetate, ethyl acetate, propyl acetate, isopropyl acetate, butynole acetate, isobutyl acetate, pentyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, butyl propionate, Isobutyl propionate, Pentyl propionate, Hexyl propionate, Methyl butyrate, Ethyl butyrate, Propyl butyrate, Isopropyl butyrate, Butyl butyrate, Isobutyl butyrate, Pentyl butyrate, Hexyl butyrate, Methyl isobutyrate, Ethyl isobutyrate, Isobutyric acid Propyl, isopropynol isobutyrate, butyl isobutyrate, iso
  • the hydrocarbons are at least one selected from the group consisting of hexane, cyclohexane, octane, isooctane, benzene and toluene;
  • Fluoroalcohol power S trifluoroethanol, pentafluoropropanol and
  • the nitrogen-containing compound is at least one member selected from the group consisting of tetramethylurea and N-methyl-2-pyrrolidone;
  • Item 30 The cleaning solution according to Item 26.
  • Item 29 An object to be processed having a via hole in which at least one selected from the group consisting of titanium dioxide and a polymer is adhered to at least one selected from the group consisting of side wall and bottom surface force, using the cleaning liquid according to Item 20. And a via-hole cleaning method.
  • Item 30 The method according to Item 29, wherein the treatment of the object is performed while performing ultrasonic cleaning.
  • Item 31. A washed product obtainable by the method according to Item 29.
  • Item 32 An object to be processed having an upper or lower electrode of a metal capacitor, wherein at least one selected from the group consisting of a side wall, a bottom surface, and a surface force of the electrode is selected from the group consisting of a resist residue, a polymer, and a titanium compound. 21.
  • a method for cleaning a capacitor characterized by cleaning an object to be processed to which at least one of the adhered substances is adhered by using the cleaning solution according to Item 20.
  • Item 33 The method according to Item 32, wherein the treatment of the object is performed while performing ultrasonic cleaning.
  • Item 34 A washed product obtained by the method of Item 32.
  • Item 35 Treatment time with stripper 0.1 to 120 minutes (1) Insulating film barrier etching amount is 1A to 200A, (2) Low-k film etching amount is 1A to 200A, (3 ) The etching rate of Cu is 5 A / min or less, and the resist, antireflection film, embedding material, etc. after dry etching for forming damascene and dual damascene structure in Cu / low-k multilayer wiring structure Item 3.
  • the resist stripping solution according to Item 1 which removes an etching residue or the like containing.
  • insulating film barrier and low- k film is SiN, SiC, SiCN, SiOC, a Si-containing compound such as Si_ ⁇ 2 A removing solution according to item 35.
  • Item 37 After etching using a gas containing nitrogen or a mixed gas of etching gas and nitrogen, resist, antireflection film, burying material, and etching residue containing these, regardless of whether or not they are plasma-assisted Item 36. The stripping solution according to Item 35 to be removed.
  • Item 38 The stripping solution according to Item 35, which removes a resist, an antireflection film, an embedding material, and an etching residue containing the same after performing asking using a gas containing nitrogen or a mixed gas of etching gas and nitrogen.
  • Item 39 The stripping solution according to Item 35, wherein an inert gas is dissolved, and a partial pressure of oxygen in the stripping solution is set to be equal to or less than an oxygen partial pressure of saturated dissolved air.
  • Item 40 (Including a DHF and GO proton donating solvent, and (ii i) a neutral solvent, at least one organic compound selected from the group consisting of a polar aprotic solvent having a donor number of 24 or less; i) H F (Futsudani hydrogen): (ii) Proton-donating solvent: (i ii) Weight of at least one organic compound selected from the group consisting of a neutral solvent and a polar non-protonic solvent having a donor number of 24 or less.
  • Item 41 (DO. 05 to 5 mass%: (ii):! To 98.95 mass%: (iii) l to 98.95 mass%.
  • Item 42 (i) HF and (ii) a proton-donating solvent, and (ii i) at least one organic compound selected from the group consisting of a neutral solvent and a polar aprotic solvent having a donor number of 24 or less. (iv) a stripping solution containing water and (V) an acid, (vi) a polar protic solvent having a donor number of 25 or more, and (vii) a fluorine-containing organic compound.
  • HF Proton-donating solvent: (iii) neutral solvent, at least one organic compound of a polar aprotic solvent having 24 or less donors: (iv) water: (V) acid: (vi) Polar protic solvent having one or more donors: 25 (vii) Weight specific weight of fluorine-containing organic compound (i) 0.05 to 5 mass%: (ii) l to 98.83 mass%: (iii) l to 98 83 mass%: (iv) 0.02 to 90 mass%: (v) 0 to: L0mass%: (vi) 0 to 50 mass%: (vii) 0 to 70 mass%
  • acids, polar protic solvents having 25 or more donors and fluorine-containing organic compounds The total amount of goods is 0.:! ⁇ 74.93 mass. N?).
  • a stripping solution containing at least one member selected from the group consisting of a polar protic solvent having a donor number of 25 or more and (vii) a fluorine-containing organic compound, further comprising ammonia and / or an amine;
  • Proton-donating solvent (iii) neutral solvent, at least one organic compound of a polar aprotic solvent having a donor number of 24 or less:
  • a fluorine-containing organic compound (viii) the weight ratio of ammonia and / or amine is (i) 0.05 to 5 mass%: (ii) l to 98.73 mass% : (iii) l ⁇ 98.
  • Item 44 (i) HF and (ii) neutral solvent, proton-donating solvent, at least one kind of organic compound whose group power is selected from the group consisting of a polar nonprotonic solvent having a donor number of 24 or less, and (iii) water (Ii) at least one organic compound selected from the group consisting of a neutral solvent, a proton-donating solvent, and a polar aprotic solvent having a donor number of 24 or less: (iii) Item 36.
  • Item 45 (DHF and (ii) neutral solvent, proton-donating solvent, polar non-protonic solvent power with a donor number of 24 or less Group power at least one selected organic compound and (iii) exfoliation containing water
  • the solution further contains at least one selected from the group consisting of an acid, a polar protic solvent having a donor number of 25 or more, and a fluorine-containing organic compound, and (i) HF: (ii) a neutral solvent, a proton-donating solvent.
  • the weight ratio of the fluorine-containing organic compound is (i) 0.05 to 5 mass%: (ii) 25 to 99.83 mass%: (iii) 0.02 to 1 Omass%: (iv) 0 to: 10 mass%: (v) 0 to 50 mass%: (vi) 0 to 70 mass% of the stripping solution described in Item 35 (however, acid, a polar protic solvent having a donor number of 25 or more and containing fluorine Organic compound The total amount of 0.1 to 74. There 93mass3 ⁇ 4TC).
  • Item 46 (DHF and (ii) a neutral solvent, a proton-donating solvent, a polar non-protonic solvent having a donor number of 24 or less, a group power of at least one selected organic compound, (iii) water, iv) an acid, (V) dona A stripping solution containing at least one selected from the group consisting of a polar protic solvent having at least 25 and a (vi) fluorine-containing organic compound, and (vii) ammonia and / or (I) HF: (ii) neutral solvent, proton-donating solvent, at least one organic compound selected from the group consisting of polar aprotic solvents having a donor number of 24 or less: (iii) water : (Iv) acid: (V) polar protic solvent having 25 or more donors: (vi) fluorine-containing organic compound: (vii) the weight ratio of ammonia and / or amine is (i) 0.05 to 5mass%: (ii) 25 ⁇ 99.
  • the alcohols of the neutral solvent are methyl alcohol, ethyl alcohol, propanol, isopropanol, t-butanol, aryl alcohol, ethylene glycol, propylene glycol, ethylene glycol, monomethinoleate, Ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monoisopropynole ether, ethylene glycol monobutyl ether, ethylene glycol monoisobutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, Diethylene glycol monopropyl ether, diethylene glycol monoisopropynole ether, diethylene glycol monobutyl ether, diethylene Recall monoinbutyl ether, triethylene glycol monomethyl ether, triethylene glycol monoethyl ether, triethylene glycol monopropyl ether, triethylene glycol monoisopropyl ether, triethylene glycol monoisoprop
  • Item 49 The acid according to Item 42, 43, 45 or 46, wherein the acid is at least one selected from the group consisting of hydrogen chloride, hydrogen bromide, hydrogen iodide and aqueous solutions thereof, sulfuric acid, nitric acid, phosphoric acid, and carboxylic acid. Stripping solution.
  • Item 50 The stripper according to Item 42, 43, 45 or 46, wherein the fluorine-containing organic compound is a fluorine-containing ether such as CHF2CF20CH2CF3 or CHF2CF20CH4, or a chlorofluorocarbon (HCFC) such as CH3CC12F or CC1F2CF2CHC1F.
  • a fluorine-containing ether such as CHF2CF20CH2CF3 or CHF2CF20CH4
  • HCFC chlorofluorocarbon
  • Polar protic solvent having 25 or more donors S, dimethylformamide, dimethylacetamide, hexamethylphosphoric triamide, N-methyl-2-pyrrolidone, 1,1,3,3-tetramethylurea, N- Amides such as methylpropionamide and dimethylimidazolidinone, and are at least one member selected from the group consisting of sulfur compounds such as dimethyl sulfoxide, sulfolane, dimethylthioformamide, and N-methylthiopyrrolidone; 42, 43, 45 or Peeling liquid described in 46.
  • Item 52 At least one selected from the group consisting of carboxylic acids which are proton-donating solvents, alcohols which are neutral solvents, and esters and ethers which are polar aprotic solvents having a dona number of 24 or less.
  • Item 51 The stripper according to any one of Items 40 to 46, comprising a seed.
  • Item 53 The stripper according to Item 52, wherein the carboxylic acid is acetic acid.
  • Item 54 The stripping solution according to Item 47, comprising an alcohol which is a neutral solvent and at least one kind selected from the group consisting of polar aprotic solvent esters having a donor number of 24 or less and ethers.
  • Item 56 The stripping solution according to any one of Items 40 to 46, comprising at least one selected from esters and ethers which are polar aprotic solvents having a donor number of 24 or less.
  • Esters are methyl acetate, ethyl acetate, butyl acetate, propylene carbonate and ethylene carbonate
  • ethers are 1,2-dimethoxyethane, tetrahydrofuran, dioxane, hexane, diglyme, ethylene glycol methyl ethyl ether, Ethylene glycol diethyl enolate, diethylene glycol / resimeti / sammlungole, diethylene glycol olenomethylate, diethylene glycol jetinole enolate, triethylene glycol enolate dimethyl Ether, triethylene glycol monooletyl methyl ether, triethylene glycol oleethyl ether, tetraethylene glycol dimethyl
  • Stripper. Item 58 The method according to Item 44, comprising HF, acetic acid and water, wherein the weight ratio of HF: acetic acid: water is 0.05-5 mass%: 85-99.93 mass% 5: 0.02-1 Omass%. Stripper.
  • Item 59 The stripper according to Item 44, comprising HF, isopropanol, and water, wherein the weight ratio of HF: isopropanol: water is 1 to 7 mass%: 88 to 98.5 mass%: 0.5 to 5 mass%.
  • Item 60 Contains HF, acetic acid, isopropanol and water, HF: acetic acid: isopropanol: water weight ratio; 0.05 ⁇ 6mass%: l ⁇ 98.93mass%: 1 ⁇ 98.93mass%: 0.02 Item 45.
  • the stripping solution according to Item 44 which is about 12 mass%.
  • Item 61 HF, including 1,2-dimethoxyethane and water, HF: 1,2-dimethoxyethane: water weight ratio 0.50 ⁇ 51 «355%: 85.00 ⁇ 99.301 & 55%: 0.20 ⁇ 10 ⁇ 1 & 55 % Stripping solution according to item 44, in%.
  • Item 63 Item 44 containing HF, 1,4-dioxane and water, wherein the weight ratio of HF: 1,4-dioxane: water is 0.50-5 mass%: 85.00-99.3mass%: 0.2-10 mass%.
  • the stripping solution according to the above.
  • HF contains 1,4-dioxane and at least one of acetic anhydride and acetic acid and water, and the weight ratio of HF: 1,4-dioxane and at least one of acetic anhydride and acetic acid: water is 0.50 to The stripping solution according to Item 44, wherein 6 mass%: 82.00 to 99.30 mass%: 0.2 to: I2mass%.
  • Item 65 HF, ethylene glycol monomethyl ether, ethylene glycol methyl ether, diethylene glycol monomethyl ether, tetraethylene glycol dimethyl ether, polyethylene glycol dimethyl ether, ethylene glycol monoallyl ether, diethylene glycol monobutyl ether, ethylene glycol Butyl ether, triethylene glycol / lemonobutynoleatenite, diethyleneglyconele gentinoleatene, ethylene glycol dimethyl ether, triethylene glycol dimethyl ether, jetylene Glycol monoisobutyl ether, ethylene glycol monoisobutyl ether, ethylene glycol monoisopropyl ether, diethylene glycol monomethyl ether, dipropylene glycol monomethyl ether, propylene glycol monomethyl ether, tripropylene glycol monomethyl ether, ethylene glycol monoethyl ether, Polyethylene glycol monomethyl ether, triethylene glycol monomethyl ether, propylene glycol monopropyl
  • Item 66 Containing HF, methanesulfonic acid, and water, the weight ratio of HF: methanesulfonic acid: water is more than 0 mass% and less than 5 mass%,: 45 mass% or more and less than 100 mass%: more than 0 mass% and 50 mass% Item 36.
  • Item 67 A stripping method using the stripping solution according to Item 1 or 35 to remove an etching residue while leaving a low-k film damaged by a plasma process.
  • Item 68 The method according to Item 15 or 67, wherein an inert gas is mixed and the stripping treatment is performed in an atmosphere (substantially in an inert gas) in which the oxygen partial pressure is equal to or lower than the oxygen partial pressure of air.
  • Item 69 An atmosphere in which an inert gas is mixed and the oxygen partial pressure is less than or equal to the oxygen partial pressure of air is applied to the stripped material that has been subjected to the stripping method described in Item 15 or 67 (substantially inert).
  • gas Medium in which an inert gas is dissolved, and a rinsing process is performed to remove the stripping solution using water whose oxygen partial pressure in the water has become equal to or lower than the oxygen partial pressure of the saturated dissolved air.
  • Item 70 A peeled product obtainable by performing the peeling method according to Item 67 or 68 and the rinsing method according to Item 69.
  • Item 71 A cleaning solution for a via hole or a capacitor according to Item 20, wherein the remover according to Item 35 is used for cleaning a via hole or a capacitor.
  • Item 72 The method for cleaning a via hole according to Item 29 or the method for cleaning a capacitor according to Item 32, wherein the cleaning is performed using a cleaning solution for cleaning a via hole or a capacitor according to Item 71.
  • Item 73 A cleaning product obtained by performing a cleaning treatment with a cleaning solution for via holes or capacitors described in Item 71.
  • the present invention relates to a resist stripping solution and a stripping method for a low-k film, a via hole cleaning solution and a cleaning method, and a capacitor cleaning solution and a cleaning method.
  • the stripping solution and the cleaning solution of the present invention are yarn compositions containing at least one selected from the group consisting of organic acids and organic solvents, and hydrogen fluoride (HF) as essential components.
  • Organic acids include acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, caproic acid, caprylic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, ⁇ - cloric acid.
  • Monocarboxylic acids such as butyric acid, ⁇ -chlorobutyric acid, ⁇ -clobutyric acid, lactic acid, glycolic acid, pyruvic acid, dalioxalic acid and acrylic acid; sulfonic acids such as methanesulfonic acid and toluenesulfonic acid; oxalic acid and succinic acid And polycarboxylic acids such as adipic acid, tartaric acid and citric acid. Of these organic acids, acetic acid is particularly preferred.
  • Organic solvents include methanol, ethanol, isopropanol ( ⁇ ), 1-propanol, 1-butanol, 2-butanol, t-butanol, 2-methyl-1-propanol, 1-pentanol, 1-hexanol, Monohydric alcohols such as 1-heptanol, 4-heptanol, 1-octanol, 1-nonylanolecole, 1-decanol, 1-dodecanol, laurylanolecone, cyclohexanol;
  • Polyols such as ethylene glycolone, diethylene glycol, 1,2-propanediole, propylene glycol, 2,3-butanediol, and glycerin; Ketones such as acetone, acetylacetone, methylethylketone, methylisobutylketone, cyclohexanone, getylketone, diisobutylketone;
  • Amides such as N-methylformamide, ⁇ , ⁇ -dimethylformamide, ⁇ -methylacetamide, ⁇ , ⁇ -dimethylacetamide;
  • Nitriles such as acetonitrile, propionitrile, butyronitrile, isobutyronitrile, benzonitrile;
  • Aldehydes such as formaldehyde, acetaldehyde and propionaldehyde
  • alkylene glycol monoalkyl ethers such as ethylene glycol monomethyl ether and ethylene glycol monomethyl ether ether
  • Ethers such as tetrahydrofuran, dioxane, diisopropyl ether, dibutyl ether, tetrahydropyran, anisole, 1,2-dimethoxyethane, diethylene glycol dimethyl ether;
  • Hydrocarbons such as hexane, cyclohexane, octane, isooctane, benzene, and toluene;
  • Kurorohonoremu o-dichlorobenzene, Nono 0 - hexane fluoro, Nono 0 - halogen compounds such as hexa down to fluorosilicone methylate Honoré cycloalkyl; Fluoroalcohols such as trifluoroethanol (for example, CF3CH20H), pentafluoropropanol (for example, CF3CF2CH20H), 2,2,3,3-tetrafluoropropanol;
  • Nitrogen-containing organic solvents such as tetramethylurea and N-methyl-2-pyrrolidone;
  • ester phosphate solvents such as dimethyl phosphate, dibutyl phosphate, diphenyl phosphate, dibenzyl phosphate, trimethyl phosphate, trimethyl phosphate, tripropyl phosphate, tributyl phosphate, and triphenyl phosphate.
  • the organic solvent is an ester, a ketone, a monohydric alcohol, a polyhydric alcohol, an amide, an ether, an alkylene glycol monoalkyl At least one selected from the group consisting of ethers, phosphates and nitrogen-containing compounds is preferred.
  • the organic acid or organic solvent is preferably one having an SP value of about 7 to: 17 and more preferably one having an SP value of about 17 to 17 from the viewpoints of resist strippability and cleanability of via holes and contact holes. ⁇ 17, more preferred ones.
  • the content of HF can be appropriately set according to the type of other components and is not particularly limited, but is usually based on the total amount of the stripping solution and the cleaning solution.
  • Te (hereinafter referred to as the content of each component, or the same), when containing an organic acid (organic acid , Containing no organic solvent, and containing an organic acid and an organic solvent), is about 0.01 to 5 mass%, preferably about 0.05 to 3 mass%, and more preferably about 0 ::!
  • the water content is about 70 mass% or less, preferably about 50 mass% or less, more preferably about 5 mass% or less.
  • the content of at least one selected from the group consisting of organic acids and organic solvents is about 30 to 99.99 mass%, preferably about 50 to 99.9 mass%, more preferably about 90 to 99.9 mass%, It is preferably about 95 to 99.9 mass%.
  • 100% HF can also be used in the case where dilute hydrofluoric acid (50 mass% aqueous solution) is not used, and when it does not contain water.
  • the amount of “HF” means the amount of anhydrous HF.
  • the stripping solution or the cleaning solution of the present invention is preferably a composition containing at least one member selected from the group consisting of ammonia and amine in that case.
  • the Amin, hydroxy Honoré amines, alkanolamines ⁇ Min primary represented by NR 3, secondary, tertiary Amin, alicyclic Amin, and heterocyclic Amin and the like.
  • hydroxylamines such as hydroxynoreamine and N, N-getylhydroxylamine.
  • alkanolamine examples include monoethanolamine, jetanolamine, and triethanolamine.
  • NR 3 both R are the same or different, good charcoal hydrocarbon group which may be substituted with a fluorine atom, or a hydrogen atom. However, except when all three R are hydrogen atoms, ⁇ 0
  • hydrocarbon group which may be substituted with a fluorine atom examples include a linear or branched alkyl group having 5 to 18 carbon atoms, preferably 1 to 12 carbon atoms, and a phenyl group which may be substituted with a fluorine atom. And the like. Among these, an unsubstituted alkyl group having 1 to 18 carbon atoms is preferable.
  • Examples of the aromatic amamine include arin and methylaniline.
  • alicyclic amines such as cyclohexylamine and dicyclohexylamine; Amin.
  • the liquid is mixed to form a one-to-one or one-to-two salt of hydrofluoric acid and ammonia and / or amine.
  • hydrofluoric acid and ammonia and / or amine may be present as salts.
  • ammonia and / or amine When ammonia and / or amine is contained, the amount thereof is preferably smaller than the molar ratio of hydrofluoric acid.
  • hydrofluoric acid and Molar specific force of ammonia and amine or amine Hydrofluoric acid ammonia and
  • It may contain at least one surfactant selected from the group consisting of ionic surfactants.
  • the content of the surfactant is not particularly limited as long as the intended effect of the present invention is exhibited, but is usually about 0.0001 to 10 mass%, preferably about 0.001 to 5 mass%, particularly preferably 0.01 to 5 mass%. About lmass% is preferable.
  • the composition be such that it can etch the SiN film by 1 A or more.
  • the composition is such that
  • the stripping solution of the present invention can be advantageously used for a resist (including a negative resist and a positive resist) which is used for etching a low-k film.
  • Resist to be peeled off the object by the method of the present invention KrF (krypton F), ArF, and F 2 resist a any known resist, a resist after etching treatment with an etching gas such as C4F8.
  • the ⁇ resist '' includes a part of or the entire surface of the resist that has been altered by an etching process, and the resist stripping solution of the present invention may also peel off such an altered resist. it can.
  • the etching gas When the etching gas is polymerized by the polymerization of the etching gas and exists in the grooves or holes formed by the etching, the polymer may be removed at the same time as the resist is removed.
  • low- k film A, 0 2 plasma mediation Thing indicates also the like damaged, specifically, relative dielectric constant, large appliances than 1 4 degrees or less, preferably 3 or less Means an insulating film of about 2.8 or less, more preferably about 2.8 or less, and still more preferably about 2.6 or less.
  • low-k films examples include Black Diamond (trade name, manufactured by Applied Materials), Coral (trade name, manufactured by Novellus), LKD series (trade name, manufactured by JSR), Aurora (trade name, manufactured by ASM) Ltd.), HSG series (trade name, manufactured by Hitachi Chemical Co., Ltd.), Nanoglass (trade name, manufactured by Honewell, Inc.), IPS (trade name, manufactured by catalysts & Chemicals Co., Ltd.), Z 3 (trade name, Dow Corning Co., Ltd.), XLK ( Trade name, Dow Corning), FOx (trade name, Dow Corning) and the like.
  • the resist examples include KrF (Krypton F), ArF, and F 2 resist.
  • the resist is not limited to these.
  • a low-k film is formed on a semiconductor substrate (eg, SiN, copper, TaN, SiC, etc.), then a resist is formed, and then a pattern is formed by photolithography.
  • the resist including the altered substance of the resist
  • the stripping solution of the present invention uses a state in which a hole or a groove is formed in the low-k film and the resist and a resist (including a deteriorated material of the resist) is adhered to the resist (and the resist and the resist). Peeled off) Liquid.
  • a polymer polymer of an etching gas
  • a SiN, SiC, TaN film, etc. is formed on the low-k film, and the SiN, SiC, TaN film, etc. are etched together with the low-k film. You can also.
  • an antireflection film can be formed on the surface of the resist, and these antireflection films can be peeled off together with the resist.
  • the low-k film and the resist generally have a thickness of about 0.01 to 2 ⁇ , about 0.001 to 0.2 ⁇ , and 0.01 to about 10 m, respectively.
  • the SiN film, SiC film, Ta film, antireflection film, etc., which are formed as needed are usually about 0.01 to 2 ⁇ , about 0.001 to 0.2 ⁇ , and about 0.1 to 0.2 ⁇ , respectively.
  • a light O 2 plasma assing (e.g., light 0 2
  • the change in relative dielectric constant before and after plasma ashes is preferably about 20% or less, more preferably about 10% or less, and still more preferably about 5% or less) or light H 2 plasma ashes.
  • 0 2 plasma mediation single Ya Keire when performing with H 2 plasma Atsushingu, even when using the same stripping solution, the temperature and the case of peeling the directly after etching registration list, Optimal conditions such as time may be different.
  • the method of stripping a resist using the stripping solution of the present invention is performed at a temperature and for a time that can remove the resist (including a deteriorated resist) and does not substantially damage the low-k film. is there.
  • the reason that the low-k film does not substantially damage the low-k film is that the physical properties of the low-k film before and after the treatment using the stripping solution do not affect its performance when used for a semiconductor substrate, for example. Only change, for example, by substantially changing the cross-sectional shape in the stacking direction of the film of the object to be processed without substantially attacking (etching) the low-k film at the interface between the resist and the low_k film.
  • the relative dielectric constant of the low-k film does not substantially change before or after the treatment using the stripping solution.
  • substantially no etching of the low-k film means that the etching amount of the low-k film is preferably about 200 nm or less, more preferably about 100 nm or less, and still more preferably about 50 nm or less.
  • the fact that the relative dielectric constant of the low-k film does not substantially change before and after the treatment with the stripping solution means that the change in the relative dielectric constant is preferably about 20% or less, more preferably about 10% or less, and still more preferably. It is about 5% or less.
  • the treatment with a stripping solution can be performed, for example, by immersing the etched substrate in the stripping solution of the present invention as an object to be processed.
  • a stripping liquid of 0 to lmass% if the liquid temperature is about 23 ° C., it may be immersed for about 0.1 to 20 minutes.
  • the resist can be stripped off by bringing the stripping solution into contact with the object to be processed.
  • the object may be washed by continuously spraying the composition with a spray.
  • the object to be processed may be immersed in the stripping solution and subjected to ultrasonic cleaning.
  • the conditions for ultrasonic cleaning are not particularly limited as long as the resist is stripped. However, if the temperature is usually about 23 ° C, 20 to:! 200 kHz, 50 to 3000 W, 0.1 to It takes about 20 minutes.
  • At 1200kHz, 50 to 3000
  • the semiconductor substrate from which the resist has been stripped using the stripping solution of the present invention can be used in a conventional manner, for example, by copper or aluminum wiring (for example, in detail, Semiconductor CMP Technology, edited by Toshio Dohi, 2001). According to the described method), various types of semiconductor devices can be processed.
  • the cleaning liquid of the present invention has a cleaning property for titanium compounds (for example, titanium fluoride, titanium oxide, etc.) and / or polymers derived from dry etching. Therefore, for example, in a semiconductor manufacturing process, the cleaning liquid of the present invention is used after dry etching to remove via holes or the like. It can be used for the purpose of cleaning via holes by removing titanium fluoride, polymer, etc. remaining on the wall and / or bottom surface, that is, it can be used as a via hole cleaning liquid.
  • the cleaning liquid of the present invention can be used to remove via holes and the like by removing the titanium compound and z or polymer generated in the step of forming via holes and the like. According to the cleaning liquid of the present invention, it is possible to clean a via hole at a low temperature in a short time.
  • the stripping solution of the present invention can clean resist residues, titanium compounds, polymers, and the like derived from dry etching. Therefore, for example, in the semiconductor manufacturing process, the stripper of the present invention removes the resist residue, the polymer, and the titanium compound after the dry etching treatment (and the asshing treatment) of the metal capacitor upper or lower electrode metal film (TiN, Ti, etc.). It can be used for the purpose of cleaning the capacitor by peeling, that is, it can be used as a capacitor cleaning liquid.
  • the cleaning liquid of the present invention is generated in a formation process of a metal capacitor or the like, and is attached to at least one selected from the group consisting of a side wall, a bottom surface, and a surface of an upper or lower electrode, a resist residue, a titanium conjugate, and It can be used for cleaning capacitors by removing at least one selected from the group consisting of polymers.
  • a gas containing fluorine for example, C 4 F 8
  • a dry etching gas in the etching process of manufacturing a semiconductor;
  • a gas containing fluorine for example, C 4 F 8
  • polymer refers to a polymer obtained by polymerization of an etching gas during etching.
  • the “resist residue” removes the residue of the resist generated by the ashes after the etching step.
  • the treatment using the cleaning liquid of the present invention is performed on a semiconductor substrate on which at least one kind selected from the group consisting of an object to be processed (for example, a via hole, a lower part of a metal capacitor, or an upper electrode) is formed.
  • a semiconductor substrate on which at least one kind selected from the group consisting of an object to be processed (for example, a via hole, a lower part of a metal capacitor, or an upper electrode) is formed.
  • the immersion conditions can be appropriately set according to the type of the cleaning solution, but are, for example, about 15 to 60 ° C., preferably about room temperature for about 0.2 to about 20 minutes.
  • the cleaning liquid may be brought into contact with the object to be processed, for example, by supplying the cleaning liquid from above while rotating the object to be processed.
  • the cleaning may be performed by supplying the cleaning liquid, or the processing may be performed by continuously spraying the cleaning liquid on the object to be processed.
  • the object to be processed may be immersed in the cleaning liquid to perform ultrasonic cleaning.
  • the conditions at that time are not particularly limited, but if the temperature of the stripping solution is about 15 to 60 ° C, 20 to:! 200 kHz, 50 to 3000 W, about 0.1 to 30 minutes .
  • Semiconductor substrates having been cleaned of via holes, capacitors, and the like using the composition of the present invention can be obtained by a conventional method (for example, Atlas of IC Technologies: An Introduction to VLSI Processes by W. Maly, 1987 by The Benjamin / Cummings). According to the method described in Publishing Company Inc.), various types of semiconductor devices can be processed.
  • a neutral solvent a proton-donating solvent, at least one selected from the group consisting of polar aprotic solvents having a donor number of 24 or less, and hydrogen fluoride
  • a composition containing (HF) as an essential component has a particularly effective effect as a resist for a low-k film, an antireflection film, an embedding material, a stripping solution for etching residues including these, a via hole cleaning solution, and a capacitor cleaning solution.
  • the stripping solution of the present invention is used in a processing step of stripping an etching residue including a resist after dry etching.
  • the etching amount of the insulating film barrier is 1 A or more and 200 A or less
  • the etching amount of the low-k film is 1 A or more and 200 A or less
  • the etching rate of Cu is 5 A / min or less.
  • This is a composition for removing etching residues.
  • the treatment time for stripping with this composition is from 0.1 to 120 minutes, in particular from 1 to 60 minutes.
  • the amount of etching of the insulating barrier and low-k film is controlled within the above range according to the processing time.
  • insulating barriers There are two types of insulating barriers: those that continue to etch over time and those that tend to stop etching over time.
  • the film that continues to etch is
  • the amount of etching of the low-k film is 5 ⁇ when the etching amount of the insulating film region such as SiC and SiCN is 5A, and the etching amount of Cu is 0.02 ⁇ 2. A.
  • the etching amount of the low-k film is 35 to 195 A when the etching amount of the insulating film barrier where the etching of SiN, Si02, SiOC, etc. continues to progress is 5 OA, and the etching amount of Cu is 0.02 to 2 A. is there.
  • Insulating film barriers are used to fabricate Cu / low-k multilayer wiring structures in the wiring process of semiconductor devices.
  • (L) Low-k film is used as a hard mask for patterning.
  • (2) To prevent copper diffusion. Barrier, (3) Etch stopper to prevent etching of low-k film, (4) Protection of low-k film and improvement of adhesion to underlayer, (5) Protection of low-k film in copper CMP process This is an insulating film used for (cap film) and the like. It is desired that the dielectric constant of the insulating film barrier be low so that it has these functions and does not impair the relative dielectric constant of the low-k film.
  • the insulating film barrier include silicon (Si) -containing compounds such as silicon nitride (SiN), silicon carbide (SiC), and silicon carbonitride (SiCN).
  • Low-k films are mainly produced by coating and organic plasma CVD.
  • coating a unique film name is assigned to the material, and in the case of organic plasma CVD, a unique film name is assigned depending on the material and equipment.
  • Orion is one of the organic plasma CVD films.
  • the stripping solution of the present invention comprises a solution of hydrogen fluoride and at least one of a neutral solvent, a proton-donating solvent, and a polar aprotic solvent having a donor number of 24 or less. It is possible to control the etching of barriers, low-k films, resists, anti-reflection films, burying materials, and etching residues containing these materials. That is, the stripping solution of the present invention has the following characteristics. (1) Corrosion of metals such as copper is suppressed; (2) Selective etching of the insulating film barrier to separate and separate the interface between the insulating film barrier and the low-k film and the etching residue; ) Selective dissolution of silicon nitride (SiN), etc.
  • Etching residue is defined as auxiliary materials used in semiconductor device fabrication such as resist, anti-reflective coating, burying material, and dry etching or after dry etching. These are deposits and deposits such as reaction products and sputters generated in the asshing process, and residues that need to be removed.
  • deposits and deposits refer to substances such as fluorocarbon-containing polymers generated from the etching gas plasma itself, resists, antireflection films, filling materials, insulating film barriers, low-k films, and wiring materials.
  • Residues that need to be removed are the resist, including the parts that have been altered by etching and asshing, and the objects to be removed, including the antireflection film and the filling material, and are unnecessary in the next step after etching. Is shown.
  • the processing time for stripping and cleaning using the stripping solution of the present invention is from 0.1 minute to 120 minutes. Processing time usually depends on the processing method. Within 10 minutes for a single-wafer type device, 60 minutes or less for a notch type device, etc., depending on the effects of the device and the processing solution.
  • the stripping solution of the present invention corresponds to processing for a long time from 0.1 minutes to 120 minutes.
  • the ratio of the etching amount of the insulating film barrier to the etching amount of the low-k film is preferably 0.3 or more. This ratio is more preferably 1.0 or more. If this etching ratio is small, the low-k film is etched more than the insulating barrier, and a step occurs at the boundary between the insulating barrier and the low-k film. This step causes the low-k film to be hidden behind the insulating film barrier when burying the rear metal or copper, which is the wiring material. These burying becomes incomplete and causes a failure.
  • the stripping solution of the present invention containing hydrogen fluoride can effectively and selectively remove the etching residue containing the Si-N bond.
  • the etching residue after the assing step in which the residue is partially removed by plasma treatment by incineration or reaction after etching can be selectively removed.
  • plasma treatment plasma such as oxygen, hydrogen, nitrogen, rare gas such as helium, argon, neon, krypton, and xenon, water, and alcohol is used.
  • Examples of the organic acid and the organic solvent include at least one selected from the group consisting of a neutral solvent, a proton-donating solvent, and a polar aprotic solvent having 24 or less donors.
  • Neutral solvents and proton donating solvents are classified as amphoteric solvents, and polar aprotic solvents with 24 or less donors are generally classified as aprotic solvents.
  • polar aprotic solvents solvents having a relatively large dipole moment and relative permittivity are called polar aprotic solvents, and other dipole moments and relative permittivity are very small.
  • Solvents that are weaker are called inert solvents.
  • the polar aprotic solvent can be further classified into a strong basic solvent than water, a polar aprotic solvent, a weak basic solvent than water, and a polar aprotic solvent.
  • Alcohols are preferred as neutral solvents.
  • Proton-donating solvents are preferred as monocarboxylic acids, polycarboxylic acids, and sulfonic acids are preferred.
  • Polar aprotic solvents with 24 or less donors are esters, ethers, and ketones. And acid anhydrides are preferred.
  • Alcohols include methyl alcohol, ethyl alcohol, propanol, isopropanol, t-butanol, aryl alcohol, ethylene glycol, t2 pyrene glycol, ethylene glycol monomethinolate ether , Ethylene glycol monoethyl ether ether, ethylene glycol monopropyl ether, ethylene glycol monoisopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monoisobutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, and ethylene glycol monopropyl Ether, diethylene glycol monoisopropynole ether, diethylene glycol monobutyl ether, diethylene glycol mono Sobutinoleate, triethylene glycol monomethyl ether, triethylene glycol monoethyl ether, triethylene glycol monopropyl ether, triethylene glycol monoisopropyl ether,
  • Monocarboxylic acids are formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, monofluoroacetic acid, difluoroacetic acid, trifluoroacetic acid, monochloroacetic acid At least one selected from the group consisting of oral butyric acid, ⁇ -chlorobutyric acid, ⁇ -clobutyric acid, lactic acid, glycolic acid, pyruvic acid, dalioxalic acid, methacrylic acid and acrylic acid; Acidity S, at least one selected from the group consisting of oxalic acid, succinic acid, adipic acid and citric acid, and (3) sulfonic acids such as sulfonic acid, benzene sulfonic acid, benzene sulfonic acid, toluenesulfonic acid and triflu
  • the (1) ester of a polar aprotic solvent having a donor number of 24 or less is formed from methyl acetate, ethyl acetate, butyl acetate, propylene carbonate, ethylene carbonate, ethylene sulfite, ratatone, tributyl phosphate, and trimethyl phosphate.
  • (2) ethers are dioxane, trioxane and diglyme, 1,2-dimethoxyethane, tetrahydrofuran, ethyl ether, dimethoxymethane, dimethoxypropane, diethoxymethane, 1,1- Dimethoxyethane, Ethylene glycolone methinolate etinole, Ethylene glycolone uretinooleate, ethylene glycolone dimethyl ether, diethylene glycol methyl ethyl ether, dimethylene glycol dimethyl ether, triethylene glycol dimethino Reethenole, triethylene glycol methyl ether, triethylene glycol dimethyl ether, tetraethylene glycol dimethyl ether, tetraethylene glycol dimethyl ether, polyethylene glycol dimethyl ether, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether At least one selected from the group consisting of athenorea acetate
  • neutral solvents proton-donating solvents and polar aprotic solvents having a donor number of 24 or less
  • carboxylic acids, alcohols, esters and ethers are preferred.
  • acetic acid is particularly preferred as the monocarboxylic acid
  • alcohols which are particularly preferred are isopropanol (IPA), 1-propanol, t-butanol, arylanolecol, ethylene glycol, ethylene glycol, monomethylinoleate, and ethylene glycol.
  • Noremonoethenolate ether ethylene glycol monopropyl ether, ethylene glycol monoisopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monoisobutynole ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl Ether, diethylene glycol monoisopropyl ether, diethylene glycol monobutyl ether, diethylene glycol monoisobut Ether, triethylene glycol monomethyl ether, triethylene glycol monoethyl ether, triethylene glycol monopropyl ether, triethylene glycol monoisopropyl ether, triethylene glycol monobutynole ether, triethylene glycol monoisobutyl ether, polyether Tylene glycol monomethyl ether, propylene glycol monomethyl ether, propylene glycol monoethylene ether, propylene glycol monopropyl ether, propylene glycol monoisopropyl
  • Glycol dimethyl ether diethylene glycol methyl ethyl ether, ethylene glycol methyl ether ether, triethylene glycol dimethyl ether, triethylene Glycol ethyl methyl ether, triethylene glycol getyl ether, tetraethylene glycol dimethyl ether, tetraethylene daricol getinol ether, polyethylene glycol dimethyl ether, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether enorea acetate, diethylene glycol Monomethyl ether acetate and diethylene glycol monoethyl ether acetate are particularly preferred c
  • the insulating film barrier is selectively etched to form an insulating film barrier or low- k.
  • Organic solvents that have the effect of separating and separating the interface between the film and the etching residue and selectively dissolving silicon nitride (SiN) and the like in the etching residue include neutral solvents, Proton amphoteric solvent and a donor number is a donor solvent is an aprotic solvent is 24 or less polar aprotic solvent.
  • neutral solvents and proton-donating solvents the effect is greater when the number of acceptors is larger, and this effect is greater when the number of donors is smaller in polar aprotic solvents. Also, the effect increases as the concentration of HF increases.
  • amphoteric solvent having a large self-protolysis constant and a large number of donors examples include, for example, alcohols such as isopropanol (IPA), 1-propanol, and t-butanol.
  • IPA isopropanol
  • a solvent having a small number of donors is a polar aprotic solvent having a donor number of 24 or less, and includes esters, ethers, ketones, and acid anhydrides.
  • amphoteric solvents with a small self-protolysis constant and a small number of donors or polar protic solvents with a donor number of 24 or more are likely to corrode copper.
  • amphoteric solvent having a small self-protolysis constant and a small number of donors include methanol and ethanol for alcohols.
  • polar protic solvents having 24 or more donors include amides such as dimethylformamide, and sulfur-containing compounds such as dimethylsulfoxide.
  • the amount of copper corrosion is also related to the removal rate of the native copper oxide film. If the removal rate of the native copper oxide film is high, the amount of copper corrosion increases because the oxide film as the protective film is lost.
  • the copper native oxide film must be removed when manufacturing semiconductor devices. It is desirable to remove the resist, antireflection film, filling material and etching residue with a stripper, and at the same time remove these natural oxide films of copper. Copper corrosion and copper native oxide film There is a correlation between elimination. Solvents that corrode copper easily remove natural oxide films. Therefore, by adding a solvent that is likely to corrode copper to a solvent that is less likely to corrode, the natural oxide film can be removed within the stripping time.
  • the removal rate of the native copper oxide film can be controlled by the amount of methanol added.
  • the stripping solution removes the resist, antireflection film, filling material and etching residue, and at the same time, removes the copper Natural oxide film can be removed.
  • Self-protolysis refers to the transfer of protons between solvents using an amphoteric solvent such as a neutral solvent or a proton-donating solvent. That is, these solvents have a small self-protolysis constant pK SH .
  • n - and ⁇ in hexane to (C2F5) 31 P_NMR chemical shift value of 3P0 and 0 to, 1, 2 Jikuroroetan in (C2F5) 3P0'SbCl 5 when the 31 P_NMR chemical shift value of the complex is 100
  • the value of 31 P-NMR chemical shift of (C2F5) 3P0 dissolved in a certain pure solvent is defined as A N.
  • ⁇ ⁇ 100 ⁇ (solvent) / [ ⁇ ((C2F5) 3POSbCl 5 ) in 1,2-dichloroethane- ⁇ ((C2F5) 3P0 dissolved in n-hexane).
  • the number of donors, DN is a measure of donor properties proposed by Gutmann, that is, a measure as a Lewis acid in a solvent. 1, 2 - in Jikuroroetan SbCl 5 (10- 3 moldnf 3) and a solvent (l (T 3 moldnr 3) and is the absolute value of a number, expressed in units of kcal mol "1 to Entarupi when reacting D N D N 2-
  • acceptor property and the donor property of the organic solvent can be estimated to some extent. For example, as the alkyl group increases, the number of acceptors tends to decrease.
  • a N can be estimated to be at least 24.
  • a high acceptor property of the organic solvent means that the solvent has a strong Lewis basicity.
  • solvents having an acceptor number of 20 or more are amphoteric solvents, and the amphoteric solvents are classified as neutral, proton donating, and pro-philic solvents. Frequent exchange of protons, that is, hydrogen ions, facilitates etching involving hydrogen ions.
  • the donor property of the organic solvent is high means that the Lewis acidity of the solvent is strong. Conversely, if the number of donors is small, Lewis acidity is weak, and etching involving hydrogen ions becomes easier to proceed as in the case of a large number of acceptors.
  • silicon (Si) -containing compounds such as silicon nitride (SiN), silicon carbide (SiC), and silicon carbonitride (SiCN) used as insulating film barriers.
  • silicon oxide to be used as (Si0 2), phosphorus (P), arsenic (as), antimony (Sb), boron (B) a silicon oxide as called doped BPSG, etc.
  • Si Organic components such as Si02) and methyl group (-CH 3 ) and low dielectric constant films containing hydrogen (H) (low-k films, SiOC, SiOC: Expressed in the form of H, etc.)
  • Si (Si) -containing compounds such as SiN, SiC and SiCN are more easily etched than silicon (Si) -containing compounds such as Therefore, when removing the etching residue, it is possible to remove the residue with less damage and less damage so that the low-k film is not shadowed by the insulating film barrier without etching the low-k film more than necessary. Become. Furthermore, when at least one of the above organic acids and organic solvents is contained, a polar protic solvent having a donor number of 25 or more, an acid, and a fluorine-containing organic compound are sometimes added to these.
  • Addition of a polar protic solvent having 25 or more donors reduces the ability to remove the anti-reflective coating and the filling material.
  • an effect of increasing the speed of removing an oxide film formed on copper as a wiring material can be provided. Leaving the copper oxide film may cause insulation failure. Therefore, it is possible to effectively remove the antireflection film and the filling material and remove the copper oxide film.
  • polar protic solvents having 25 or more donors dimethylformamide, dimethylacetamide, hexamethylphosphoric triamide, N-methyl Amides such as le-2-pyrrolidone, 1,1,3,3-tetramethylurea, N-methylpropionamide, dimethylimidazolidinone; dimethyl sulfoxide, sulfolane, dimethylthioformamide, N-methylthiopyrrolidone, Sulfur compounds such as dimethyl sulfone, getyl sulfone, bis (2-hydroxyethynole) sulfone, and tetramethylene sulfone.
  • N-methyl Amides such as le-2-pyrrolidone, 1,1,3,3-tetramethylurea, N-methylpropionamide, dimethylimidazolidinone
  • dimethyl sulfoxide sulfolane, dimethylthioformamide, N-methylthiopyrrolidone
  • Sulfur compounds such as dimethyl sulf
  • the antireflection film and the filling material can be more selectively removed from the low-k film and the stopper film due to the effect of hydrogen ions.
  • acids include hydrogen chloride, hydrogen bromide, hydrogen iodide and their aqueous solutions, sulfuric acid, nitric acid, phosphoric acid, carboxylic acid and the like.
  • Fluorine-containing organic compounds include fluorinated fluoroethers (HFEs) such as CHF2CF20CH2CF3 and CHF2CF20CH3, and fluorinated carbons (HCFCs) such as CH3CC12F.
  • HFEs fluorinated fluoroethers
  • HCFCs fluorinated carbons
  • one of the sources for generating the etching species hydrogen fluoride, preferably contains a combination of hydrogen fluoride and ammonia and / or amine.
  • the etching species refers to active species effective for etching, such as active species for etching the insulating film barrier and the low-k film, and active species for dissolving a compound having a Si-N bond in an etching residue. That is.
  • the etching residue contains an organic component, it is preferable to use a large amount of an organic acid and an organic solvent to enhance the solubility of the residue.
  • Hydrogen fluoride may be introduced as a gas or added as 100% hydrogen fluoride liquid or hydrofluoric acid diluted with water. Ammonia and amine can also be added as gas or liquid.
  • the hydrogen fluoride content of the stripping solution and the cleaning solution of the present invention is determined by considering the amount of etching of the insulating film barrier and the low-k film and the amount of etching when the etching residue includes Si-N bonds. Is determined by the removal effect. Sources of hydrogen fluoride, dilute hydrofluoric acid (5 0 wt. / 0 solution) normally for Les, Ru, but if no water in the stripping solution can be used 100% hydrogen fluoride .
  • the content of hydrogen fluoride is in the range of 0% by weight to 10% by weight based on the total amount of the stripping solution and the cleaning solution (hereinafter, the content of each component is the same).
  • the content of hydrogen fluoride is about 0.05 to 5% by weight, preferably about 0.5 to about 3% by weight, and more preferably about 0.5 to 3% by weight. /. It is about.
  • the content of hydrogen fluoride including a proton-donating solvent and a polar aprotic solvent having a donor number of 24 or less and / or a neutral solvent is from 0.05 to about 10% by weight, preferably from 0 :! It is about 5% by weight, more preferably about 0.5 to 5% by weight.
  • the content of hydrogen fluoride when containing a neutral solvent and / or a polar aprotic solvent is about 0.1 to 10% by weight, preferably about 0.5 to 7% by weight, more preferably 1 to 5% by weight. %.
  • the water content is about 90 mass% or less, preferably about 10 mass% or less, and more preferably about 5 mass% or less.
  • the content of at least one selected from the group consisting of a neutral solvent, a proton donating solvent, and a polar aprotic solvent having a donor number of 24 or less is about 25 to 99.99 mass%, preferably 50 to 99.99 mass%. 0/0 mm, more preferably ⁇ or 85 to 99. 99Mass 0/0 approximately, more preferably ⁇ or 95 to 99. about 9 9mass%.
  • ammonia and / or amine, a polar protic solvent having 25 or more donors, an acid, a fluorine-containing organic compound, and the like may be added thereto.
  • the low-k film and the anti-reflection film and the filling material that have been damaged by dry etching and subsequent ashes (removal of the resist and polymer by a plasma process) by plasma using oxygen, hydrogen, nitrogen, a rare gas, etc. It is also possible to remove etching residues simultaneously or separately. Depending on the chemical composition, it is also possible to remove the anti-reflection film and the filling material while leaving the damaged low-k film without removing it.
  • the amount of dissolved oxygen and the amount of hydrogen ions in the stripping solution are large, corrosion proceeds.
  • the amount of dissolved oxygen is important in controlling the corrosion of copper. If the amount of dissolved oxygen in the stripper can be reduced, copper corrosion can be greatly reduced.
  • an inert gas is mixed and peeled in an atmosphere (substantially in an inert gas) in which the oxygen partial pressure is equal to or lower than the oxygen partial pressure of air.
  • the inert gas include a rare gas such as nitrogen (N2), helium, neon, and argon.
  • a deoxidizing agent such as a sulfite such as sulfurous acid or ammonium sulfite, or a commonly used anticorrosive such as pyrogallol, phthalic acid, benzotriazole, or D-sonolebitol may be added. These have the effect of suppressing copper corrosion.
  • the amount of etching of the following compositions was obtained by etching each film at 23 ° C. using each composition and calculating the difference between the film thickness before the etching treatment and the film thickness after the etching treatment.
  • the etching rate of the SiN film was determined by measuring the film thickness before and after the etching using Nanospec 3000AF-T manufactured by NanoMetricus Japan.
  • the resistivity before and after the etching was measured using a resistivity meter K-705RS of Kyowa Riken Co., Ltd., and the film thickness was determined from the resistivity.
  • the Si substrate on which the low-k film (porous MSQ), SiN film, antireflection film (BARC), and resist (KrF) film are formed is etched, and a resist (resist on the surface) is formed on the surface of the SiN film. (Including those degraded by the etching process).
  • Keire, 0 2 plasma mediation Thing than normal short-les was carried out as not to substantially damage the row-,, low-k film 0 2 plasma mediation single time.
  • Example 1 0.05 0.05 99.9 30 A 39 Example 2 0.05 0.05 99.9 40 A 52 Example 3 0.1 0.1 99.8 20 FR 1 A 56 Example 4 0.15 0.15 99.7 15 A 51 Example 5 0.2 0.2 99.6 3 A 15 Example 6 0.2 0.2 99.6 6 None A 30 Example 7 0.25 0.25 99.5 5 A 35 Example 8 0.25 0.25 99.5 10 MA 62 Example 9 0.4 0.4 99.2 10 A 60 Example 10 0.5 0.5 99.0 10 None A 75 Example 11 0.75 0.75 98.5 0.5 A 10 Example 12 0.75 0.75 98.5 1 A 20 Example 13 0.75 0.75 98.5 3 A 60 Example 14 0.05 0.05 99.9 15 Yes A 20 Example 15 0.05 0.05 99.9 25 Yes A 53 Example 16 0.1 0.1 99.8 20 Yes A 56 Example 17 0.15 0.15 99.7 15 Yes A 51 Example 18 0.2 0.2 99.6 10 Yes A 47 Example 19 0.25 0.25 99.5 3 Yes A 21 Example 20 0.25 0.25 99.5 5 Yes A 35 Example 21 0.25 0.25 0.
  • the concentration indicates the number of moles relative to the total weight (kg) of the composition.
  • the resist was completely stripped from the substrates treated with the stripping solutions of Examples 1 to 46.
  • the antireflection film was also peeled off.
  • the etching amount of the SiN film to which the antireflection film was attached was 1 A or more in all cases.
  • the substrates treated with the liquids of Comparative Examples 1 to 3 could not remove the resist.
  • Test example 2 Cross section
  • Example 1,5,6,7,11,12,14,15,19,20,24,25,29,30,33,34,36,37 Cut the board vertically according to RXf42 The cross section was observed by SEM, and the effect of the stripper on the low-k film was confirmed by comparing it with the cross section before treatment with the stripper.
  • the resist could be removed without damaging the low-k film.
  • Test Example 3 Detergency of polymer and titanium compound
  • the object to be treated was immersed in the following cleaning solution (Example 44) at 23 ° C. with stirring for a predetermined time.
  • the etching amount of the TiN film was 2.4 A.
  • An object to be processed having a metal capacitor electrode was obtained by plasma assing. Resist residue remained on the surface of the electrode.
  • the object was immersed in the following cleaning liquid (Examples 45 and 46) at 23 ° C. with stirring for a predetermined time.
  • the etching amount of TiN B was 6.2 k in Example 45, and was 12 A in Example 46.
  • the resist residue on the capacitor electrode surface can be removed and the polymer and titanium compound remaining in the via hole can be removed, it is expected that the polymer and the titanium compound on the capacitor electrode surface can also be washed.
  • Examples 47-50 After etching the Si substrate on which the low-k film [CVD system] and the resist film [KrF] have been formed, a resist is applied on the low-k film surface. To be treated) and a polymer having a polymer in the formed hole. No resist ashing was performed.
  • a stripping solution having the composition of each of Examples 47 to 50 was prepared, the object to be processed was immersed, and washing was performed for the time shown in Table 5 while irradiating ultrasonic waves [950 kHz, 600 W].
  • the ultrasonic cleaner a high frequency ultrasonic cleaner Hi Megasonic (oscillator: Model 6848, vibrator: 7857S) manufactured by Kaijo Corporation was used.
  • the resist was completely stripped from the substrates treated with the stripping solutions of Examples 47 to 50.
  • the polymer was also removed.
  • compositions described in Tables 5 and 6 exfoliated the polymer in the via hole, and thus it was predicted that these compositions could clean the titanium ligature remaining in the via hole. it can. In addition, it is expected that these compositions can clean resist residues, polymers and titanium oxide on the electrode surface of the capacitor.
  • etching is performed on the Si substrate on which the porous low-k film (porous MSQ), SiC film, silicon-containing antireflection film (BARC), and resist (KrF) film are formed. It has a resist (including a resist whose surface has been altered by etching) and an anti-reflection film on the surface, and an etching residue exists in the via hole. Obtained.
  • the object was immersed in a stripping solution shown in Table 7 below at 23 ° C. with stirring for a predetermined time. Examples 67 to 83
  • Examples 67 to 83 plasma processing such as oxygen ashing for removing a resist, an anti-reflection film, and an etching residue was not performed after the above-described object was manufactured.
  • the SiC etching amount within the processing time was 1 A or more
  • the SiN etching amount was 12 A or more.
  • the resist, the antireflection film and the etching residue were all completely removed.
  • the effect of etching SiN is that it dissolves etching residues containing silicon nitride such as SiN.
  • Solvents that have the effect of causing the reaction include neutral solvents (methanol, ethanol, isopropanol) and proton-donating solvents (trifluoroacetic acid, acetic acid, formic acid) such as those shown here.
  • Aprotic solvents such as polar aprotic solvents (1,2-dimethoxieethane, tetrahydrofuran, methyl acetate, ethyl acetate, 1,4-dioxane, propylene carbonate, acetone) The case indicates that the effect of removing the resist, the antireflection film and the etching residue is high.
  • Copper corrosion occurs when used in combination with HF having a HF concentration equal to or higher than that shown in Example 71 and ethanol. In the other examples shown in Table 7, corrosion of copper is almost insignificant.
  • the substrates treated with the liquids of Comparative Examples 5 to 9 shown in Table 8 could not remove the resist, the antireflection film, and the etching residue.
  • the effect of removing resist, anti-reflection coating and etching residue is small if only SiC is etched by 1 A or more. The ability to etch both SiN and S together is necessary to remove these.
  • copper also corroded. When a single solvent as shown in the Examples was used, it was not suitable as a stripping liquid.
  • Examples 67 to 83 and Comparative Examples 5 to 9 copper corrosion was small when an amphoteric solvent having a large self-protolysis constant and a large number of donors, and an aprotic 1 "raw solvent having a small number of donors was used.
  • An amphoteric solvent has a large self-protolysis constant and a large number of donors, and examples of solvents include isopropanol (IPA), 1-propanol, and t-butanol for alcohols.
  • a solvent having a small number of donors is a polar aprotic solvent having a donor number of 24 or less, such as esters, ethers, ketones, and acid anhydrides.
  • Amphoteric solvents with small self-protolysis constants and small donor numbers, and polar protic solvents with a donor number of 24 or more are likely to corrode copper.
  • small amphoteric solvents include methanol and ethanol for alcohols
  • examples of polar protic solvents having 25 or more donors include amides such as dimethylformamide and sulfur-containing compounds such as dimethylsulfoxide.
  • the organic solvents shown in Examples 5 to 9 have the effect of removing the natural oxide film of copper, which is desirably removed because it has the potential to increase the resistance of wiring and cause poor contact.
  • Examples 67 to 83 were mixed with the organic solvents shown in Comparative Examples 5 to 9 to remove the resist, the antireflection film and the etching residue in a processing time in which the copper corrosion was small, and at the same time, only the copper native oxide film was used.
  • Comparative Example 11 and Comparative Example 12 shown in Table 9 are chemical solutions having a typical composition used as a polymer stripping solution when forming an A1 / S102 multilayer wiring structure.
  • DMSO S-methylsulfoxide
  • DMF S-methylformamide
  • DMA S-methylacetoamide
  • NMP N-methylbilithone
  • DMI Y-methylimita
  • Comparative examples 13 to 43 shown in Table 10 have been used for DMSO (dimethyl sulfoxide) and DMF (dimethylformamide). 1 shows the results of treating the object used in the present invention with the compositions of Examples described in the patent application filed as a stripping solution and a cleaning solution. Most of the stripping solutions and cleaning solutions shown in these comparative examples have been developed for producing an Al / Si02 multilayer wiring structure.
  • Comparative Examples 13 to 16 are the results of treating the object to be treated of the present invention with the composition shown in Examples of JP-A-1-46331.
  • This cleaning solution is composed of hydrogen fluoride, isopropanol, and water.
  • concentration of hydrogen fluoride is 0.5% by weight or less, and the insulating film barrier and silicon nitride film (SiN) cannot be selectively etched. Poor removal of anti-reflection film and etching residue.
  • the resist and the antireflection film (BARC) which are organic compositions, cannot be removed, which indicates that the removal of etching residues is reduced and that copper corrosion is large.
  • Comparative Examples 17 to 19 also show the results of treating the object to be treated of the present invention with the compositions shown in the examples of JP-A-1-46331.
  • This is an example of the case where acetic acid is used as the organic solvent, in which the amount of water is large or large. Resist and anti-reflection due to high water content relative to HF concentration The film (BARC) and the etching residue cannot be removed. As the amount of water increases, the amount of etching of SiC decreases, and the amount of etching of the SiN and low-k film increases.
  • Comparative Examples 20 and 21 are the results of treating the object to be treated of the present invention with the compositions shown in the examples of JP-A-8-202052.
  • the resist and the anti-reflection coating (BARC) could not be removed because the amount of SiC etching was small
  • silicon nitride (SiN) was selectively etched with respect to the low-k film. Etching residue is not removed due to the small effect. Since the etching selectivity of SiN to the low-k film is small, if the processing time is increased to remove the resist, anti-reflective coating (BARC) and etching residue, the amount of etching of the low-k film increases, and Processing becomes difficult.
  • JP-A-8-202052 states that organic solvents are effective against sulfoxides, amides, polyhydric alcohols and the like. Sulfoxides and amides are highly corrosive to copper. Particularly, dimethyl sulfoxide corrodes copper violently. It is clear that this is not intended for copper as a wiring material. In contrast, the present invention selects an organic solvent that does not require an anticorrosive. That is, Japanese Patent Application No. 8-202052 shows that it is not suitable for a stripping solution used for forming a Cu / low-k multilayer wiring structure.
  • Comparative Example 22 is the result of treating the object of the present invention with the composition shown in the example of JP-A-10-50647.
  • this composition the etching of both SiC and SiN is small, and the resist, anti-reflective coating (BARC) and etching residue can be completely removed, and the residue can be completely removed. Since the etching selectivity of SiN to the low-k film is small, if the processing time is extended to remove the resist, anti-reflective coating (BARC) and etching residue, the etching amount of the low-k film increases, making it difficult to process to the dimensions become.
  • Comparative Examples 23 to 25 are the results of treating the object to be treated of the present invention with the compositions shown in the examples of USP6150282 and Comparative Example 26 of the Examples of USP6150282.
  • Comparative Example 26 is characterized by containing substantially no moisture and having little metal corrosion. Without water, silicon nitride (SiN) cannot be selectively removed from low-k films. Therefore, the removability of the etching residue is particularly deteriorated. In this case, the resist and anti-reflection coating (BARC) can be removed.
  • SiN silicon nitride
  • BARC resist and anti-reflection coating
  • Comparative Examples 26 to 35 are the results of treating the object to be treated of the present invention with the compositions shown in the examples of JP-A-11-340183. If the HF concentration is low, the etching rate of SiC and SiN is too low to remove the resist, anti-reflective coating (BARC) and etching residues. When the HF concentration is high, the corrosion of copper is severe because methanol is used as the solvent. Therefore, it is suitable as a stripper used to form a Cu / low-k multilayer wiring structure.
  • Comparative Examples 36 to 41 are the results of treating the object to be treated of the present invention by changing the organic solvent to methanol-isopropanol (IPA) in the compositions shown in the examples of JP-A-340183. Even when isopropanol is used, the resist, antireflection coating (BARC) and etching residue cannot be removed when the HF concentration is low or when the HF concentration is high but the water content is low.
  • IPA methanol-isopropanol
  • Comparative Examples 42 and 43 show the cases where acetic acid was used as the organic solvent and the HF concentration was low and high. If the HF concentration is low, none of the resist, antireflection film and etching residue can be removed. If the concentration is high, it can remove all of the resist, antireflection film and etching residue. The interface between SiC, which is an insulating film barrier, and the low-k film is peeled off, and the low-k film side-etching proceeds according to the design dimensions. The processed shape cannot be obtained.
  • Examples 67 to 83 and Comparative Examples 5 to 43 the cross section of the substrate cut in the vertical direction was observed by SEM. It was confirmed. In Examples 67 to 83, the cross-sectional shape did not substantially change before and after the treatment with the stripping solution, and it was confirmed that the low-k film was damaged and damaged. According to the stripping solution of the present invention, it was possible to remove the resist, the antireflection film and the etching residue containing them without damaging the low-k film.

Abstract

本発明は、有機酸及び有機溶媒からなる群から選ばれる少なくとも1種、並びにフッ化水素(HF)を含む、low−k膜用のレジスト剥離液及びビアホール又はキャパシタ洗浄液;並びにこれらを用いたレジスト剥離方法及びビアホール又はキャパシタの洗浄方法を提供する。

Description

明細書
剥離液 技術分野
本発明は、 low-k膜用レジスト剥離液及びビアホール又はキャパシタ洗浄液に関する ものである。
背景技術
半導体のデバイスにおいて、近年 Si〇2膜の代わりに比誘電率の低い low-k膜 (低誘 電率膜)を用レ、る技術が開発されており、それに伴レ、半導体デバイスの製造工程におい て low- k膜をエッチングする必要が生じる。従来は、膜をドライエッチングした後には〇2 プラズマアツシングを行レ、、レジストを除去していた力 このような処連は low_k膜にダメ ージを与えてしまう。従って、 O2プラズマアツシングを行わずに(或いは H2プラズマアツ シングもしくは軽い 02プラズマアツシングをした後に)、レジストを薬液で剥離することが 望ましレ、。し力しながら、現在提案されているレジスト剥離液では、 low-k膜上に形成され たレジスト(例えば、 KRF (クリプトンエフ)レジスト自体が剥離できず、 low-k膜がエツチン グされてしまレ、、剥離液として使用できない。
また、半導体デバイスの製造工程において、ビアホールを形成した後、ビアホールの 側壁及び Z又は底面にチタン化合物及び 又はポリマーが残存する場合がある。従つ て、当該チタンィヒ合物及び Z又はポリマーをビアホールから除去するための組成物の開 発が求められている。
さらに、半導体デバイスの製造工程において、メタルキャパシタを形成する際、メタルキ ャパシタの上部又は下部電極メタル膜 (TiN、 Tiなど)のドライエッチング後にポリマー、レ ジスト残渣及びチタン化合物が残存する場合がある。従って、当該ポリマー、レジスト残 渣及びチタン化合物を除去するための組成物の開発が求められている。
近年まで、配線材料として A1あるいは A1合金などを用レ、、層間絶縁膜として Si〇2膜 を使用する Al/Si02多層配線構造の半導体デバイスが中心に製作されてきた。現在は、 デバイスの微細化に伴う配線遅延を低減するため、配線材料として抵抗値の低い Cuを 用い、層間絶縁膜として Si02膜の代わりに配線間容量の小さい low- k膜 (低誘電率膜) を用いる Cu/low-k多層配線構造が開発されている。 Al/Si02多層配線構造では、加工するウェハーに対して水平方向に電流を供給する 配線層と各配線層間を接続する垂直方向の穴型の配線であるビア層を別々に形成する。 配線層はメタルドライエッチングにより凸型の A1などの金属配線を加工し、その配線の 周囲を Si〇2膜などの層間絶縁膜で埋め込むことにより形成される。ビア層は Si02膜など の層間絶縁膜を堆積した後に、層間絶縁膜ドライエッチングにより穴(ビアホール)をカロ ェし、 A1や Wなどの金属を埋め込み形成する。
Cu/low-k多層配線構造では、ドライエッチングにより、まず low- k膜に溝(トレンチ) や穴(ビアホール)を加工し、その加工部分に主に銅などの配線材料を埋め込み配線構 造を形成するダマシンといわれる方法により加工が行われる。さらに、デュアルダマシン とレ、う方法にぉレ、ては、配線のためのトレンチとビアホールを同時に low- k膜に形成し、 その後、銅などの配線材料を埋め込む。デュアルダマシン構造の形成には、ビアホーノレ を先に形成した後、配線のための溝を形成するビアファーストプロセス、この逆の順序で 配線のためのトレンチを先に形成した後、ビアホールを形成するトレンチファーストプロセ ス、その他にミドルファーストプロセス、デュアルハードマスクプロセスなどがある。デュア ルダマシンのプロセスなどでは埋め込み材を使用する場合が多レ、。たとえば、ビアファー ストのプロセスにおいて、ビアホールをドライエッチングにより形成した後、埋め込み材を 埋め込み、トレンチを形成するためのリソグラフィーを行レ、、エッチングする。その後、埋 め込み材は選択的に除去する必要がある。
Al/Si〇2多層配線構造では、配線形成のためのメタルエッチングには塩素や臭化水 素などのガスが用いられ、ビアホール形成のためのビアエッチングには、フルォロカーボ ンガスやハイド口フルォロカーボンガス、 Arなどの不活性ガスおよび酸素や一酸化炭素 などの酸素含有ガスなどの混合ガスが用いられる。メタルエッチングやビアホール加工の ための層間絶縁膜のビアエッチング後には、レジストやエッチング残渣などの不要なもの を除去するために酸素を含んだプラズマによりアツシング (灰化)を行う。このアツシング後 に存在する残渣を剥離液で除去する。ここでの残渣は、メタルエッチングでは、レジスト などの有機物を若干含むアルミニウムなどの酸化物である。この残渣は、アルミニウム配 線の側壁に形成されるため、サイドウォールポリマ一、ラビットィヤーなどと呼ばれることも ある。ビアエッチングの場合は、レジストやフルォロカーボンポリマーなどの有機物を若干 含む金属バリア膜である Tiや TiNなどの酸化物やフッ化物である。この残渣もサイドウ オールポリマーと呼ぶことがある。このように、メタルやビアホールでのエッチング後の残 渣は、酸素プラズマでレジストがなくなるまでアツシング処理する場合が多ぐエッチング 残渣の主成分は無機化した酸化物である。
これに対して、 Cu/low - k多層配線構造では、フルォロカーボンガスと窒素などとの混 合ガスを用レ、たドライエッチングにより、 low-k膜に溝(トレンチ)や穴(ビアホール)などの ダマシン構造を加工する。ドライエッチングガスに窒素を使用すると、加工精度を増すこ と力 Sできる。しかし、シリコンを含有する low-k膜との間で不揮 ¾性の窒化されたシリコン の残渣を生じる。エッチング後のレジストや残渣を除去するために、酸素を含んだプラズ マにより完全にアツシングを行うと、 low-k膜に比誘電率の変化もたらすダメージを与える。 このため、プラズマアツシングを行わずに、水素、窒素、希ガスおよびこれらの混合ガスな どのプラズマでアツシングする力、もしくは軽レ、酸素を含んだプラズマアツシングする場合 が多い。また、 low-k膜に与えるダメージをできるだけ少なくしょうとして完全にレジストや 埋め込み材をアツシングにより取り除かない場合も多レ、。プラズマによるアツシング処理 に窒素を含んだガスを用いた場合は、残渣はさらに窒素化されたシリコンを多く含む。こ のような場合、アツシング後であっても、比較的多くのレジスト、反射防止膜、埋め込み材 および窒素を含有するシリコン窒化物のようなエッチング残渣が存在する。力 よりの程度、 アツシングしたとしても、レジスト、反射防止膜および埋め込み材をすべて除去することは 難しい。このように、ダマシンプロセスでのエッチング後に存在する残渣の主成分は、シリ コン窒化物のような無機物を含むレジスト、反射防止膜、埋め込み材及びフルォロカー ボンポリマーに由来する有機物である。
Al/Si02多層配線構造の形成過程にぉレ、て、ドライエッチングで生じた無機化した残 渣を除去する剥離液の特許やあるいは形成したパターンの洗浄を行う洗浄液の特許が 多く出願されている。特開平ト 146331号公報では、フッ酸とイソプロパノールなどの有 機溶媒を混合した洗浄液を用いている。この洗浄液により濡れ性のよい均一な洗浄がで きることが述べられている。しかしながら、本発明で対象とするようなドライエッチングで生 じた残渣ゃレジストの除去については触れられていなレ、。 日本特許第 3255551号公報 では、 HF、水溶性有機溶媒、芳香族ヒドロキシ化合物、アセチレンアルコール、カルボキ シル基含有有機化合物及びその無水物、ならびにトリァゾール化合物からなる群から選 ばれる少なくとも 1種の防食剤を含有するレジスト用剥離液組成物が開示されてレ、る。有 機溶媒として、スルホキシド類、アミド類、多価アルコールなどに効果があるとされている。 特開平 10-50647号公報では、コンタクトホール形成後、エッチング残渣、金属物質など の汚染物質を含むコンタクトホール底面の自然酸化膜と側壁の酸化膜を注意深くエッチ ングしてコンタクトホールを洗浄する。 0.25重量%〜0.5重量%程度の低濃度のフッ化水 素とイソプロパノールと DIW(Deionized water)との混合溶液において、プラズマシリコン 酸化膜、低圧化学気相蒸着シリコン酸化膜および BPSG膜の三種類の膜を貫通して形 成されたコンタクトホールを洗浄した際に段差のない均一なプロファイルを得ることができ ることが開示されている。 USP6150282号には、シリコン酸化膜にビアホール形成後のェ ツチング残渣の洗浄液と洗浄方法についてフッ化水素と有機溶媒を用いることが開示さ れている。 USP6150282号では、フッ化水素、有機溶媒および水からなる剥離液で、マ スク、エッチング残渣、シリコン酸化膜およびシリコン窒素化膜の少なくとも 1種を同時に 剥離およびエッチング処理する方法が開示されている。特開平 11-340183 号公報では、 20重量%以下のフッ化水素と誘電率 10以上のアルコールなどとを含む洗浄液を用いて、 ビアホールエッチング後の洗浄やメタル配線のドライエッチング後のサイドウォールポリマ 一をアルミニウムなどの金属配線の腐食を抑えて除去できることが示されてレ、る。
しかしながら、これら文献は層間絶縁膜として low-k膜を、配線材料として銅を使用す ることを想定していない。これらは Al/Si02多層配線構造を形成する際にドライエツチン グ後の無機質残渣を除去およびカ卩ェしたパターンを洗浄しょうとしてレ、るものである。 本発明は、 low— k膜用のレジスト剥離液及びビアホール用の洗浄液及びメタルキャパ シタ用の洗浄液を提供することを主な目的とする。
発明の開示
本発明は、 Cu/low-k多層配線構造を形成する際におけるドライエッチング後のレジス ト、反射防止膜、埋め込み剤およびこれらを含むエッチング残渣を除去および剥離する ことを対象としている。 Al/Si〇2多層配線構造の場合とは対象が異なる。層間絶縁膜とし て low- k膜を用レ、た場合のエッチング残渣は、レジスト、反射防止膜および埋め込み材 などの有機物が多ぐ SiNのような窒化されたシリコンの残渣を含んだものとなる。このよう な残渣を取り除くためには、有機化合物を多く含み、シリコン窒化物を選択的に溶解させ る剥離液が必要である。本発明者は、剥離液がシリコン窒化物を選択的に溶解させる効 果はシリコン窒化膜 (SiN)を選択的にエッチングする効果を指標として評価できる事を確 認している。すなわち、シリコン窒化膜 (SiN)を選択的にエッチングする剥離液ほど、エツ チング残渣中のシリコン窒化物をよく溶解させ、残渣除去の効果が大きい。また、 low - k 膜と組み合わせて配線材料として銅が使用される。銅を剥離液によりエッチングしないこ とが必要とされる。これらの要求を満たす剥離液は以前には開発されていない。
本発明の剥離液はフッ化水素と有機酸および/または有機溶媒の混合液からなり、配 線材料である銅などの金属、絶縁膜バリアや low-k膜およびエッチング残渣のエツチン グをコントロールすることが可能である。すなわち、本発明の剥離液は以下の特徴をも つ:
(1)銅などの金属の腐食を抑制すること;
(2)絶縁膜ノくリアを選択的にエッチングして絶縁膜バリアや low - k膜とエッチング残渣と の界面を剥離して分離させること;
(3)エッチング残渣中のシリコン窒化物(SiN)などを選択的に溶解させること;及び
(4)エッチング残渣の有機成分を有機溶媒により溶解させること。
これらの特徴によって本発明のエッチング液は、エッチング残渣を除去することができ る。
例えば、本発明において、フッ化水素とイソプロパノールおよび水とからなる剥離液で は、フッ化水素:イソプロパノール:水の重量比が、 1.00〜5.00mass% : 87.00〜
98.5mass% : 0.50〜8.00mass¾r ある場合に剥離液としての効果が大きい。
フッ化水素、イソプロパノールなどの有機溶媒および水からなる洗浄液および剥離液 は、多く出願されている。これらは、 Cu/low-k多層配線構造を形成するためのものでなぐ Al/Si02多層配線構造を形成するために使用されるものば力りであり、除去対象が全く 異なる。以下これらの内容を示す。
特開平 1-146331号公報、特開平 10-50647号公報では、同じフッ化水素とイソプロ ノ V—ルおよび水とからなる洗浄液である力 これらのフッ化水素濃度は 0.5重量%以下 であり、絶縁膜バリアやシリコン窒化膜 (SiN)を選択的にエッチングできないため、レジス ト、反射防止膜、埋め込み材およびエッチング残渣の除去性が悪い。とくに、特開平 10- 50647号公報は、コンタクトホールを洗浄した際に段差のない均一なプロファイルを得る ことなどを目的としており、そこで使用されるプラズマシリコン酸化膜、低圧化学気相蒸着 シリコン酸化膜および BPSG 膜のエッチング速度がほぼ同じであることを特徴としている。 これに対して、本発明は、これとは全く逆の構成であり、絶縁膜バリアやシリコン窒化 膜 (SiN)を low-k膜に対して選択的にエッチングすることを特徴としている。本発明の剥 離液で、プラズマシリコン酸化膜、低圧化学気相蒸着シリコン酸化膜および BPSG膜を エッチングすると、シリコン窒化物と同様に、 BPSG膜を極端に多くエッチングすることに なる。
日本特許第 3255551号では、有機溶媒として、スルホキシド類、アミド類、多価アルコ ールなどに効果があるとされている。多価アルコールは、シリコン窒化物(SiN)を low-k 膜に対して選択的にエッチングする効果が小さい。スルホキシド類、アミド類は、銅の腐 食が大きぐ特に実施例としてあげられてレ、るジメチルスルホキシドは激しく銅を腐食させ る。防食剤を添加してレ、る力 これは、配線材料として銅を対象としていないことが明らか である。これに対して、防食剤を必要としない有機溶媒を選択したのが本発明である。す なわち、日本特許第 3255551号では、 Cu/low-k多層配線構造を形成するために使用 する剥離液ではない。
USP6150282号は、実質的に水分を含まず、金属の腐食が少なレ、ことを特徴としてい る。これに対して、本発明では、エッチング残渣にシリコン窒化物(SiN)を含む場合は水 分が必要である。水分がないとシリコン窒化物(SiN)を low- k膜に対して選択的に除去 することができないからである。また、本発明では水分を極限まで少なくしなくても、銅の 腐食が小さい有機溶媒を選択している。例示したイソプロパノールはそのひとつである。 USP6150282号において、水分を少なくしなければならないのはアルミニウムなどの Al/Si02多層配線構造の形成に使用される金属を腐食させなレ、ことを対象としているか らである。これらのことから、 USP6150282号も、 Cu/low-k多層配線構造を形成するため に使用する剥離液ではない。
USP6150282号では、ォキシラン、スルホラン、エステル、ケトン、ァノレデヒド、ラタトン、 ハロゲンを含む炭化水素、アルコール、ァミンおよびイミドなどを有機溶媒として用いてい る。 Cu/low-k多層配線構造の形成用に剥離液として用レ、る有機溶媒は水溶性であるこ とが重要である力、このことが示されていない。剥離処理後に剥離液を除去する際に、水 溶性の有機溶媒を用レ、た場合は、中間のリンスが不要であり、純水だけで被処理物に残 つた剥離液を取り除くことができる。本発明の剥離液は、レジスト、反射防止膜、埋め込 み剤およびこれらを含むエッチング残渣を取り除く際に、これらの接する絶縁膜バリアや low- k膜をわずかに均一にエッチングする。この場合に、非水溶性の有機溶媒を用いる と絶縁膜バリアや low-k膜の表面荒れを生じ、剥離後の形状が悪くなる。このようなこと からも、 Cu/low-k多層配線構造の形成用に剥離液として用レ、る有機溶媒は水溶性であ ることが望ましレ、。 USP6150282号ではこのようなことが示されていない。ハロゲンを含む 炭化水素は非水溶媒であり、その他のォキソラン、スルホラン、エステル、ケトン、アルデ ヒド、ラクトン、アルコール、ァミンおよびイミドにおいても非水溶性のものは、以上のような 理由から、 Cu/low-k多層配線構造の形成用に剥離液としては使用しにくい。
また、スルホラン、ァミンおよびイミドを用いた場合は銅を腐食させる。アルコールはひ とつの OH基をもつアルコールが好ましいとしている力 メタノールを用いた場合は銅を 腐食させる。エタノールもイソプロパノールに比べると銅の腐食量が多レ、。本発明では、 メタノール、エタノールは単独では使用しないが、他の有機溶媒と併用することは可能で ある。
これに対して、プロパノールや t-ブタノールなど炭素数が 3以上の水溶性アルコール は銅の腐食が少なぐ剥離処理後に、純水でリンスするだけで剥離液を取り除くことがで きるため、少ない工程数で効果的な剥離処理をすることができる。本発明者はこのような プロパノールや t-ブタノールなど炭素数が 3以上の水溶性アルコールが Cu/low-k多層 配線構造の形成用に有用であることを見出した。 USP6150282号ではこのようなことが示 されておらず、 Cu/low - k多層配線構造の形成のための剥離液として不適切なものが多 く含まれている。エステル、ケトンにおいても、水溶性であることが望ましぐ酢酸メチル、 酢酸ェチル、酢酸ブチル、アセトンなどがシリコン窒化物(SiN)を low_k膜に対して選択 的にエッチングする効果が大きぐ Cu/low-k多層配線構造の形成の際にレジスト、反射 防止膜およびこれらを含むエッチング残渣を効果的に除去することのできる最適なエス テルおよびケトンであることを本発明では示している。これに対して、 USP6150282号では、 Cu/low-k多層配線構造の形成のための剥離液として有用な有機溶媒が具体的に何も 示されていない。
特開平 11-340183号公報では、 20重量%以下のフッ化水素と誘電率 10以上のアル コール (R-OH)などとを含む洗浄液を用いて、金属の腐食が少ないサイドウォールポリマ 一除去液を提供することを特徴としている。 R-OH + 2HF→(R-OH)H+ + HF2—により、 HF をわずかに解離させ、微量の HF2—イオンを生成させる。生成した微量の HF2 こより、サイ ドウオールポリマーを除去し、 A1 に対する反応を抑制している。これに対して、本発明は、 アルコールなどの有機溶媒により、水素結合ポリマー (HF)nを解離させ、 HFと HFおよび (HF)n (nは 2以上)から供給されるプロトン H+とにより、絶縁膜バリアやシリコン窒化膜 (SiN)を low-k膜に対して選択的にエッチングすることによりエッチング残渣を除去する。 特開平 1卜 340183号公報においてサイドウォールポリマー除去液として効果がある有 機溶媒としてメタノールが実施例で示されてレ、る。 Al/Si02多層配線構造におけるアルミ ニゥム配線を形成する際に生じるサイドウォールポリマーはアツシングにより無機化され ている場合が多い。このポリマーを除去する指標としてアルミナ膜 (A1203膜)のエッチング 速度を用レ、ることができる。この膜のエッチング速度が大きいものほどサイドウォールポリ マーが除去されやすい。メタノールを用いた場合、実施例に示されるようにイソプロパノ ールに比べて、 A1203膜のエッチング速度は大きく、アルミニウム配線のサイドウォール ポリマーを除去する効果力 Sイソプロパノールに比べて大きレ、。シリコン窒化膜(SiN)は、ィ ソプロパノールに比べてメタノールのほうが選択的にエッチングできる。しかし、銅の腐食 におレ、ては、メタノールはイソプロパノールに比べて著しく銅を腐食させる。
特開平 11 - 340183号公報では、イソプロパノールをはじめとする他の溶媒に、銅の腐 食を抑制する効果があることを示していなレ、。また、 Cu/low-k多層配線構造の形成の際 に除去するレジスト、反射防止莫及ぴこれらを含むエッチング残渣などは有機物を多く 含んでいる。これらの有機物はメタノールよりもイソプロパノールのほうが溶解しやすく除 去されやすいことも開示していなレ、。これらは特開平 11-340183号公報が、 Cu/low-k多 層配線構造を形成するために使用する剥離液ではないことを示している。
本発明では、フッ化水素、有機溶媒および水からなる剥離液において、イソプロパノー ルゃ酢酸などをはじめとして、銅の腐食が小さぐシリコン窒化膜 (SiN)を low-k膜に対し て選択的にエッチングする有機酸および/または有機溶媒を使用することにより、
Cu/low-k多層配線構造を形成するために有用な剥離液を供給する。
本発明は、以下の各項に示す剥離液及び剥離方法、洗浄液及び洗浄方法などに関 する。
項 1 有機酸及び有機溶媒からなる群から選ばれる少なくとも 1種、並びにフッ化水素 (H F)を含む low— k膜用のレジスト剥離液。 項 2 さらに、アンモニア及びアミン力 なる群より選ばれる少なくとも 1種を含む項 1に記 載のレジスト剥離液。
項 3 超音波洗浄用である項 1に記載の剥離液。
項 4 low_k膜の比誘電率力 1より大きく、 3以下である項 1に記載の剥離液。
項 5 SiN膜を 1 A以上エッチングすることができる項 1に記載の剥離液。
項 6 有機酸または有機溶媒の SP値が 7〜17である項 1に記載の剥離液。
項 7 HFの濃度が 0. 01〜: 10mass%である項 1に記載の剥離液。
項 8 有機酸及び有機溶媒からなる群から選ばれる少なくとも 1種が有機酸、或いは有機 酸及び有機溶媒の混合物であって、 HFの濃度が 0. 01〜5mass%である項 1に記載の 剥離液。
項 9 有機酸及び有機溶媒力 なる群力 選ばれる少なくとも 1種が有機溶媒であって、 HFの濃度が 0. 01〜: I0mass%である項 1に記載の剥離液。
項 10 さらに水を含み、 HF :有機酸:水の重量比が 0. 01〜5mass% : 49〜99. 9 mass% : 0〜50mass%であり、有機酸がモノカルボン酸、スルホン酸及びポリカルボン酸 力 なる群より選ばれる少なくとも 1種である項 1に記載の剥離液。
項 11 モノカルボン酸が、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カプロン酸、力 プリル酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ酢酸、ジフルォロ酢 酸、トリフルォロ酢酸、 α—クロ口酪酸、 β—クロ口酪酸、 y一クロ口酪酸、乳酸、グリコー ル酸、ピルビン酸、ダリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少 なくとも 1種であり、
スルホン酸力 メタンスルホン酸、ベンゼンスルホン酸、トリフルォロメタンスルホン酸及 びトルエンスルホン酸からなる群より選ばれる少なくとも 1種であり、
ポリカルボン酸が、シユウ酸、コハク酸、アジピン酸、酒石酸及びクェン酸からなる群より 選ばれる少なくとも 1種である項 10に記載の剥離液。
項 12 さらに水を含み、 HF :有機溶媒:水の重量比が 0. 01〜: 10mass% : 49〜99. 9 mass% : 0〜50mass%であり、有機溶媒が 1価アルコール類、ポリオール類、ケトン類、ァ ミド類、二トリル類、ァノレデヒド類、アルキレングリコールモノアルキルエーテル、エーテル 類、エステル類、炭化水素類、ハロゲン化合物類、フッ素アルコール、リン酸エステル類 及び含窒素化合物類からなる群より選ばれる少なくとも 1種である項 9に記載の剥離液。 項 13 HF :アンモニア及びアミンからなる群より選ばれる少なくとも 1種:有機溶媒:水の 重量比力 SO. 01〜10mass% : 0. 01〜30mass% : 49〜99· 9mass% : 0〜50mass%であ り、有機溶媒が 1価アルコール類、ポリオール類、ケトン類、アミド類、二トリル類、アルデ ヒド類、アルキレングリコールモノアルキルエーテル、エーテル類、エステル類、炭化水素 類、ハロゲン化合物類、フッ素ァノレコーノレ、リン酸エステル類及び含窒素化合物類から なる群より選ばれる少なくとも 1種である項 2に記載の剥離液。
項 14 1価アルコール類力 S、メタノーノレ、エタノール、イソプロパノール(IPA)、 1—プロパ ノ一ノレ、 1—ブタノール、 2—ブタノール、 t—ブタノール、 2—メチル一1—プロパノール、 1一ペンタノ一ル、 1—へキサノーノレ、 1一へプタノール、 4—ヘプタノ一ル、 1ーォクタノ ール、 1—ノニルアルコール、 1—デカノール、 1—ドデカノール、ラウリルアルコール及び シクロへキサノールからなる群より選ばれる少なくとも 1種であり(但し、メタノーノレ、ェタノ ールは他の有機溶媒または有機酸と併用される);
ポリオール類が、エチレングリコール、ジエチレングリコール、 1, 2 _プロパンジオール、 プロピレングリコール、 2, 3—ブタンジオール及びグリセリンからなる群より選ばれる少な くとも 1種であり;
ケトン類力 アセトン、ァセチルアセトン、メチルェチルケトン、メチルイソブチルケトン、 シクロへキサノン、ジェチルケトン及びジイソプチルケトンからなる群より選ばれる少なくと も 1種であり;
アミド類カ S、 N-メチルホルムアミド、 Ν,Ν-ジメチルホルムアミド、 Ν-メチルァセトアミド及 び Ν,Ν -ジメチルァセトアミドからなる群より選ばれる少なくとも 1種であり;
二トリル類力 ァセトニトリル、プロピオ二トリル、ブチロニトリル、イソブチロニトリル及び ベンゾニトリルからなる群より選ばれる少なくとも 1種であり;
アルデヒド類力 ホノレムァノレデヒド、ァセトアルデヒド及びプロピオンアルデヒドからなる 群より選ばれる少なくとも 1種であり;
ァノレキレングリコールモノアルキノレエ一テル力 エチレングリコールモノメチノレエーテル 及びエチレングリコールモノェチルエーテルからなる群より選ばれる少なくとも 1種であり; エーテル類力 S、テトラヒドロフラン、ジォキサン、ジイソプロピルエーテル、ジブチルェ一 テル、テトラヒドロピラン、ァニソール、 1 , 2—ジメトキシェタン及びジエチレングリコールジ メチルェ一テルからなる群より選ばれる少なくとも 1種であり; エステル類が、酢酸メチル、酢酸ェチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチ ノレ、酢酸イソブチル、酢酸ペンチル、酢酸へキシル、プロピオン酸メチル、プロピオン酸 ェチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピ オン酸イソブチル、プロピオン酸ペンチル、プロピオン酸へキシル、酪酸メチル、酪酸ェ チル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、 酪酸へキシル、イソ酪酸メチル、イソ酪酸ェチル、イソ酪酸プロピル、イソ酪酸イソプロピ ル、イソ酪酸ブチル、イソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸へキシル、吉草酸 メチル、吉草酸ェチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸ブチル、吉草酸ィ ソブチル、吉草酸ペンチル、吉草酸へキシル、イソ吉草酸メチル、イソ吉草酸ェチル、ィ ソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸プチル、イソ吉草酸イソプチル、 イソ吉草酸ペンチル、イソ吉草酸へキシル、力プロン酸メチル、力プロン酸ェチル、力プロ ン酸プロピル、カプロン酸イソプロピル、力プロン酸ブチル、カプロン酸イソブチル、カプ ロン酸ペンチル、カプロン酸へキシル、カプリル酸メチル、カプリル酸ェチル、カプリノレ酸 プロピル、力プリル酸イソプロピル、カプリル酸ブチル、力プリル酸イソブチル、力プリル酸 ペンチル、力プリル酸へキシル、オクタン酸メチル、オクタン酸ェチル、オクタン酸プロピ ル、オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチ ル、オクタン酸へキシル、ノナン酸メチル、ノナン酸ェチル、ノナン酸プロピル、ノナン酸ィ ソプロピル、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸へキシル、 デカン酸メチル、デカン酸ェチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸 ブチル、デカン酸イソブチル、デカン酸ペンチル、デカン酸へキシル、ドデカン酸メチル、 ドデカン酸ェチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ド デカン酸イソブチル、ドデカン酸ペンチル、ドデカン酸へキシル、ラウリル酸メチル、ラウリ ル酸ェチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸 イソブチル、ラウリル酸ペンチル、ラウリノレ酸へキシル、アクリル酸メチル、アクリル酸ェチ ノレ、アクリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチ ノレ、アクリル酸ペンチル、アクリル酸へキシル、シユウ酸モノメチル、シユウ酸ジメチル、シ ユウ酸モノエチル、シユウ酸ジェチル、シユウ酸モノプロピル、シユウ酸ジプロピル、シユウ 酸モノブチル、シユウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノェ チル、コハク酸ジェチル、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチ ノレ、コハク酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノェチ ノレ、アジピン酸ジェチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モ ノブチル、アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノェチル、 酒石酸ジェチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸 ジブチル、クェン酸モノメチル、クェン酸ジメチル、クェン酸モノエチル、クェン酸ジェチ ノレ、クェン酸モノプロピル、タエン酸ジプロピル、クェン酸モノブチル、クェン酸ジブチル、 フタル酸ジメチル、フタル酸ジェチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸 ジペンチル、フタル酸ジへキシル、フタル酸ジヘプチル、フタル酸ジォクチル、フタル酸 ジノニル、フタル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸 ジェチル、テレフタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テ レフタル酸ジへキシル、テレフタル酸ジヘプチル、テレフタル酸ジォクチル、テレフタノレ 酸ジノニル、テレフタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン及び γ -ブ チロラクトンからなる群より選ばれる少なくとも 1種であり;
炭化水素類が、へキサン、シクロへキサン、オクタン、イソオクタン、ベンゼン及びトルェ ンからなる群より選ばれる少なくとも 1種であり;
ハロゲン化合物類力 クロ口ホルム、 0-ジクロロベンゼン、パーフロロへキサン及びパ 一フロロメチルシクロへキサンからなる群より選ばれる少なくとも 1種であり;
フッ素アルコール類が、トリフルォロエタノール、ペンタフルォロプロパノール及び 2,2,3,3-テトラフルォロプロパノールからなる群より選ばれる少なくとも 1種であり;
リン酸エステル類力 リン酸ジメチル、リン酸ジブチル、リン酸ジフエニル、リン酸ジベン ジル、リン酸トリメチル、リン酸トリェチル、リン酸トリプロピル、リン酸トリブチル及びリン酸ト リフエニルからなる群より選ばれる少なくとも 1種であり;
含窒素化合物類が、テトラメチル尿素及び Ν—メチル _ 2—ピロリドンからなる群より選 ばれる少なくとも 1種である;
項 12に記載の剥離液。
項 15 low_k膜の表面上或いは low— k膜上の反射防止膜 (BARC)を介してレジストを 有する被処理物を、レジストを剝離するが low- k膜に実質的にダメージを与えないような 温度及び時間で項 1に記載の剥離液を用いて処理することを特徴とするレジスト剥離方 法。 項 16 剥離液で処理する前に、レジストを、 low- k膜に実質的にダメージを与えない程 度にアツシング処理することを特徴とする項 15記載の方法。
項 17 low- k膜に実質的にダメージを与えないことが、実質的に low-k膜をエッチングし ないこと及び/又は処理前後の low-k膜の比誘電率が実質的に変化しなレ、ことである 項 15に記載の方法。
項 18 被処理物の処理を、超音波洗浄しながら行うことを特徴とする項 15に記載の方法。 項 19 項 15に記載の方法により得ることができるレジスト剥離処理物。
項 20 有機酸及び有機溶媒からなる群から選ばれる少なくとも 1種、並びにフッ化水素 (HF)を含むビアホール又はキャパシタ洗浄液。
項 21 さらに、アンモニア及ぴァミンからなる群より選ばれる少なくとも 1種を含む項 20に 記載の洗浄液。
項 22 超音波洗浄用である項 2 0に記載の剥離液。
項 23 TiN膜を 0.01 A以上エッチングすることができる項 20に記載の洗浄液。
項 24 さらに水を含み、 HF :有機酸:水の重量比が 0. 01〜5mass% : 49〜99. 9 mass% : 0〜50mass%であり、有機酸がモノカルボン酸、スルホン酸及びポリカルボン酸 力もなる群より選ばれる少なくとも 1種である項 20に記載の洗浄液。
項 25 モノカルボン酸が、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カプロン酸、力 プリノレ酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ酢酸、ジフルォロ酢 酸、トリフルォロ酢酸、ひ一クロ口酪酸、 β—クロ口酪酸、 Ύ—クロ口酪酸、乳酸、グリコー ル酸、ピノレビン酸、ダリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少 なくとも 1種であり、
スルホン酸力 メタンスルホン酸、ベンゼンスルホン酸、トリフルォロメタンスルホン酸及 びトルエンスルホン酸からなる群より選ばれる少なくとも 1種であり、
ポリカルボン酸力 シユウ酸、コハク酸、アジピン酸、酒石酸及びクェン酸からなる群より 選ばれる少なくとも 1種である項 24に記載の洗浄液。
項 26 さらに水を含み、 HF :有機溶媒:水の重量比が 0. 01 ~ 10mass% : 49-99. 9 mass%: 0〜50mass%であり、有機溶媒が 1価アルコール類;ポリオール類;ケトン類;ァ ミド類;ニトリル類;アルデヒド類;アルキレングリコールモノアルキルエーテル;エーテル 類;エステル類;炭化水素類;ハロゲンィ匕合物類、フッ素アルコール、リン酸エステル類及 び含窒素化合物類力 なる群より選ばれる少なくとも 1種である項 20に記載の洗浄液。 項 27 HF:アンモニア及びアミンカ なる群より選ばれる少なくとも 1種:有機溶媒:水の 重量比が 0. 01〜: 10mass% : 0. 01〜30mass%: 49〜99. 9mass% : 0〜50mass%であ り、有機溶媒力 価アルコール類;ポリオール類;ケトン類;アミド類;ニトリル類;アルデヒド 類;アルキレングリコールモノアルキルエーテル;エーテル類;エステル類;炭化水素類; ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる 群より選ばれる少なくとも 1種である項 21に記載の洗浄液。
項 28 1価アルコール類力 メタノール、エタノール、イソプロパノール(IPA)、 1—プロパ ノーノレ、 1—ブタノール、 2—ブタノール、 t—ブタノール、 2—メチル一1—プロパノール、
1 _ペンタノール、 1—へキサノーノレ、 1 _ヘプタノール、 4_ヘプタノール、 1—ォクタノー ノレ、 1—ノニルアルコール、 1—デカノール、 1 _ドデカノール、ラウリルアルコール及びシ クロへキサノール力 なる群より選ばれる少なくとも 1種であり;
ポリオール類が、エチレングリコール、ジエチレングリコール、 1, 2—プロパンジオール、 プロピレングリコール、 2, 3—ブタンジオール及びグリセリンからなる群より選ばれる少なく とも 1種であり;
ケトン類が、アセトン、ァセチルアセトン、メチルェチルケトン、メチルイソブチルケトン、 シクロへキサノン、ジェチルケトン及びジイソプチルケトンからなる群より選ばれる少なくと も 1種であり;
アミド類力 N-メチルホルムアミド、 Ν,Ν-ジメチルホルムアミド、 Ν-メチルァセトアミド及び Ν,Ν-ジメチルァセトアミドからなる群より選ばれる少なくとも 1種であり;
二トリル類力 ァセトニトリル、プロピオ-トリル、ブチロニトリル、イソブチロニトリル及びべ ンゾニトリルからなる群より選ばれる少なくとも 1種であり;
アルデヒド類力 ホノレムァノレデヒド、ァセトアルデヒド及びプロピオンアルデヒドからなる 群より選ばれる少なくとも 1種であり;
アルキレングリコールモノアルキノレエ一テルが、エチレングリコールモノメチノレエーテノレ 及びエチレングリコールモノェチルエーテルからなる群より選ばれる少なくとも 1種であり; エーテル類;テトラヒドロフラン、ジォキサン、ジイソプロピルエーテル、ジブチルエーテ ノレ、テトラヒドロピラン、ァニソ一ル、 1, 2—ジメトキシェタン及びジエチレングリコールジメ チルエーテルからなる群より選ばれる少なくとも 1種であり;
エステル類が、酢酸メチル、酢酸ェチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチ ノレ、酢酸イソブチル、酢酸ペンチル、酢酸へキシル、プロピオン酸メチル、プロピオン酸 ェチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピ オン酸イソブチル、プロピオン酸ペンチル、プロピオン酸へキシル、酪酸メチル、酪酸ェ チル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソプチル、酪酸ペンチル、 酪酸へキシル、イソ酪酸メチル、イソ酪酸ェチル、イソ酪酸プロピル、イソ酪酸イソプロピ ノレ、イソ酪酸ブチル、イソ酪酸イソプチル、イソ酪酸ペンチル、イソ酪酸へキシル、吉草酸 メチル、吉草酸ェチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸プチル、吉草酸ィ ソブチル、吉草酸ペンチル、吉草酸へキシル、イソ吉草酸メチル、イソ吉草酸ェチル、ィ ソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸ブチル、イソ吉草酸イソブチル、 イソ吉草酸ペンチル、イソ吉草酸へキシル、力プロン酸メチル、力プロン酸ェチル、力プロ ン酸プロピル、カプロン酸イソプロピル、力プロン酸ブチル、カプロン酸イソブチル、力プロ ン酸ペンチル、カプロン酸へキシル、カプリル酸メチル、カプリル酸ェチル、カプリル酸プ 口ピル、力プリル酸イソプロピル、カプリル酸ブチル、力プリル酸イソブチル、カプリル酸ぺ ンチル、力プリル酸へキシル、オクタン酸メチル、オクタン酸ェチル、オクタン酸プロピル、 オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、 オクタン酸へキシル、ノナン酸メチル、ノナン酸ェチル、ノナン酸プロピル、ノナン酸イソプ ロピノレ、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸へキシル、デ カン酸メチル、デカン酸ェチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチ ノレ、デカン酸イソブチル、デカン酸ペンチル、デカン酸へキシル、ドデカン酸メチル、ドデ カン酸ェチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカ ン酸イソブチル、ドデカン酸ペンチル、ドデカン酸へキシル、ラウリル酸メチル、ラウリル酸 ェチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブ チル、ラウリル酸ペンチル、ラウリル酸へキシル、アクリル酸メチル、アクリル酸ェチル、ァ クリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、ァク リル酸ペンチル、アクリル酸へキシル、シユウ酸モノメチル、シユウ酸ジメチル、シユウ酸モ ノエチル、シユウ酸ジェチル、シユウ酸モノプロピル、シユウ酸ジプロピル、シユウ酸モノブ チル、シユウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノェチル、コ ノヽク酸ジェチル、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチノレ、コハク 酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピ ン酸ジェチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、 アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノェチル、酒石酸ジ ェチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、 クェン酸モノメチル、クェン酸ジメチル、タエン酸モノエチル、クェン酸ジェチル、クェン酸 モノプロピル、タエン酸ジプロピル、クェン酸モノブチル、クェン酸ジブチル、フタル酸ジメ チル、フタル酸ジェチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、 フタル酸ジへキシル、フタル酸ジヘプチル、フタル酸ジォクチル、フタル酸ジノエル、フタ ル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジェチル、テレ フタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジへ キシル、テレフタル酸ジヘプチル、テレフタル酸ジォクチル、テレフタル酸ジノニル、テレ フタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン及び γ -ブチロラクトンからな る群より選ばれる少なくとも 1種であり;
炭化水素類が、へキサン、シクロへキサン、オクタン、イソオクタン、ベンゼン及びトルェ ンカ なる群より選ばれる少なくとも 1種であり;
ハロゲン化合物類力 S、クロ口ホルム、 0-ジクロロベンゼン、パーフロロへキサン及びパー フロロメチルシクロへキサンからなる群より選ばれる少なくとも 1種であり;
フッ素アルコール力 S、トリフルォロエタノール、ペンタフルォロプロパノール及び
2,2,3,3-テトラフルォロプロパノールからなる群より選ばれる少なくとも 1種であり; リン酸エステル類力 リン酸ジメチル、リン酸ジブチル、リン酸ジフエニル、リン酸ジベン ジル、リン酸トリメチル、リン酸トリェチル、リン酸トリプロピル、リン酸トリブチル及びリン酸ト リフエニルからなる群より選ばれる少なくとも 1種であり;
含窒素化合物類が、テトラメチル尿素及び N—メチルー 2—ピロリドンからなる群より選 ばれる少なくとも 1種である;
項 26に記載の洗浄液。 項 29 チタンィ匕合物及びポリマーからなる群より選ばれる少なくとも 1種が側壁及び底面 力 なる群より選ばれる少なくとも 1種に付着したビアホールを有する被処理物を、項 20 に記載の洗浄液を用レ、て洗浄することを特徴とするビアホール洗浄方法。
項 30 被処理物の処理を、超音波洗浄しながら行うことを特徴とする項 29に記載の方法。 項 31 項 29に記載の方法により得ることができる洗浄処理物。
項 32 メタルキャパシタの上部又は下部電極を有する被処理物であって、該電極の側 壁、底面及び表面力 なる群より選ばれる少なくとも 1種にレジスト残渣、ポリマー及びチ タン化合物からなる群より選ばれる少なくとも 1種が付着した被処理物を、項 20に記載の 洗浄液を用レ、て洗浄することを特徴とするキャパシタ洗浄方法。
項 33 被処理物の処理を、超音波洗浄しながら行うことを特徴とする項 32に記載の方法。 項 34 項 32の方法により得ることができる洗浄処理物。
項 35. 剥離液による処理時間 0.1分〜 120分の間の (1)絶縁膜バリア エッチング量 が 1A以上 200A以下、 (2) low- k膜のエッチング量が 1 A以上 200 A以下、 (3) Cuの エッチング速度が 5A/min以下であり、 Cu/low-k多層配線構造におけるダマシンおよ ぴデュアルダマシン構造を形成する際のドライエッチング後のレジスト、反射防止膜、埋 め込み材およびこれらを含むエッチング残渣などを除去する項 1に記載のレジスト剥離 液。
項 36. 絶縁膜バリアおよび low- k膜が SiN, SiC, SiCN, SiOC, Si〇2など Si含有化合物 である、項 35に記載の剥離液。
項 37. 窒素を含むガスあるいはエッチングガスと窒素との混合ガスを使用してエツチン グした後のレジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残渣をブラ ズマによるアツシング処理の有無にかかわらず除去する項 35に記載の剥離液。
項 38. 窒素を含むガスあるいはエッチングガスと窒素との混合ガスを使用してアツシン グした後のレジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残渣を除去 する項 35に記載の剥離液。
項 39. 不活性ガスを溶解させ、剥離液中の酸素分圧を飽和溶解した空気の酸素分圧 以下にした項 35に記載の剥離液。
項 40. (DHFおよび GOプロトン供与性溶媒と、 (ii i)中性溶媒、ドナー数が 24以下の極 性非プロトン性溶媒力 なる群から選ばれる少なくとも 1種の有機化合物とを含み、 (i)H F (フツイ匕水素) : (ii)プロトン供与性溶媒:(i ii)中性溶媒、ドナー数が 24以下の極性非プ 口トン性溶媒力 なる群から選ばれる少なくとも 1種の有機化合物の重量比が (DO. 05〜 5mass% : (ii):!〜 98. 95mass% : (iii)l〜98. 95mass%である項 35に記載の剥離液。 項 41. (i)HFおよび (ii)プロトン供与性溶媒と、(ii i)中性溶媒、ドナー数が 24以下の極 性非プロトン性溶媒力 なる群から選ばれる少なくとも 1種の有機化合物とを含む剥離 液にさらに (iv)水を含み、(i)HF (フッ化水素) : (ii)プロトン供与性溶媒: (i i i)中性溶媒、ド ナ一数が 24以下の極性非プロトン性溶媒力もなる群力も選ばれる少なくとも 1種の有機 化合物: (iv)水の重量比が (i)0. 05〜5mass% : (ii)l〜98. 93mass% : (iii):!〜 98. 93 mass% : (iv)0. 02〜90mass%である項 35に記載の剥離液。
項 42. (i)HFおよび (ii)プロトン供与性溶媒と、(ii i)中性溶媒、ドナー数が 24以下の極 性非プロトン性溶媒力もなる群から選ばれる少なくとも 1種の有機化合物と (iv)水とを含 む剥離液にさらに、 (V)酸、(vi)ドナー数が 25以上の極性親プロトン性溶媒、(vii)フッ素含 有有機化合物の少なくとも 1つを含み、(i)HF : (ii)プロトン供与性溶媒:(iii)中性溶媒、 ドナー数が 24以下の極性非プロトン性溶媒の少なくとも 1種の有機化合物: (iv)水: (V) 酸: (vi)ドナ一数が 25以上の極性親プロトン性溶媒: (vii)フッ素含有有機化合物の重量 比力 (i)0. 05〜5mass% : (ii)l〜98. 83mass% : (iii)l~98. 83mass% : (iv)0. 02〜90 mass% : (v)0〜: L0mass% : (vi)0〜50mass% : (vii)0〜70mass%である項 35に記載の剥 離液 (但し、酸、ドナー数が 25以上の極性親プロトン性溶媒およびフッ素含有有機化合 物の合計量は 0. :!〜 74. 93mass。n?ある)。
項 43. (0HFおよび (ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が 24以下の極性 非プロトン性溶媒力 なる群力 選ばれる少なくとも 1種の有機化合物と (iv)水と (V)酸、
(vi)ドナー数が 25以上の極性親プロトン性溶媒及び (vii)フッ素含有有機化合物からなる 群から選ばれる少なくとも 1種を含む剥離液にさらに、アンモニアおよび/又はアミンを含 み、(0HF : (ii)プロトン供与性溶媒: (iii)中性溶媒、ドナー数が 24以下の極性非プロトン 性溶媒の少なくとも 1種の有機化合物: (iv)水: (V)酸: (vi)ドナー数が 25以上の極性親プ 口トン性溶媒: (vii)フッ素含有有機化合物: (viii)アンモニアおよび/又はァミンの重量比が (i)0. 05〜5mass% : (ii)l〜98. 73mass% : (iii)l~98. 73mass% : (iv)0. 02〜90 mass%: (v)0〜10mass%: (vi)0〜50mass%: (vii)0〜70mass%: (viii)O. 05〜10mass% である項 35に記載の剥離液。 (但し、酸、ドナー数が 25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の 合計量は 0. 1 -74. 83mass%である)
項 44. (i)HFと (ii)中性溶媒、プロトン供与性溶媒、ドナ一数が 24以下の極性非プロト ン性溶媒力 なる群力も選ばれる少なくとも 1種の有機化合物および (iii)水を含み、(DH F (フツイヒ水素) : (ii)中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性非プロトン 性溶媒からなる群から選ばれる少なくとも 1種の有機化合物: (iii)水の重量比が 0. 05〜 5mass% : 85〜99. 93mass% : 0. 02〜; I0mass%である項 35に記載の剥離液。
項 45. (DHFと (ii)中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性非プロト ン性溶媒力 なる群力 選ばれる少なくとも 1種の有機化合物および (iii)水を含む剥離 液にさらに、酸、ドナー数が 25以上の極性親プロトン性溶媒及びフッ素含有有機化合 物力 なる群力 選ばれる少なくとも 1つを含み、(i)HF : (ii)中性溶媒、プロトン供与性溶 媒、ドナ一数が 24以下の極性非プロトン性溶媒からなる群力、ら選ばれる少なくとも 1種 の有機化合物: (iii)水: (iv)酸: (V)ドナ一数が 25以上の極性親プロトン性溶媒: (vi)フッ素 含有有機化合物の重量比が (i)0. 05〜5mass% : (ii)25〜99. 83mass% : (iii)0. 02〜1 Omass% : (iv)0〜: 10mass% : (v)0〜50mass% : (vi)0〜70mass%である項 35に記載の剥 離液 (但し、酸、ドナー数が 25以上の極性親プロトン性溶媒およびフッ素含有有機化合 物の合計量は 0. 1 ~74. 93mass¾TCある)。
項 46. (DHFと (ii)中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性非プロト ン性溶媒力 なる群力 選ばれる少なくとも 1種の有機化合物と (iii)水と、(iv)酸、(V)ドナ 一数が 25以上の極性親プロトン性溶媒及び (vi)フッ素含有有機化合物からなる群から 選ばれる少なくとも 1種を含む剥離液にさらに、(vii)アンモニアおよび/又はアミンを含み、 (i)HF : (ii)中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性非プロトン性溶媒 力らなる群から選ばれる少なくとも 1種の有機化合物: (iii)水: (iv)酸: (V)ドナー数が 25以 上の極性親プロトン性溶媒: (vi)フッ素含有有機化合物: (vii)アンモニアおよび/又はアミ ンの重量比が (i)0. 05〜5mass% : (ii)25〜99. 78mass% : (iii)0. 02〜: I0mass% : (iv)0 〜: 10mass% : (v)0〜50mass% : (vi)0〜70mass% : (vii)0. 05〜10mass%である項 35に 記載の剥離液 (但し、酸、ドナー数が 25以上の極性親プロトン性溶媒およびフッ素含有 有機化合物からなる群から選ばれる少なくとも 1種の合計量は 0. 1〜74. 88mass%であ る)。 項 47. 中性溶媒がアルコール類であり、プロトン供与性溶媒がモノカルボン酸類、ポリ カルボン酸類およびスルホン酸類からなる群力 選ばれる少なくとも 1種であり、ドナ一数 が 24以下の極性非プロトン性溶媒がエステル類、エーテル類、ケトン類および酸無水 物類からなる群力 選ばれる少なくとも 1種である項 40に記載の剥離液。
項 48. (I)中性溶媒のアルコール類がメチルアルコール、エチルアルコール、プロパノ 一ノレ、イソプロパノール, t-ブタノーノレ、ァリルアルコーノレ、エチレングリコーノレ、プロピレン グリコーノレ、エチレングリコーノレモノメチノレエーテノレ、エチレングリコーノレモノェチノレエー テル、エチレングリコールモノプロピルエーテル、エチレングリコーノレモノイソプロピノレエ ーテノレ、エチレングリコールモノブチルエーテル、エチレングリコールモノイソブチルエー テル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノェチルェ一テ ノレ、ジエチレングリコールモノプロピルエーテル、ジエチレングリコールモノイソプロピノレ エーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノインブチ ルエーテル、トリエチレングリコールモノメチルエーテノレ、トリエチレングリコールモノェチ ルエーテル、トリエチレングリコールモノプロピルエーテノレ、トリエチレングリコールモノィ ソプロピルエーテル、トリエチレングリコールモノブチルエーテル、トリエチレングリコール モノイソブチルエーテル、ポリエチレングリコールモノメチルエーテル、プロピレングリコー ノレモノメチルエーテル、プロピレングリコールモノェチルエーテル、プロピレングリコール モノプロピルエーテル、プロピレングリコールモノイソプロピルエーテル、プロピレングリコ ールモノイソブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレング リコールモノメチルエーテル、ジプロピレングリコールモノェチルエーテル、ジプロピレン グリコールモノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテル、トリ プロピレングリコールモノメチルエーテル及びエチレングリコールモノアリルエーテルであ り、(II)プロトン供与性溶媒のモノカルボン酸類がギ酸、酢酸、プロピオン酸、酪酸、イソ酪 酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ酢酸、ジフルォロ酢酸、ト リフルォロ酢酸、ひ一クロ口酪酸、 ^—クロ口酪酸、 クロ口酪酸、乳酸、グリコール酸、 ピルビン酸、グリオキサル酸、メタクリル酸、アクリル酸、ポリカルボン酸が、シユウ酸、コハ ク酸、アジピン酸及びクェン酸であり、スルホン酸類力 Sメタンスルホン酸、ベンゼンスルホ ン酸、トルエンスルホン酸、トリフルォロメタンスルホン酸であり、 (III) ドナー数が 24以下の極性非プロトン性溶媒のエステル類が酢酸メチル、酢酸ェ チル、酢酸プチル、炭酸プロピレン、炭酸エチレン、亜硫酸エチレン、ラタトン、リン酸トリ プチル、リン酸トリメチルであり;エーテル類がジォキサン、トリオキサン及びジグライム、 1,2-ジメトキシェタン、テトラヒドロフラン、ジェチルェ一テル、ジメトキシメタン、ジメトキシ プロパン、ジエトキシメタン、 1,1-ジメトキシェタン、エチレングリコールメチルェチルエー テノレ、エチレングリコールジェチルエーテル、ジエチレングリコールジメチルエーテノレ、ジ エチレングリコ一ノレメチノレエチルエーテノレ、ジエチレングリコー/レジェチノレエーテノレ、 トリ エチレングリコールジメチルエーテル、トリエチレングリコーノレェチルメチルエーテル、トリ エチレングリコ一ルジェチルエーテル、テトラエチレングリコールジメチルエーテル、テト ラエチレングリコールジェチルエーテル、ポリエチレングリコーノレジメチルエーテル、ェチ レングリコールモノメチルエーテルアセテート、エチレングリコールモノェチルエーテルァ セテート、ジエチレングリコールモノメチルエーテルアセテート及びジエチレングリコール モノェチルエーテルアセテートであり;ケトン類がアセトンであり;酸無水物類が無水酢酸 である項 47に記載の剥離楝。
項 49. 酸が塩化水素、臭化水素、ヨウ化水素およびこれらの水溶液、硫酸、硝酸、リン 酸、カルボン酸からなる群より選ばれる少なくとも 1種である項 42、 43, 45または 46に記 載の剥離液。
項 50. フッ素含有有機化合物が CHF2CF20CH2CF3、 CHF2CF20CH4などのフッ素 含有エーテル類、 CH3CC12F、 CC1F2CF2CHC1Fなどのハイド口クロ口フルォロカーボン (HCFC)類である項 42、 43, 45または 46に記載の剥離液。
項 51. ドナー数が 25以上の極性親プロトン性溶媒力 S、ジメチルホルムアミド、ジメチル ァセトアミド、 へキサメチルリン酸トリアミド、 N -メチル -2-ピロリドン、 1,1,3,3-テトラメチル 尿素、 N -メチルプロピオンアミド、ジメチルイミダゾリジノンなどのアミド類であり、ジメチル スルホキシド、スルホラン、ジメチルチオホルムアミド、 N-メチルチオピロリドンなどの硫黄 化合物からなる群から選ばれる少なくとも 1種である項 42、 43、 45または 46に記載の剥 離液。
項 52. プロトン供与性溶媒であるカルボン酸類と、中性溶媒であるアルコール類、ドナ 一数が 24以下の極性非プロトン性溶媒であるエステル類およびエーテル類の群の中か ら選ばれる少なくとも 1種とを含む項 40〜46のいずれかに記載の剥離液。 項 53. カルボン酸が酢酸である項 52に記載の剥離液。
項 54. 中性溶媒であるアルコール類とドナー数が 24以下の極性非プロトン性溶媒エス テル類およびエーテル類の群の中力 選ばれる少なくとも 1種とを含む項 47に記載の 剥離液。
項 55. アルコールがプロパノーノレ、イソプロパノール、 t-ブタノール、ァリルアルコール、 エチレングリコーノレ、エチレングリコーノレモノメチノレエーテノレ、エチレングリコーノレモノェ チルエーテノレ、エチレングリコールモノプロピノレエ一テル、エチレングリコールモノイソプ 口ピルエーテル、エチレングリコーノレモノブチノレエーテル、エチレングリコールモノイソブ チノレエーテ/レ、ジエチレングリコー/レモノメチノレエーテノレ、ジエチレングリコーノレモノェチ ノレエーテル、ジエチレングリコールモノプロピノレエ一テル、ジエチレングリコールモノイソ プロピルエーテル、ジエチレングリコールモノブチルエーテノレ、ジエチレングリコールモノ イソブチルエーテル、トリエチレングリコールモノメチルエーテル、トリエチレングリコール モノェチルエーテノレ、トリエチレングリコールモノプロピノレエ一テル、トリエチレングリコー ルモノイソプロピルエーテル、トリエチレングリコールモノブチルエーテル、トリエチレング リコールモノイソブチルエーテル、ポリエチレングリコールモノメチルエーテル、プロピレン グリコーノレモノメチルエーテノレ、プロピレングリコールモノエチノレエーテノレ、プロピレングリ コールモノプロピルエーテル、プロピレングリコールモノイソプロピルエーテル、プロピレ ングリコールモノイソブチルエーテル、プロピレングリコールモノブチノレエーテル、ジプロ ピレンダリコールモノメチルエーテル、ジプロピレングリコールモノェチルエーテル、ジプ ロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテ ノレ、トリプロピレングリコールモノメチルエーテル及びエチレングリコールモノアリルエーテ ノレからなる群力も選ばれる少なくとも 1種である項 47に記載の剥離液。
項 56. ドナー数が 24以下の極性非プロトン性溶媒であるエステル類およびエーテル 類の中から選ばれる少なくとも 1種とを含む項 40〜46のいずれかに記載の剥離液。 項 57. エステル類が酢酸メチル、酢酸ェチル、酢酸ブチル、炭酸プロピレンおよび炭酸 エチレンであり、エーテル類が 1,2—ジメトキシェタン、テトラヒドロフラン、ジォキサン、トリ ォキサン、ジグライム、エチレングリコールメチルェチルエーテル、エチレングリコールジ ェチノレエーテノレ、ジエチレングリコー/レジメチ /レエーテノレ、ジエチレングリコーノレメチノレエ チルェ一テル、ジエチレングリコールジェチノレエーテノレ、トリエチレングリコーノレジメチル エーテル、トリエチレングリコ一ノレェチルメチルエーテノレ、トリエチレングリコーノレジェチノレ エーテル、テトラエチレングリコールジメチルエーテル、テトラエチレングリコールジェチル エーテノレ、ポリエチレングリコーノレジメチノレエーテノレ、エチレングリコールモノメチノレエー テルアセテート、エチレングリコールモノェチルエーテノレアセテート、ジエチレングリコ一 ノレモノメチルエーテノレアセテート及びジエチレングリコーノレモノエチノレエーテノレアセテー トからなる群より選ばれる少なくとも 1種である項 40〜46のいずれかに記載の剥離液。 項 58. HF、酢酸、水を含み、 HF :酢酸:水の重量比が 0. 05〜5mass% : 85〜99. 9 3mass % 5: 0. 02〜 1 Omass %である項 44に記載の剥離液。
項 59. HF、イソプロパノール、水を含み、 HF :イソプロパノール:水の重量比が 1〜7 mass% : 88〜98. 5mass% : 0. 5〜5mass%である項 44に記載の剥離液。
項 60. HF、酢酸、イソプロパノール、水を含み、 HF :酢酸:イソプロパノール:水の重 量比力 ;0. 05~6mass% : l~98. 93mass%: 1~98. 93mass% : 0. 02〜12mass%で ある項 44に記載の剥離液。
項 61. HF、 1,2 -ジメトキシェタン、水を含み、 HF : 1,2 -ジメトキシェタン:水の重量比が 0.50〜51«355% : 85.00〜99.301&55% : 0.20〜10^1&55%でぁる項44に記載の剥離液 項 62. HF、酢酸メチル、酢酸ェチル、酢酸ブチルの少なくとも 1種、水を含み、 HF : 酢酸メチル、酢酸ェチル、酢酸ブチルの少なくとも 1種:水の重量比が 0.50〜5mass% : 85.00〜99.3Omass%: 0.20〜: 10mass%である項 44に記載の剥離液。
項 63. HF、 1,4_ジォキサン、水を含み、 HF : 1,4-ジォキサン:水の重量比が 0. 50〜5 mass% : 85.00〜99.3mass% : 0.2〜10mass%である項 44に記載の剥離液。
項 64. HF、 1,4-ジォキサンと無水酢酸および酢酸の少なくとも 1種、水を含み、 HF : 1,4-ジォキサンと無水酢酸および酢酸の少なくとも 1種:水の重量比が 0. 50〜6 mass% : 82.00〜99. 30mass% : 0.2〜: I2mass%である項 44に記載の剥離液。
項 65. HF、エチレングリコールモノメチルエーテル、エチレングリコールジェチルエー テル、ジエチレングリコ一ノレメチルェチルエーテル、テトラエチレングリコールジメチルェ 一テル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノアリルエーテ ノレ、ジエチレングリコールモノブチルエーテル、エチレングリコールブチルエーテル、トリ エチレングリコー/レモノブチノレエーテノレ、ジエチレングリコーノレジェチノレエーテノレ、ジェ チレングリコールジメチルエーテル、トリエチレングリコールジメチルエーテル、ジェチレ ングリコールモノイソブチルエーテル、エチレングリコールモノイソブチルエーテル、ェチ レングリコールモノイソプロピルエーテノレ、ジエチレングリコールモノメチルエーテル、ジ プロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、トリ プロピレングリコールモノメチルエーテノレ、エチレングリコールモノェチルエーテル、ポリ エチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、プロ ピレンダリコールモノプロピルエーテル及びエチレングリコールメチルェチルエーテルの 少なくとも 1種、水を含み、 HF :エチレングリコールモノメチルエーテル、エチレングリコ ーノレジェチノレエーテノレ、ジエチレングリコーノレメチノレエチノレエーテノレ、テトラエチレンダリ コールジメチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコール モノァリノレエーテノレ、ジエチレングリコールモノブチルエーテル、エチレングリコーノレブチ ノレエーテノレ、トリエチレングリコールモノブチノレエーテル、ジエチレングリコーノレジェチノレ エーテル、ジエチレングリコールジメチルエーテル、トリエチレングリコールジメチノレエ一 テル、ジエチレングリコールモノイソブチルエーテル、エチレングリコールモノイソブチル エーテル、エチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノメチ ノレエーテル、ジプロピレングリコールモノメチルエーテル、プロピレングリコールモノメチ ノレエーテル、トリプロピレングリコーノレモノメチルエーテル、エチレングリコールモノェチノレ エーテル、ポリエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチル エーテル、プロピレングリコールモノプロピルエーテル及びエチレングリコールメチノレエ チルエーテルの少なくとも 1種:水の重量比が 0. 50〜5mass% : 85.00〜99. 30 mass%: 0.20〜: 10mass%である項 44に記載の剥離液。
項 66. HF、メタンスルホン酸、水を含み、 HF :メタンスルホン酸:水の重量比が 0 mass%を超えて 5mass%以下、:45mass%以上 100mass%未満: 0 mass%を超えて 50mass%以下である項 35に記載の剥離液。
項 67. 項 1または項 35に記載の剥離液を用いてプラズマプロセスによるダメージを受 けた low-k膜を残してエッチング残渣を除去することを特徴とする剥離方法。
項 68. 不活性ガスを混合し、酸素分圧が空気の酸素分圧以下である雰囲気 (実質的に 不活性ガス中)で剥離処理をする項 15または 67に記載の方法。
項 69. 項 15または 67に記載の剥離処理をする方法を施した剥離処理物に対して、不 活性ガスを混合し酸素分圧が空気の酸素分圧以下である雰囲気 (実質的に不活性ガス 中)で、不活性ガスを溶解させ、水中の酸素分圧を飽和溶解した空気の酸素分圧以下に した水を用いて剥離液を取り除くリンス処理をする方法。
項 70. 項 67または 68に記載の剥離方法および項 69に記載のリンス処理方法によって 処理をすることにより得ることができる剥離処理物。
項 71. 項 35に記載の剥離液をビアホール又はキャパシタの洗浄のために使用する項 20に記載のビアホール又はキャパシタの洗浄液。
項 72. 項 71のビアホール又はキャパシタの洗浄液を用いて洗浄する項 29のビアホー ル洗浄方法または項 32に記載のキャパシタ洗浄方法。
項 73. 項 71のビアホール又はキャパシタの洗浄液で洗浄処理することにより得ることが できる洗浄処理物。 本発明は、 low-k膜用のレジスト剥離液及び剥離方法、ビアホール洗浄液及び洗浄方 法並びにキャパシタ洗浄液及び洗浄方法に関する。
本発明の剥離液及び洗浄液は、 有機酸及び有機溶媒からなる群より選ばれる少 なくとも 1種、 並びにフッ化水素 (H F ) を必須成分として含有する糸且成物であ る。
有機酸としては、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カブロン酸、力プリル 酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ酢酸、ジフルォロ酢酸、ト リフルォロ酢酸、 α—クロ口酪酸、 β—クロ口酪酸、 γ—クロ口酪酸、乳酸、グリコール酸、 ピルビン酸、ダリオキサル酸、アクリル酸等のモノカルボン酸;メタンスルホン酸、トルエン スルホン酸等のスルホン酸;シユウ酸、コハク酸、アジピン酸、酒石酸、クェン酸等のポリ カルボン酸が挙げられる。これら有機酸の中でも、酢酸が特に好ましい。
有機溶媒としては、メタノーノレ、エタノール、イソプロパノール(ΙΡΑ)、 1—プロパノール、 1—ブタノール、 2—ブタノール、 t—ブタノール、 2—メチル一1—プロパノール、 1—ペン タノ一ノレ、 1—へキサノール、 1—ヘプタノール、 4—ヘプタノール、 1ーォクタノール、 1— ノニルァノレコール、 1ーデカノ一ル、 1—ドデカノール、ラウリルァノレコーノレ、シクロへキサ ノールなどの一価アルコール類;
エチレングリコーノレ、ジエチレングリコ一ノレ、 1 , 2—プロパンジォーノレ、プロピレングリコ ール、 2, 3—ブタンジオール、グリセリンなどのポリオール類; アセトン、ァセチルアセトン、メチルェチルケトン、メチルイソプチルケトン、シクロへキサ ノン、ジェチルケトン、ジイソプチルケトン等のケトン類;
N-メチルホルムアミド、 Ν,Ν-ジメチルホルムアミド、 Ν-メチルァセトアミド、 Ν,Ν -ジメチル ァセトアミド等のアミド類;
ァセトニトリル、プロピオ二トリル、ブチロニトリル、イソブチロニトリル、ベンゾニトリル等の 二トリル類;
ホルムアルデヒド、ァセトアルデヒド、プロピオンアルデヒドなどのアルデヒド類; エチレングリコールモノメチルエーテル、エチレングリコーノレモノェチノレエーテルなどの アルキレングリコールモノアルキルエーテル;
テトラヒドロフラン、ジォキサン、ジイソプロピルエーテル、ジブチルエーテル、テトラヒドロ ピラン、ァニソール、 1, 2—ジメトキシェタン、ジエチレングリコールジメチルエーテル等の エーテル類;
酢酸メチル、酢酸ェチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチル、酢酸イソブチ ノレ、酢酸ペンチル、酢酸へキシル、プロピオン酸メチル、プロピオン酸ェチル、プロピオン 酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、 プロピオン酸ペンチル、プロピオン酸へキシル、酪酸メチル、酪酸ェチル、酪酸プロピル、 酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、酪酸へキシル、イソ酪 酸メチル、イソ酪酸ェチル、イソ酪酸プロピル、イソ酪酸イソプロピル、イソ酪酸プチル、ィ ソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸へキシル、吉草酸メチル、吉草酸ェチル、 吉草酸プロピル、吉草酸イソプロピル、吉草酸ブチル、吉草酸イソプチル、吉草酸ペンチ ル、吉草酸へキシル、イソ吉草酸メチル、イソ吉草酸ェチル、イソ吉草酸プロピル、イソ吉 草酸イソプロピル、イソ吉草酸プチル、イソ吉草酸イソプチル、イソ吉草酸ペンチル、イソ 吉草酸へキシル、力プロン酸メチル、力プロン酸ェチル、カプロン酸プロピル、カプロン酸 イソプロピノレ、力プロン酸ブチル、カプロン酸イソブチル、カプロン酸ペンチル、カプロン 酸へキシル、カプリル酸メチル、カプリル酸ェチル、力プリル酸プロピル、力プリル酸イソ プロピル、カプリル酸ブチル、力プリル酸イソブチル、力プリル酸ペンチル、力プリル酸へ キシル、オクタン酸メチル、オクタン酸ェチル、オクタン酸プロピル、オクタン酸イソプロピ ノレ、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、オクタン酸へキシル、 ノナン酸メチル、ノナン酸ェチル、ノナン酸プロピル、ノナン酸イソプロピル、ノナン酸ブチ ル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸へキシル、デカン酸メチル、デカン 酸ェチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチル、デカン酸イソブチ ル、デカン酸ペンチル、デカン酸へキシル、ドデカン酸メチル、ドデカン酸ェチル、ドデカ ン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカン酸イソブチル、ドデカ ン酸ペンチル、ドデカン酸へキシル、ラウリル酸メチル、ラウリル酸ェチル、ラウリル酸プロ ピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブチル、ラウリル酸ペンチ ル、ラウリル酸へキシル、アクリル酸メチル、アタリノレ酸ェチル、アクリル酸プロピル、アタリ ル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、アクリル酸ペンチル、アタリ ル酸へキシル、シユウ酸モノメチル、シユウ酸ジメチル、シユウ酸モノェチル、シユウ酸ジェ チル、シユウ酸モノプロピル、シユウ酸ジプロピル、シユウ酸モノブチル、シユウ酸ジブチル、 コハク酸モノメチル、コハク酸ジメチル、コハク酸モノエチル、コハク酸ジェチル、コノ、ク酸 モノプロピノレ、コハク酸ジプロピル、コハク酸モノブチル、コハク酸ジブチル、アジピン酸 モノメチノレ、アジピン酸ジメチル、アジピン酸モノエチル、アジピン酸ジェチル、アジピン 酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、アジピン酸ジブチル、酒 石酸モノメチル、酒石酸ジメチル、酒石酸モノェチル、酒石酸ジェチル、酒石酸モノプロ ピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、クェン酸モノメチル、タエ ン酸ジメチル、タエン酸モノエチル、クェン酸ジェチル、クェン酸モノプロピル、クェン酸 ジプロピル、クェン酸モノブチル、クェン酸ジブチル、フタル酸ジメチル、フタル酸ジェチ ノレ、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、フタル酸ジへキシル、 フタル酸ジヘプチル、フタル酸ジォクチル、フタル酸ジノエル、フタル酸ジデシル、フタル 酸ジドデシノレ、テレフタル酸ジメチル、テレフタル酸ジェチル、テレフタル酸ジプロピル、 テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジへキシル、テレフタル酸 ジヘプチル、テレフタル酸ジォクチル、テレフタル酸ジノエル、テレフタル酸ジデシル、テ レフタル酸ジドデシル、炭酸プロピレン、 γ -ブチロラタトン等のエステル類;
へキサン、シクロへキサン、オクタン、イソオクタン、ベンゼン、トルエンなどの炭化水素 類;
クロロホノレム、 0-ジクロロベンゼン、ノヽ0—フロロへキサン、ノヽ0—フロロメチノレシクロへキサ ンなどのハロゲン化合物類; トリフルォロエタノーノレ (例えば CF3CH20H)、ペンタフルォロプロパノール(例えば CF3CF2CH20H)、 2,2,3,3-テトラフルォロプロパノール等のフッ素アルコール;
無水酢酸、ジメチルスルホキシド、スルホラン、ニトロメタン;
テトラメチル尿素、 N—メチルー 2—ピロリドンなどの含窒素有機溶媒;
リン酸ジメチル、リン酸ジブチル、リン酸ジフヱニル、リン酸ジベンジル、リン酸トリメチル、 リン酸トリェチル、リン酸トリプロピル、リン酸トリブチル、リン酸トリフヱニルなどのリン酸ェ ステル系溶媒等が挙げられる。
これら有機溶媒の中でも、イソプロパノール(IPA)、 1—プロパノール、 1—ブタノ一ル、 2—ブタノール、 t—ブタノール、 2—メチル _ 1 _プロパノール、 1—ペンタノ一ル、 1—へ キサノーノレ、エチレングリコール、ジエチレングリコール、 1, 2—プロパンジオール、シク 口へキサノール、プロピレングリコール、グリセリン、ジブチルエーテル、 N-メチルホルムァ ミド、 N,N-ジメチルホルムアミド、 N-メチルァセトアミド、 N,N-ジメチルァセトアミド、 N-メチ ル -2-ピロリドン、テトラヒドロフラン、ジォキサン、酢酸メチル、酢酸ェチル、酢酸プロピル、 酢酸イソプロピル、酢酸プチル、酢酸イソブチル、酢酸ペンチル、酢酸へキシル、ジメチ ルスルホキシド、スルホラン、オクタン、シクロへキサン、ベンゼン、トルエンが好ましぐ IP A、へキサノール、シクロへキサノール、ラウリルァノレコーノレ、プロピレングリコール、ジェ チレングリコール、エチレングリコール、グリセリン、ジブチルエーテル、酢酸ブチル、ォク タン、シクロへキサン、ベンゼン、トルエンがより好ましレ、。なお、メタノール、エタノールは Cuなどの配線材料を腐食しなレ、量でさらに配合することができる。
本発明の剥離液を超音波洗浄用として用レ、る場合には、有機溶媒としては、エステル 類、ケトン類、 1価アルコール類、多価アルコール類、アミド類、エーテル類、アルキレング リコールモノアルキルエーテル、リン酸エステル、含窒素化合物からなる群より選ばれる少 なくとも 1種が好ましい。
有機酸又は有機溶媒は、レジストの剥離性、ビアホール及びコンタクトホールの洗浄性 の点から、 SP値が 7〜: 17程度のものが好ましぐ 8〜: 17程度のものがより好ましぐ 9〜1 7程度のものがさらに好ましレ、。
本発明の剥離液及び洗浄液において、 HFの含有量は、他の成分の種類に応じて適 宜設定することができ特に限定されるものではないが、通常、剥離液及び洗浄液全量に 基づレ、て (以下、各成分の含有量にっレ、ては同様とする)、有機酸を含む場合 (有機酸 を含み有機溶媒を含まない場合、並びに、有機酸及び有機溶媒を含む場合)には 0. 0 l〜5mass%程度、好ましくは 0. 05〜3mass%程度、さらに好ましくは 0.:!〜 lmass%程 度であり、有機酸を含まない場合 (有機溶媒を含み、有機酸を含まない場合)には 0. 01 〜10mass%程度、好ましくは 0. 05〜5mass%程度、さらに好ましくは 0.:!〜 3mass%程 度である。
剥離液及び洗浄液が水を含有する場合の水の含有量は、 70mass%以下程度、好まし くは 50mass%以下程度、より好ましくは 5mass%以下程度である。
有機酸及び有機溶媒からなる群から選ばれる少なくとも 1種の含有量は、 30〜99. 99 mass%程度、好ましくは 50〜99. 9mass%程度、より好ましくは 90〜99. 9mass%程度、 さらに好ましくは 95〜99. 9mass%程度である。
HFとしては、希フッ酸(50mass%水溶液)を通常用いる力 水を含まない場合には、 1 00%HFを用いることもできる。
本発明の好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。
なお、本明細書において特に断らなければ、「HF」の配合量は無水 HFの配合量を意 味する。
HF:有機酸:水 =0.01〜5mass%:49〜99.9mass%:0〜50mass%
HF:有機溶媒:水二 0.01〜10mass%:49〜99.9mass%:0〜50mass%
本発明のより好ましい剥離液及び洗浄液並びにその配合比を以下に示す。
1_^:酢酸:水=0.05〜51^55%:90〜99.9501&55%:0〜51¾&55%
-HF: IPA:水 =0.1〜10massQ/。:80〜99.9mass%:0〜10mass%
HF: へキサノ一ノレ:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
HF:ラウリルアルコール:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
HF:プロピレングリコーノレ:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
HF:ジエチレングリコーノレ:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
-HF:エチレングリコーノレ:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
HF:グリセリン:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
HF:シクロへキサノーノレ:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
HF:ジブチルエーテノレ:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
HF:酢酸ブチノレ:水=0.1〜1001&55%:80〜99.901&55%:0〜1001&55% •HF:オクタン:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
•HF:シクロへキサン:水 =0.1〜10mass%:80〜99.9mass%:0〜10mass%
•HF:べンゼン:水=0.1〜100½55%:80〜99.901&55%:0〜101^55%
• HF:トルエン:水 =0.1〜 10mass%:80〜99.9mass%:0〜 10mass%。
本発明のさらに好ましい剥離液及び洗浄液並びにその配合比を以下に示す。
•HF:酢酸:水 =0.1〜3mass%:94〜99.99mass%:0〜3mass%
•HF: IPA K=0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:へキサノーノレ:水=0.1〜50½33%:90〜99.901&55%:0〜5111&55%
•HF:ラウリノレアルコ一ノレ:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
.HF:プロピレングリコール:水=0.1〜501&55%:90〜99.901&53%:0〜5^1&53%
•HF:ジエチレングリコーノレ:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:ェチレングリコール:水=0.1〜51^35%:90〜99.90^55%:0〜51^55%
•HF:グリセリン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:シクロへキサノール:水=0.1〜51^55%:90〜99.901&55%:0〜5111&53%
-HF:ジブチルェーテル:水=0.1〜5111&55%:90〜99.9111&35%:0〜501&35%
•HF:酢酸ブチノレ:水=0.1〜501&55%:90〜99.901335%:0〜501&35%
•HF:オクタン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:シクロへキサン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%
•HF:べンゼン:水=0.1〜5111&55%:90〜99.901&35%:0〜51^55%
-HF:トルエン:水 =0.1〜5mass%:90〜99.9mass%:0〜5mass%。
本発明の剥離液又は洗浄液は、超音波洗浄に用いることができる力 その場合には、 さらに、アンモニア及びアミンからなる群より選ばれる少なくとも 1種を含む組成物である ことが好ましい。
ァミンとしては、ヒドロキシノレアミン類、アルカノールァミン、 NR3で表される第一級,第二 級、第三級ァミン、脂環式ァミン、複素環式ァミンなどが挙げられる。
具体的には、ヒドロキシノレアミン、 N, N—ジェチルヒドロキシルァミン、などのヒドロキシ ルァミン類が挙げられる。
アルカノールァミンとしては、モノエタノールァミン、ジェタノ一ルァミン、トリエタノールァ ミンが挙げられる。 NR3において、 3つの Rは、同一又は異なって、フッ素原子で置換されていてもよい炭 化水素基、又は水素原子である。ただし、 3つの Rがいずれも水素原子である場合は除 <0
フッ素原子で置換されていてもよい炭化水素基としては、直鎖若しくは分岐を有する 5 炭素数 1〜18、好ましくは 1〜: 12のアルキル基、フッ素原子で置換されていてもよいフエ ニル基などが挙げられる。これらの中でも、置換されていない炭素数 1〜18のアルキル 基が好ましい。
NR3で表される化合物としては、具体的には、脂肪族ァミン、例えばメチルァミン、ェチ ルァミンなどの第 1級ァミン;ジメチルァミン、ジェチルァミン、 などの第 2級ァミン;ト 10 リメチノレアミン、トリェチルァミンなどの第 3級ァミン、が挙げられる。芳香族ァミンとしては、 ァ-リン、メチルァニリンなどが挙げられる。
また、シクロへキシルァミン、ジシクロへキシルァミンなどの脂環式ァミン;ピロール、ピロ リジン、ピロリドン、ピリジン、モルホリン、ピラジン、ピぺリジン、 N—ヒドロキシェチルピペリ ジン、ォキサゾール、チアゾールなどの複素環式ァミンが挙げられる。
15 アンモニア及び/又はアミンを含む場合、液を混合することによりフッ化水素酸とアン モニァ及び/又はァミンの 1対 1、又は 1対 2の塩を形成する。本発明の剥離液又は洗浄 液では、フッ化水素酸とアンモニア及び/又はァミンが塩として存在してレ、てもよレ、。
アンモニア及び/又はアミンを含む場合、その配合量は、モル比でフッ化水素酸より少 ない方が好ましぐ例えば、上記の 2成分を含有する剥離液又は洗浄液において、フッ 20 化水素酸とアンモニア及びノ又はァミンのモル比力 フッ化水素酸:アンモニア及び
又はアミン = 1 : 0. 01〜: 1 : 1モル程度である。
さらにアミンを含む組成物の場合の好ましい剥離液及び洗浄液並びにその配合比の 一例を以下に示す。
•HF:アンモニア及び 又はァミン:有機溶媒:水 =0.01〜10mass%:0.01〜30mass%:49〜 25 99.9mass%:0〜50mass% 本発明の剥離液及び洗浄液は、ァニオン系、カチオン系及び 非イオン系界面活性剤力 なる群より選ばれる少なくとも 1種の界面活性剤を含有してい てもよい。界面活性剤の含有量は、本発明所期の効果が発揮される限り特に限定される ものではないが、通常、 0.0001〜10mass%程度であり、 0.001〜5mass%程度が好ましぐ 特に 0.01〜lmass%程度が好ましい。 また、レジスト剥離液として用レ、る場合には、 SiN膜を 1 A以上エッチングすることがで きるような組成物であることが好ましぐ洗浄液の場合は TiN膜を 0.01 A以上エッチング することができるような組成物であることが好ましい。
本発明の剥離液は、 low- k膜のエッチングに用いるレジスト(ネガ型及びポジ型レジスト を含む)レジスト変質物に有利に使用できる。
本発明の方法で剥離の対象とするレジストは、 KrF (クリプトンエフ)、 ArF、 F2レジストな どの公知のレジストを、 C4F8などエッチングガスでエッチング処理した後のレジストである。 本明細書において、「レジスト」には、エッチング工程によりレジストの表面の一部又は 全て変質したものも含まれ、本発明のレジスト剥離液は、このようなレジストの変質物を剥 離することもできる。
エッチング工程によりエッチングガスが重合して生じたポリマー力 エッチングにより形 成された溝乃至穴の中に存在する場合には、レジストを剥離する際に同時にこのポリマ 一を剥離していてもよい。
本明細書において、 low- k膜とは、 02プラズマアツシングによりダメージを受けるようなも のを示し、具体的には、比誘電率が、 1より大きぐ 4以下程度、好ましくは 3以下程度、よ り好ましくは 2. 8以下程度、さらに好ましくは 2. 6以下程度の絶縁膜を意味する。 low-k 膜としては、例えば、 Black Diamond (商品名、アプライドマテリアルズ社製)、コーラル(商 品名、 Novellus社製)、 LKDシリーズ (商品名、 JSR社製)、オーロラ(商品名、 ASM社 製)、 HSGシリーズ(商品名、 日立化成社製)、 Nanoglass (商品名、 Honewell社製)、 IPS (商品名、触媒化成社製)、 Z3 (商品名、 Dow Corning社製)、 XLK (商品名、 Dow Corning社製)、 FOx (商品名、 Dow Corning社製)などが挙げられる。
前記レジストとしては、 KrF (クリプトンエフ)、 ArF、 F2レジスト等が挙げられる力 これに 限定されるものではない。
本発明の方法では、例えば、半導体基板(例えば、 SiN,銅、 TaN、 SiCなど)上に low-k 膜を形成、次いでレジストを形成、その後フォトリソグラフィ一によりパターンを形成、当該 ノ、ターンに従って low-k膜をエッチングした後、本発明の剥離液に接触させることにより レジスト(レジストの変質物を含む)を剥離(除去)することができる。従って、本発明の剥 離液は、 low-k膜及びレジストに穴もしくは溝が開き、レジスト(レジストの変質物を含む) が付着した状態のものを被処理物として、当該レジスト(及びレジストの変質物)を剥離す る液である。なお、エッチングにより得られた low- k膜の穴の壁面及び/又は底面にポリ マ一(エッチングガスの重合物)が付着したものであってもよい。
基板上に low- k膜を形成した後には、必要に応じて low-k膜上に SiN、 SiC、 TaN膜な どを形成し、該 SiN、 SiC、 TaN膜などを low- k膜と共にエッチングすることもできる。
また、レジストの表面上には、反射防止膜を形成することができ、これら反射防止膜は、 レジストと共に剥離することができる。
low-k膜及びレジストは、通常、それぞれ 0. 01〜2 μ πι程度、 0. 001 -0. 2 μ πι程度、 0. 01〜: 10 m程度の厚みを有している。また、必要に応じて形成される SiN膜、 SiC膜、 Ta 膜、反射防止膜なども、通常、それぞれ 0. 01〜2 μ πι程度、 0. 001 -0. 2 μ πι程 度、 0. 01〜10 i m、 0. 01〜0.: I m程度の厚みを有してレ、る。
本発明の方法では、エッチング後、本発明の剥離液に接触させる前に、必要に応じて、 実質的に low-k膜にダメージを与えない程度に、軽い 02プラズマアツシング (例えば、 軽い 02プラズマアツシング前後の比誘電率の変化が、好ましくは 20%以下程度、より好 ましくは 10%以下程度、さらに好ましくは 5%以下程度にアツシング)もしくは軽い H2ブラ ズマアツシングをしてもょレ、。前処理として軽レ、 02プラズマアツシングゃ軽レ、 H2プラズマ アツシングを行う場合には、同じ剥離液を用いた場合であっても、エッチング後直接レジ ストを剥離する場合とは温度、時間などの最適条件が異なる場合がある。
本発明の剥離液を用いたレジストの剥離方法は、レジスト(レジスト変質物を含む)を除 去でき、且つ、 low- k膜に実質的にダメージを与えない程度の温度及び時間で行うもの である。 low-k膜に実質的にダメージを与えなレ、とは、剥離液を用いた処理前後の low-k 膜の物性が、例えば半導体基板に用いられたときにその性能に影響を与えない程度し か変化していないこと、例えば、レジストと low_k膜の界面において実質的に low-k膜を 侵す (エッチングする)ことなぐ被処理物の膜の積層方向の断面形状を実質的に変化さ せないようなもの、或いは、剥離液を用いた処理前後に low- k膜の比誘電率が実質的に 変化しないことをいう。実質的に low-k膜をエッチングしないとは、 low-k膜のエッチング 量力 好ましくは 200nm以下程度、より好ましくは lOOnm以下程度、さらに好ましくは 50 nm以下程度であることをいう。剥離液を用いた処理前後の low- k膜の比誘電率が実質 的に変化しないとは、比誘電率の変化が、好ましくは 20%以下程度、より好ましくは 10% 以下程度、さらに好ましくは 5%以下程度であることをいう。 剥離液での処理は、例えば、エッチング後の基板を被処理物として本発明の剥離液に 浸漬することにより行うことができる。剥離液への浸漬条件は、レジストが剥離でき、 low-k 膜に実質的にダメージを与えなければ特に限定されることはなぐ剥離液の種類や温度 に応じて適宜設定することができる。例えば、剥離液の液温が 15〜60°C程度であれば、 0.1-30分間程度、好ましくは 0.5〜20分間程度浸漬すればよい。より具体的には、 HF: 酢酸:水 =0.05〜lmass%:98〜99.5mass°/。:0〜lmass%である剥離液の場合には、液温が 23°C程度であれば、 0.1〜20分間程度浸漬させればよい。 HF:ェチルァミン:エチレング リコーノレ:水 =0.05〜2mass%:0.01〜2mass%:94〜99.5mass%:0〜2mass¾TCある剥離液の場 合には、 0.1-20分間程度浸漬させればよい。
また、剥離液を被処理物に接触させればレジストの剥離を行うことができるので、例え ば、被処理物を回転させながらその上力 液を供給して洗浄してもよいし、被処理物に 組成物をスプレーで吹付け続けて洗浄してもよい。
本発明の剥離液での処理は、レジストの種類やエッチングなどの条件によりレジストが 剥離しにくい場合、例えば被処理物を剥離液に浸漬して超音波洗浄を行ってもよい。 超音波洗浄を行う際の条件は、レジストが剥離すればよぐ特に限定されるものではな いが、通常 23°C程度であれば、 20〜: !200kHz,50〜3000Wにて、 0.1〜20分間程度 である。
ょり具体的には、HF:酢酸:水=0.05〜lmass%:98〜99.5mass%:0〜lmass%でぁる剥離液 の場合には、液温が 23°C程度であれば、 20〜: 1200kHz,50〜3000にて、 0.1〜20分間 程度、 HF:ェチルァミン:エチレングリコーノレ:水 =0.05〜2mass%:0.05〜2mass%:94〜
99.5mass%:0〜2mass%である剥離液の場合には、液温が 23°C程度であれば、 20〜: 1200 kHz,50〜3000Wにて、 0.1〜20分間程度である。
本発明剥離液を用いてレジストの剥離を行った半導体基板は、例えば、銅やアルミ配 線をするなど、慣用されてレ、る方法 (例えば、詳説半導体 CMP技術、土肥俊郎 編著 2 001年 に記載された方法)に従って、様々な種類の半導体装置へと加工することができ る。
本発明の洗浄液は、ドライエッチングに由来するチタン化合物(例えば、フッ化チタン、 酸化チタンなど)及び 又はポリマーの洗浄性を有している。従って、本発明洗浄液は、 例えば、半導体製造プロセスにおいて、ドライエッチング処理後に、ビアホールなどの側 壁及び/又は底面に残存するフッ化チタン、ポリマーなどを剥離してビアホールを洗浄 することを目的として、即ち、ビアホール洗浄液として用いることができる。例えば、本発 明の洗浄液は、ビアホールなどを形成工程で発生したチタン化合物及び z又はポリマー の剥離してビアホールなどを洗浄するのに用いることができる。本発明の洗浄液によれば、 ビアホールを低温且つ短時間で洗浄することが可能である。
さらに、本発明剥離液は、ドライエッチングに由来するレジスト残渣、チタン化合物、ポリ マ一などを洗浄することができる。従って、本発明剥離液は、例えば、半導体製造プロセ スにおいて、メタルキャパシタ上部又は下部電極メタル膜 (TiN、 Tiなど)のドライエツチン グ処理 (及びアツシング処理)後の、レジスト残渣、ポリマー、チタン化合物を剥離してキヤ パシタを洗浄することを目的として、即ち、キャパシタ洗浄液として用レ、ることができる。例 えば、本発明の洗浄液は、メタルキャパシタなど形成工程で発生し、上部又は下部電極 の側壁、底面及ぴ表面からなる群より選ばれる少なくとも 1種に付着したレジスト残渣、チ タンィ匕合物及びポリマーからなる群より選ばれる少なくとも 1種を剥離してキャパシタを洗 浄することに用レ、ることができる。
なお、チタンィ匕合物は、半導体の製造のエッチング工程において、ドライエッチングガス としてフッ素を含むガス (例えば、 C4F8など)を用レ、、基板などにチタンを含むもの(例えば、 TiNなど)が用いられてレ、る場合に発生する。また、本発明において、「ポリマー」は、エツ チングの際にエッチングガスが重合したものをいう。さらに、本発明において、「レジスト残 渣」はエッチング工程後のアツシングにより発生するレジストのカスをレ、う。
本発明の洗浄液を用いた処理は、被処理物(例えばビアホール、メタルキャパシタ下部 又は上部電極からなる群より選ばれる少なくとも 1種を形成した半導体基板であって、ビ ァホールの側壁及びノ又は底面上にポリマー及び Z又はチタンィヒ合物が付着している 基板やメタルキャパシタ上部又は下部電極メタル膜 (TiN、 Tiなど)にレジスト残渣及び/ 又はポリマー及び 又はチタン化合物が付着している基板を洗浄液に浸漬することによ り行うことができる。浸漬の条件は、洗浄液の種類に応じて適宜設定することができるが、 例えば 15〜60°C程度、好ましくは室温程度で 0.:!〜 20分間程度処理することにより行う ことができる。この場合、洗浄液を被処理物に接触させればよく、例えば、被処理物を回 転させながらその上から洗浄液を供給して洗浄してもよいし、被処理物に洗浄液をスプレ 一で吹付け続けて処理してもよい。 本発明の洗浄液での処理は、エッチングなどの条件により洗浄しにくいポリマ一が生じ た場合など、例えば被処理物を洗浄液に浸漬して超音波洗浄を行ってもよい。その際の 条件は、特に限定されるものではないが、剥離液の液温が 15〜60°C程度であれば、 20 〜: ! 200kHz,50〜3000Wにて、 0.1〜30分間程度である。
ょり具体的には、^^:酢酸:水=0.05〜101&33%:98〜99.501&55%:0〜101&53%でぁる剥離液 の場合には、液温が 23°C程度であれば、 20〜1200kHz,50〜3000Wにて、 0.5〜20分 間程度 HF:ァミン又はアンモニア:エチレングリコーノレ:水 =0.05〜2mass%:0.05〜
2mass%:94〜99.5mass%:0〜2mass%である剥離液の場合には、液温が 23°C程度であれば、 20〜: 1200kHz,50〜3000Wにて、 0.5〜20分間程度である。
本発明組成物を用いてビアホール、キャパシタなどの洗浄を行った半導体基板は、慣 用されている方法(例えば、 Atlas of IC Technologies : An Introduction to VLSI Processes by W. Maly, 1987 by The Benjamin/Cummings Publ ishing Company Inc. に記載された方法)に従って、様々な種類の半導体装置へと加工することができる。 さらに、本発明では、有機酸および有機溶媒のうち、中性溶媒、プロトン供与性溶媒、 ドナー数が 24以下の極性非プロトン性溶媒力 なる群より選ばれる少なくとも 1種、並び にフッ化水素 (HF)を必須成分として含有する組成物に low-k膜用のレジスト、反射防止 膜、埋め込み材およびこれらを含むエッチング残渣の剥離液、ビアホール洗浄液及びキ ャパシタ洗浄液として特に有効な効果がある。
本発明の剥離液は、ドライエッチング後のレジストなどを含めたエッチング残渣を剥離 する処理工程において、
(1)絶縁膜バリアのエッチング量が 1 A以上 200 A以下、 (2) low-k膜のエッチング量が 1 A以上 200A以下、(3) Cuのエッチング速度が 5 A/min以下である条件でエッチング 残渣を除去するための組成物である。この組成物を用いた剥離の処理時間は、 0. 1分 から 120分、特に 1分から 60分である。絶縁膜バリアおよび low-k膜のエッチング量は、 処理時間に合わせて上記範囲にコントロールされる。
絶縁膜バリアには、処理時間の経過とともに、エッチングが進行しつづける膜とエッチ ングが停止する傾向を示す膜の 2種類がある。エッチングが進行しつづける膜は
SiN, Si02, SiOCなどであり、エッチングが停止する傾向を示す膜としては SiC, SiCNなど 力 sある。 エッチングが進行しつづける SiC, SiCNなどの絶縁膜ノくリアのエッチング量が 5 Aであ るときの low- k膜のエッチング量は 5〜: !90A、Cuのエッチング量は 0. 02〜2 Aである。
SiN, Si02, SiOCなどのエッチングが進行しつづける絶縁膜バリアのエッチング量が 5 O Aであるときの low- k膜のエッチング量は 35〜195 A、 Cuのエッチング量は 0. 02〜2 Aである。
絶縁膜バリアとは、 半導体デバイスの配線工程における Cu/low- k 多層配線構 造を製作する際に、 (l) low_k 膜をパターユング用のハードマスク、 (2)銅の拡散 防止のためのバリア、 (3) low- k膜のエッチングを防止するためのエッチストツパ、 (4) low-k膜の保護と下地への密着性の向上、 (5) 銅の CMP工程における low- k膜 の保護(キャップ膜)などのために使用される絶縁膜である。 これらの機能を持ち, low-k膜の比誘電率を損なうことがないよう、 絶縁膜バリアの比誘電率も小さい ことが望まれている。 絶縁膜バリアとしては、 窒化珪素(SiN)、 炭化珪素 (SiC)、 炭化窒化珪素 (SiCN)などのシリコン (Si)含有化合物があげられる。
low-k膜とは既に説明した膜に加'えて、 Orion (商品名 Tricon社製)などの比誘 電率が 2. 4 以下の新たに形成されるようになった膜があげられる。 Low- k膜は主 に塗布と有機プラズマ CVD により生成される。 塗布の場合は原料固有の膜の名称 がつけられ、 有機プラズマ CVD の場合は原料と装置により固有の膜の名称がつけ られる。 Or ionなども有機プラズマ CVD膜のひとつである。
本発明の剥離液はフッ化水素と中性溶媒、プロトン供与性溶媒、ドナー数が 24以下 の極性非プロトン性溶媒の少なくとも 1種の溶液からなり、配線材料である銅などの金属、 絶縁膜バリアや low-k膜、レジスト、反射防止膜、埋め込み材およびこれらを含むエッチ ング残渣のエッチングをコントロールすることが可能である。すなわち、本発明の剥離液 は以下の特徴をもつ。 (1)銅などの金属の腐食を抑制し、(2)絶縁膜バリアを選択的にェ ツチングして絶縁膜バリアや low- k膜とエッチング残渣との界面を剥離して分離させ、 (3) エッチング残渣中のシリコン窒化物(SiN)などを選択的に溶解させること及び (4)レジ スト、反射防止膜およびこれらを含むエッチング残渣の有機成分を有機溶媒により溶解 させることによってレジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残 渣を除去することができる。エッチング残渣とは、レジスト、反射防止膜、埋め込み材など の半導体デバイス製作に使用する補助材料及びドライエッチングやドライエッチング後 のアツシングのプロセスにおいて発生する反応生成物やスパッタリング物などの付着物 や堆積物および取り除くことが必要とされる残留物である。
ここでレ、う付着物や堆積物とは、エッチングガスプラズマ自体から発生するフルォロカ 一ボン含有ポリマーなどの物質、レジスト、反射防止膜、埋め込み材、絶縁膜バリア、 low-k膜、配線材料である金属などのデバイスの構成材料がエッチングの際にプラズマ に暴露されることにより反応によって生成される物質およびプラズマ中のイオンによりスパ ッタリングされた際に発生した物質などが付着や堆積したものである。取り除くことが必要 とされる残留物とは、エッチングおよびアツシングにより変質した部分を含むレジスト、反 射防止膜及び埋め込み材などを含む除去すべき対象物であり、エッチング後、次のェ 程に不要であるものを示す。本発明の剥離液を用いた剥離および洗浄の処理時間は、 0. 1分から 120分である。処理時間は通常、処理方法により異なる。枚葉式の装置では 10分以内ノ ノチ式の装置では 60分以内などと装置と処理液の効果により決められる。 本発明の剥離液では、 0. 1分という短時間から 120分までの長時間での処理に対応す る。
絶縁膜バリア、 low-k膜のエッチング量が少ないとエッチング残渣が剥離しにくくなり、 エッチング量が多レ、と設計寸法よりも大きいパターンとなり不具合を生じる。さらに、 low - k膜のエッチング量に対する絶縁膜バリアのエッチング量の比は 0. 3以上であることが 好ましレ、。この比は 1. 0以上であることがさらに好ましレ、。このエッチングの比が小さいと low-k膜の方が絶縁膜バリアより多くエッチングされ、絶縁膜バリアと low-k膜境界に段 差が生じる。この段差はノくリアメタルの埋め込みや配線材料である銅の埋め込みの際に low-k膜が絶縁膜バリアの陰に隠れるかたちとなり、これらの埋め込みが不完全になり不 良の原因となる。
シリコン (Si)を含有した low- k膜のドライエッチングの際に、窒素を含むガスあるいは エッチングガスと窒素との混合ガスをエッチングガスとして用いた場合、 low-k膜の成分 の珪素と窒素が反応して窒化珪素(SiN)に組成が似た Si-N結合を有する化合物が生 成し、これを含んだエッチング残渣が存在する。また、エッチング残渣を、窒素を含んだ ガスによりアツシングすると同様に low-k膜の成分の珪素と窒素が反応して窒化珪素 (SiN)に組成が似た Si-N結合を有する化合物を生成する。フッ化水素を含んだ本発明 の剥離液では、この Si-N結合を含むエッチング残渣を有効に選択的に除去できる。ま た、エッチング後、この残渣をプラズマ処理して灰化あるいは反応などにより一部除去す るアツシング工程後のエッチング残渣も選択的に除去可能である。ここでのプラズマ処理 には、酸素、水素、窒素、ヘリウム、アルゴン、ネオン、クリプトン、キセノンなどの希ガス、 水、アルコールなどプラズマが使用される。
有機酸および有機溶媒としては、中性溶媒、プロトン供与性溶媒、ドナー数が 24以 下の極性非プロトン性溶媒からなる群より選ばれる少なくとも 1種が挙げられる。中性溶 媒、プロトン供与性溶媒は両性溶媒として分類され、ドナー数が 24以下の極性非プロト ン性溶媒は非プロトン性溶媒として一般に分類されている。非プロトン性溶媒のうちで双 極子モーメント、比誘電率が比較的大きい溶媒が極性非プロトン性溶媒とよばれ、これ以 外の双極子モーメントと比誘電率が非常に小さ 酸性、塩基性も非常に弱い溶媒は不 活性溶媒と呼ばれる。極性非プロトン性溶媒は、水よりも塩基性の強レ、ものは極性親プ 口トン性溶媒、水よりも塩基性の弱レ、ものは極性疎プロトン性溶媒にさらに分類できる。 中性溶媒はアルコール類が好ましぐプロトン供与性溶媒はモノカルボン酸類、ポリ力 ルボン酸類およびスルホン酸類が好ましぐドナー数が 24以下の極性非プロトン性溶媒 はエステル類、エーテル類、、ケトン類および酸無水物類が好ましい。
(I)中性溶媒の (1)アルコール類はメチルアルコール、ェチルアルコーノレ、プロパノール、ィ ソプロパノーノレ, t-ブタノール、ァリルアルコール、エチレングリコール、プ t2ピレングリコー ノレ、エチレングリコーノレモノメチノレエーテノレ、エチレングリコールモノエチノレエーテノレ、ェ チレングリコールモノプロピルエーテル、エチレングリコールモノイソプロピルエーテル、 エチレングリコールモノブチルエーテル、エチレングリコールモノイソブチルエーテル、ジ エチレングリコールモノメチルエーテル、ジエチレングリコールモノェチルエーテル、ジェ チレングリコールモノプロピルエーテル、ジエチレングリコールモノイソプロピノレエ一テル、 ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノイソブチノレエーテ ノレ、トリエチレングリコールモノメチノレエーテル、トリエチレングリコールモノェチルエーテ ノレ、トリエチレングリコールモノプロピルエーテル、トリエチレングリコールモノイソプロピル エーテル、トリエチレングリコールモノプチルエーテル、トリエチレングリコールモノイソブ チノレエーテノレ、ポリエチレングリコールモノメチノレエーテル、プロピレングリコールモノメチ ノレエーテル、プロピレングリコーノレモノェチノレエーテル、プロピレングリコールモノプロピ ノレェ一テノレ、プロピレングリコーノレモノイソプロピノレエ一テノレ、プロピレングリコーノレモノィ ソブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモ ノメチルェ一テル、ジプロピレングリコールモノェチルエーテル、ジプロピレングリコール モノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテル、トリプロピレン グリコールモノメチルエーテル及びエチレングリコールモノアリルエーテルからなる群より 選ばれる少なくとも 1種であり(メチルアルコール、エチルアルコールは単独では使用せ ず、他の溶媒と併用される)
(II) プロトン供与性溶媒の (1)モノカルボン酸類がギ酸、酢酸、プロピオン酸、酪酸、イソ 酪酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ酢酸、ジフルォロ酢酸、 トリフルォロ酢酸、ひ一クロ口酪酸、 β—クロ口酪酸、 γ—クロ口酪酸、乳酸、グリコール酸、 ピルビン酸、ダリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少なくと も 1種であり、(2)ポリカルボン酸力 S、シユウ酸、コハク酸、アジピン酸及びクェン酸からなる 群より選ばれる少なくとも 1種であり、(3)スルホン酸類カ^タンスルホン酸、ベンゼンスノレ ホン酸、トルエンスルホン酸及びトリフルォロメタンスルホン酸からなる群より選ばれる少 なくとも 1種であり、
(III) ドナー数が 24以下の極性非プロトン性溶媒の(1)エステル類が酢酸メチル、酢酸 ェチル、酢酸ブチル、炭酸プロピレン、炭酸エチレン、亜硫酸エチレン、ラタトン、リン酸ト リブチル、リン酸トリメチルからなる群より選ばれる少なくとも 1種であり、(2)エーテル類が ジォキサン、トリオキサン及びジグライム、 1,2-ジメトキシェタン、テトラヒドロフラン、ジェチ ルエーテル、ジメトキシメタン、ジメトキシプロパン、ジエトキシメタン、 1,1-ジメトキシェタン、 エチレングリコーノレメチノレエチノレエ一テノレ、エチレングリコーノレジェチノレエーテノレ、ジェ チレングリコーノレジメチルエーテル、ジエチレングリコールメチルェチルエーテル、ジェ チレングリコールジェチルエーテル、トリエチレングリコールジメチノレエーテノレ、トリエチレ ングリコールェチルメチルエーテル、トリエチレングリコールジェチルエーテル、テトラエ チレングリコールジメチルエーテル、テトラエチレングリコールジェチルエーテル、ポリエ チレングリコールジメチルェ一テル、エチレングリコールモノメチルエーテルアセテート、 エチレングリコーノレモノェチノレエーテノレアセテート、ジエチレングリコーノレモノメチノレエー テルアセテート及びジエチレングリコールモノェチルエーテルアセテートからなる群より 選ばれる少なくとも 1種であり、 (3)ケトン類がアセトン、(4)酸無水物類が無水酢酸からな る群より選ばれる少なくとも 1種である。 これらの中でもレジスト、反射防止膜および埋め込み材を含むエッチング残渣に有機 物が多く含まれる場合、有機成分を溶力しゃすい溶媒のほうがより好ましい。たとえば、 アルコール類では、メタノールよりもエタノール、さらにはイソプロパノールのほうがより好 ましい。
中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性非プロトン性溶媒のなかで、 カルボン酸類、アルコール類、エステル類およびエーテル類が好ましレ、。さらにこれらの 中でもモノカルボン酸類は酢酸が特に好ましぐアルコール類はイソプロパノール (IPA)、 1一プロパノール、 t-ブタノーノレ、ァリルァノレコール、エチレングリコール、エチレングリコー ノレモノメチノレエーテノレ、エチレングリコーノレモノェチノレエーテノレ、エチレングリコーノレモノ プロピルエーテル、エチレングリコールモノイソプロピルエーテル、エチレングリコールモ ノブチルエーテル、エチレングリコールモノイソブチノレエーテル、ジエチレングリコールモ ノメチルエーテル、ジエチレングリコールモノェチルエーテル、ジエチレングリコールモノ プロピルエーテル、ジエチレングリコールモノイソプロピルエーテル、ジエチレングリコー ルモノブチルエーテノレ、ジエチレングリコールモノイソブチルエーテル、トリエチレングリコ ールモノメチルエーテル、トリエチレングリコールモノェチノレエーテル、トリエチレングリコ ールモノプロピルエーテル、トリエチレングリコールモノイソプロピルエーテル、トリエチレ ングリコールモノブチノレエーテル、トリエチレングリコールモノイソブチルエーテル、ポリエ チレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、プロピレ ングリコールモノェチノレエーテル、プロピレングリコールモノプロピルエーテル、プロピレ ングリコールモノイソプロピルエーテル、プロピレングリコールモノイソブチルエーテル、プ ロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテノレ、ジ プロピレングリコーノレモノェチルエーテノレ、ジプロピレングリコールモノプロピルエーテノレ、 ジプロピレングリコーノレモノイソプロピルエーテル、トリプロピレングリコールモノメチルェ 一テル及びエチレングリコールモノアリルェ—テルが特に好ましぐエステル類は酢酸メ チル、酢酸ェチル、酢酸ブチル、炭酸プロピレン、炭酸エチレンが特に好ましぐエーテ ル類は 1、 2-ジメトキシェタン、テトラヒドロフラン、ジォキサン、トリオキサン、ジグライム、 エチレングリコ一ルメチノレエチルエーテル、エチレングリコールジェチノレエ一テル、ジェ チレングリコールジメチルエーテル、ジエチレングリコールメチルェチルエーテル、ジェ チレングリコールジェチノレエ一テル、トリエチレングリコ一ルジメチルエーテル、トリェチレ ングリコールェチルメチルエーテル、トリエチレングリコールジェチルエーテル、テトラエ チレングリコールジメチルエーテル、テトラエチレンダリコールジェチノレエ一テル、ポリエ チレングリコールジメチルエーテル、エチレングリコールモノメチルエーテルアセテート、 エチレングリコールモノェチルエーテノレアセテート、ジエチレングリコールモノメチルエー テルアセテート及びジエチレングリコールモノェチルエーテルアセテートが特に好ましい c HF、有機溶媒及び/又は有機酸と水からなる剥離液において、絶縁膜バリアを選択的 にエッチングして絶縁膜バリアや low-k膜とエッチング残渣との界面を剥離して分離さ せ、エッチング残渣中のシリコン窒化物(SiN)などを選択的に溶解させる効果のある有機 溶媒は、中性溶媒、プロトン供与性溶媒である両性溶媒およびドナー数が 24以下の極 性非プロトン性溶媒である非プロトン性溶媒である。中性溶媒、プロトン供与性溶媒の中 では、ァクセプター数が大きい方が、この効果は大き 極性非プロトン性溶媒ではドナ 一数が小さい方が、この効果が大きい。また、 HFの濃度を高くするとその効果は大きくな る。
配線材料である銅に注目すると、両性溶媒で自己プロトリシス定数が大きくドナー数が 大きい溶媒、非プロトン性溶媒ではドナー数力 S小さい溶媒を用いた場合に銅の腐食が小 さレ、。両性溶媒で自己プロトリシス定数が大きくドナー数が大きい溶媒とは、例えばアル コール類ではイソプロパノール (IPA)、 1_プロパノール、 t-ブタノールなどである。非プロト ン性溶媒ではドナー数が小さい溶媒とは、ドナー数が 24以下の極性非プロトン性溶媒 であり、エステル類、エーテル類、ケトン類および酸無水物類などがこれに該当する。こ れに対して、自己プロトリシス定数が小さくドナー数が小さい両性溶媒やドナー数が 24 以上の極性親プロトン性溶媒は銅を腐食しやすい。 自己プロトリシス定数が小さくドナー 数が小さい両性溶媒は、例えばアルコール類ではメタノール、エタノールなどが挙げられ る。ドナー数 24以上の極性親プロトン性溶媒は、ジメチルホルムアミドなどのアミド類ゃ ジメチルスルホキシドなどの硫黄含有化合物などが挙げられる。
一方で銅の腐食量は銅の自然酸化膜の除去速度とも関係する。銅の自然酸化膜の 除去速度が大きいと、保護膜としての酸化膜がなくなるため銅の腐食量は多くなる。銅の 自然酸化膜は半導体デバイスを作製する上でレ、ずれ取り除かなければならなレ、。剥離 液でレジストや反射防止膜、埋め込み材およびエッチング残渣を取り除くと同時に、これ らの銅の自然酸化膜も取り除くことが望ましレ、。先ほどの銅の腐食と銅の自然酸化膜の 除去との間には相関がある。銅を腐食しやすい溶媒ほど自然酸化膜も除去しやすレ、。し たがって、銅を腐食しやすい溶媒を腐食しにくい溶媒に加えることにより、剥離処理時間 内に、自然酸化膜の除去も可能になる。例えば、メタノールをイソプロパノールに添加す るとその添加量により銅の自然酸化膜の除去速度をコントロールすることができる。剥離 処理時間に銅の自然酸化膜をすベて除去できるように添加量を調整することにより、剥 離液でレジストや反射防止膜、埋め込み材およびエッチング残渣を取り除くと同時に、こ れらの銅の自然酸化膜も取り除くことが可能となる。
自己プロトリシスとは、中性溶媒、プロトン供与性溶媒などの両性溶媒が、溶媒間でプ 口トンの授受が起こることをいう。すなわち、これらの溶媒は自己プロトリシス定数 pKSHが 小さい。
自己プロトリシス; SH + SH <= SH2+ + S2- (SH;両性溶媒)
自己プロトリシス定数; pKSH= [SH2+]*[S2"]/[SH]2
ァクセプター数 ANとは、 Mayer-Gutmannが提案したァクセプタ性の尺度、すなわち溶 媒のルイス塩基としての尺度である。 n -へキサン中に溶力した(C2F5) 3P0の 31P_NMR化 学シフト値を 0とし、 1, 2-ジクロロェタン中の(C2F5) 3P0'SbCl5錯体の 31P_NMR化学シフト 値を 100としたとき、ある純溶媒中に溶かした(C2F5) 3P0の 31P - NMR化学シフト値を ANと する。 ΑΝ= 100 δ (溶媒)/ [ δ (1, 2 -ジクロロェタン中の(C2F5) 3POSbCl5) - δ (n-へキ サン中に溶力した (C2F5) 3P0) ]である。
ドナー数 DNとは Gutmannが提案したドナー性の尺度、すなわち溶媒のルイス酸として の尺度である。 1, 2 -ジクロロェタン中の SbCl5 (10— 3moldnf3)と溶媒(l(T3moldnr3)とが反応 する際のェンタルピーを kcal mol"1の単位で表した数値の絶対値を DNとする。 DN二-
H (SbCl5) /kcal mol-1である。
測定値として報告されてレ、なくてもこれに準ずるァクセプター数、ドナー数をもつ有機 溶媒は多数存在する。有機溶媒のァクセプター性、ドナー性はある程度推測することが できる。例えばアルキル基が大きくなるにつれてァクセプター数は小さくなる傾向を持つ。
AN (H0H) =54. 8、 AN(CH30H) =41. 3、 AN (C2H50H) =37. 1、 AN (C3H70H) =33. 5となり、アルキル基 の増加とともに順に小さくなる。アルキル基が大きいほうが電子供与性 I効果
(Inductive Effect)は大きぐ水酸基一 0Hの Hの電子密度が高くなり電子受容性が弱 くなつているためであると考えることができる。 C4H90Hの ANの報告はないが、 AN(CHC13) =23. 1であることから、 ANは 24以上であることが推測できる。このように、ドナー 数、ァクセプター数が既知の物質と比較することにより、ドナー性、ァクセプター性を示す 原子の電子密度の増減を考えるとその物質のドナー性、ァクセプタ一性の度合レ、を知る ことができ、必ずしも文献値などの測定値は必要ない。有機溶媒のァクセプター性が高 いということは、溶媒のルイス塩基性が強いということである。
一般にァクセプター数 20以上の溶媒は両性溶媒であり、両性溶媒は中性、プロトン供 与性および親プロトン性溶媒として分類されている。プロトン、すなわち水素イオンの授受 が頻繁におこることにより、水素イオンが関与したエッチングは進行しやすくなる。
有機溶媒のドナー性が高レ、とレ、うことは溶媒のルイス酸性が強レ、とレ、うことである。逆 にいえば、ドナー数が小さいということはルイス酸性が弱いということであり、ァクセプター 数が大きい場合と同様に、水素イオンが関与したエッチングは進行しやすくなる。
以上の様なことから、絶縁膜バリアとして使用される窒化珪素 (SiN) , 炭化珪素 (SiC), 炭化窒化珪素(SiCN)などのシリコン (Si)含有化合物のエッチングには水素イオンの関 与が強いため、 low - k膜として使用される酸化珪素(Si02)、リン (P),砒素 (As) ,アンチモ ン (Sb)やボロン (B)などをドープした BPSGと呼ばれるような酸化珪素(Si02)、メチル基 (- CH3)などの有機成分や水素 (H)などを含んだ低誘電率膜(low- k膜、 SiOC, SiOC: Hなど の組成を示した形で表現されることもある)などのシリコン (Si)含有化合物に比べて SiN, SiC, SiCNなどのシリコン(Si)含有化合物はエッチングされやすレ、。したがって、エツチン グ残渣を除去する際に、 low- k膜を必要以上にエッチングすることなぐ low- k膜が絶縁 膜バリアの陰になるような段差が少ない、ダメージの少ない残渣の剥離が可能となる。 さらに、以上の有機酸および有機溶媒の少なくとも 1種類以上を含む場合、これらにド ナー数が 25以上の極性親プロトン溶媒、酸およびフッ素含有有機化合物などを添加す る場合ちある。
ドナー数が 25以上の極性親プロトン溶媒を添加すると、反射防止膜および埋め込み 材の除去能力は低下する。しかしながら、配線材料である銅に形成された酸化膜を除去 する速度を大きくする効果を付与することができる。銅の酸化膜を残すと絶縁不良を起こ す原因となる可能性がある。したがって反射防止膜および埋め込み材の除去と銅の酸化 膜の除去を効果的に行うことが可能になる。ドナー数が 25以上の極性親プロトン溶媒とし ては、ジメチルホルムアミド,ジメチルァセトアミド、 へキサメチルリン酸トリアミド、 N -メチ ル -2-ピロリドン、 1,1,3,3-テトラメチル尿素、 N-メチルプロピオンァミド、ジメチルイミダゾリ ジノンなどのアミド類ゃジメチルスルホキシド、スルホラン、ジメチルチオホルムアミド、 N-メ チルチオピロリドン、ジメチルスルホン、ジェチルスルホン、ビス(2—ヒドロキシェチノレ)ス ルホン、テトラメチレンスルホンなどの硫黄化合物類が挙げられる。
酸を加えた場合には、水素イオンの効果により、 low- k膜やストッパー膜に対して、反 射防止膜および埋め込み材をより選択的に除去することが可能になる。このような酸とし て塩化水素、臭化水素、ヨウ化水素およびこれらの水溶液、硫酸、硝酸、リン酸、カルボ ン酸などが挙げられる。
フッ素含有有機化合物を混合した場合は、反射防止膜および埋め込み材の除去液の 浸透性を高める効果がある。反射防止膜や埋め込み材と low- k膜やストッパー膜などの その他の材料との界面に浸透しやすくなり除去の効果が向上する。フッ素含有有機化合 物として CHF2CF20CH2CF3、 CHF2CF20CH3などのハイド口フロロエーテル (HFE)類、 CH3CC12Fなどのハイド口クロ口フルォロカーボン (HCFC)類などがある。
本発明の剥離液及び洗浄液において、エッチング種を発生させる源のひとつの形態 としてフッ化水素あるレ、はフッ化水素とアンモニアおよび/またはァミンとの組み合わせた ものを含むことが望ましい。ここでのエッチング種とは、絶縁膜バリアと low-k膜をエッチ ングする活性種、エッチング残渣中に Si- N結合を有する化合物を溶解させるための活 性種などエッチングに有効な活性種のことである。エッチング残渣に有機成分を含む場 合は有機酸および有機溶媒を多く用い、残渣の溶解性を高めることが好ましい。フッ化 水素はガスとして導入しても、 100%フッ化水素液体あるいは水で希釈したフッ化水素酸と して添加しても、いずれでもよレ、。アンモニア,アミンも同様にガスあるいは液体として加 えることができる。
ただし、フッ化水素とアンモニア,ァミンとを有機溶媒が多い組成の溶液に加える場合、 フッ化水素とアンモニア,アミンを先に混合して塩を生成するのは好ましくない。有機溶 媒に溶解しに《なるからである。通常、フッ化水素かアンモニア,ァミンかのいずれかを 先に有機溶媒に添カ卩し、十分混合した後に残りを加える。このような方法により、溶液中 で塩を生成して結晶化する事を防ぐことができ、完全にイオンとして分離した状態の塩の 電解溶液としてではなく、溶媒和したイオン対として溶解させることができる。このイオン 対の一方がエッチング種となる。 本発明の剥離液及び洗浄液のフッ化水素の含有量は、絶縁膜バリアと low-k膜のェ ツチング量および Si- N結合を含むエッチング残渣である場合のエッチング量も考慮して、 エッチング残渣の除去効果により決定される。フッ化水素の供給源としては、希フッ酸(5 0重量。 /0水溶液)を通常用レ、るが、剥離液に水を含まない場合には、 100%フッ化水素 を用いることもできる。剥離液及び洗浄液全量に基づいて(以下、各成分の含有量につ いては同様とする)フッ化水素の含有量は 0重量%〜: 10重量%の範囲である。
プロトン供与性溶媒をだけを含む場合のフッ化水素の含有量は、 0. 05〜5重量%程 度、好ましくは 0.:!〜 3重量%程度、さらに好ましくは 0. 5〜3重量。/。程度である。
プロトン供与性溶媒とドナー数が 24以下の極性非プロトン性溶媒および/又は中性溶 媒を含むフッ化水素の含有量は、 0. 05〜: 10重量%程度、好ましくは 0.:!〜 5重量%程 度、さらに好ましくは 0. 5〜5重量 %程度である。
中性溶媒および/又は極性非プロトン性溶媒を含む場合のフッ化水素の含有量は、 0. 1〜10重量%程度、好ましくは 0. 5〜7重量%程度、さらに好ましくは 1〜5重量 %程度で ある。
剥離液及び洗浄液が水を含有する場合の水の含有量は、 90mass%以下程度、好まし くは 10mass%以下程度、より好ましくは 5mass %以下程度である。
中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性非プロトン性溶媒力 なる 群から選ばれる少なくとも 1種の含有量は、 25〜99. 99mass%程度、好ましくは 50〜9 9. 99mass0/0程度、より好ましく ίま 85〜99. 99mass0/0程度、さらに好ましく {ま 95〜99. 9 9mass%程度である。
本発明の好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。
•HF:プロトン供与性溶媒:水=0.05〜51^35%:89.95〜99.9501&55%:0〜1001&53%
•HF:ドナー数が 24以下の極性非プロトン性溶媒:水 =0.5〜5mass°/。:89.5〜99. 5mass%:0 〜10mass%
-HF:中性溶媒:水=0.5〜501&55%:89.5〜99.501&33%:0〜10111&55% 本発明のより好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。 '1 :酢酸:水=0.05〜501&55%:85〜99.950 &55%:0〜1001&55%
•HF:イソプロパノーノレ:水 =0.5〜5mass%:85〜99.5mass%:0〜10mass% • HF:酢酸: IPA:水 =0.1〜5mass%: 1〜98.9mass%: 1〜98.9mass%:0〜 10mass%
•HF:メタノール:イソプロパノール:水
=0.1〜5mass%: l〜80mass%: l〜98.9mass%:0〜10mass% •HF: 1,2-ジメトキシェタン:水 =0.5〜5mass%:85〜99.5mass%:0〜10mass%
-HF:酢酸メチル、酢酸ェチル、酢酸ブチルからなる群力 選ばれる少なくとも 1種:水 =0.5〜5mass%:85〜99.5mass%:0〜10mass%
•HF: 1,4-ジォキサン:水=0.5〜5^^33%:85〜99.51^55%:0〜1001&35%
•HF:炭酸プロピレン:水=0.5〜501&55%:85〜99.501&35%:0〜100½53%
•HF: 1,4-ジォキサンと酢酸および無水酢酸力 選ばれる少なくとも 1種:水 =0.1〜 5mass%:85〜99.9mass%:0〜10mass%
•HF:エチレングリコールモノメチルエーテノレ:水 =0.5〜5mass%:85〜99.5mass%:0〜 10mass%
•HF:メタンスルホン酸:水 =0.001〜5mass%:85〜99.999mass%:0〜10mass%
本発明のさらに好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。 'HF:酢酸:水 =0.1〜5mass%:85〜99.88mass%:0.02〜10mass%
•HF: IPA:水 =l〜4mass%:88〜98.5mass%:0.5〜8mass%
•HF:酢酸:1?八:水=0.1〜501&33%: 1〜98.8501&35%: 1〜98.85 &55%:0.05〜1001&33%
• HF:メタノール: IPA:水 =0.1〜5mass%: 1〜80mass%: 1〜98.85mass%:0.05〜 10mass% •HF: 1,2-ジメトキシェタン:水 =0.5〜5mass%:85〜99.3mass%:0.2〜10mass%
.HF:酢酸メチル、酢酸ェチル、酢酸ブチルからなる群から選ばれる少なくとも 1種:水 =0.5〜5mass%:85〜99.3mass%:0.2〜10mass%
•HF: 1,4-ジォキサン:水=0.5〜501&55%:85〜99.31^55%:0.2〜101^55%
•HF:炭酸プロピレン:水 =0.5〜5mass%:85〜99.3mass%:0.2〜10mass%
•HF: 1,4 -ジォキサンと酢酸および無水酢酸から選ばれる少なくとも 1種:水 =0.1〜 5mass%:85〜99.9mass%:0〜10mass%
•HF:ェチレングリコールモノメチルェ一テル:水=0.5〜5111&55%:85〜99.301&53%:0.2〜 10mass%
•HF:メタンスルホン酸:水 =0.001〜5mass%:85〜99.998mass%:0.001〜10mass% さらに、これらに、アンモニア及び/又はァミン、ドナー数が 25以上の極性親プロトン 溶媒、酸、フッ素含有有機化合物などを添加する場合もある。 HF (フッ化水素) :アンモニ ァ及ぴ 又はアミン:有機酸および有機溶媒の少なくとも 1種類:水:酸:ドナー数が 25 以上の極性親プロトン性溶媒:フッ素含有有機化合物の重量比は、 0. 05〜5mass% : 0. 05~10mass% : 50~99. 83mass% : 0. 02~10mass% : 0. 05〜50mass% : 0〜70 mass%であることが好ましレ、。
さらに、ドライエッチングやその後の酸素、水素、窒素、希ガスなどを用いたプラズマに よるアツシング (レジストやポリマーのプラズマプロセスによる除去)ダメージを受けた low-k 膜と反射防止膜および埋め込み材とを同時あるいは別々にエッチング残渣を除去するこ とも可能である。また、薬液組成によってはダメージを受けた low-k膜を除去せずに残し て、反射防止膜および埋め込み材を除去することも可能である。
銅および銅の合金など配線材料では、剥離液中の溶存酸素量、水素イオン量などが 多いと腐食が進行する。特に溶存酸素量は銅の腐食を制御する上で重要である。剥離 液中の溶存酸素量を減らすことができると、銅の腐食は大幅に抑えることができる。このよ うに、配線材料である銅などの金属が共存する場合には、不活性ガスを混合し酸素分圧 が空気の酸素分圧以下である雰囲気 (実質的に不活性ガス中)で、剥離液に不活性ガス を溶解させ、剥離液中の酸素分圧を飽和溶解した空気の酸素分圧以下にした剥離液で、 レジスト、反射防止膜およびこれらを含むエッチング残渣を除去することにより、腐食を抑 えることができる。この場合、さらに、不活性ガスを溶解させ、水中の酸素分圧を飽和溶 解して空気の酸素分圧以下にした水を用いて除去液を取り除くリンスを行うとリンス段階 での腐食も抑えることができ、さらに効果的である。不活性ガスとしては、窒素 (N2) ,ヘリ ゥム、ネオン、アルゴンなどの希ガスが例示される。さらに、亜硫酸、亜硫酸アンモニゥム などの亜硫酸塩のような脱酸素剤やピロガロール、フタル酸、ベンゾトリァゾール、 D-ソノレ ビトールなどの一般に使用される防食剤を添加してもよい。これらにより、銅の腐食を抑 制する効果がある。
本発明によれば、 low-k膜を実質的に損なうことなぐレジストを剥離し、除去できるレジ スト剥離液を提供できる。また、ビアホール、キャパシタなどに残存するチタンィ匕合物を洗 浄する洗浄液、チタン化合物などを除去できるビアホール、キャパシタなどの洗浄液を提 供することができる。 発明を実施するための最良の形態
以下に実施例を示して本発明をより詳細に説明するが、本発明は下記の実施例に限 定されるものではない。
下記組成物のエッチング量は、各組成物を用いて 23°Cで各膜をエッチングし、エツチン グ処理前の膜厚とエッチング処理後の膜厚の差を算出したものである。
なお、以下において、 SiN膜のエッチングレートはナノメトリタスジャパン株式会社製ナノ スペック 3000AF—Tを用いてエッチング前後の膜厚を測定することで行った。また、金 属 [TiN膜]のエッチングレートは共和理研社 抵抗率測定器 K— 705RSを用いてエツ チング前後の抵抗率を測定し、抵抗率から膜厚を求めた。
また、レジストの剥離性、断面形状は、 日立製作所社、走査型電子顕微鏡 (S-5000)の 写真撮影により観察した。
試験例 1 :レジスト剥離性
low-k膜(ポーラス MSQ)、 SiN膜、反射防止膜 (BARC)、レジスト(KrF)膜が形成され た Si基板について、エッチング処理を行レ、、 SiN膜の表面上にレジスト(表面のレジスト がエッチング処理により変質したものを含む)を有する被処理物を得た。
下記表 1〜4に示す剥離液に、 23°Cにて、所定時間撹拌しながら浸潰した。用いた有 機酸及び有機溶媒の SP値は以下に示すとおりである。
酢酸: 10.1
へキサノール: 10.7
ラウリルアルコール: 9.8
フ。ロヒ。レンダリコール: 12.6
シ'エチレンダリコール: 12.1
ク'リセリン: 16.5
IPA:11.5
軽レ、 02プラズマアツシングは通常よりも短レ、時間で 02プラズマアツシング を行レ、、 low-k膜に実質的にダメージを与えないようにして行った。
なお、以下の表中のレジスト剥離性において「AJは良好、「B」は良、「C」は不良を示 す。 組成 (mass%) 浸漬時 軽い 02ブラ レシ 'スト SiN膜の
HF 水 酢酸 間 (分) ス'マアツシンク' 剥離性 エッチンク'
の有無 量 (A) 実施例 1 0.05 0.05 99.9 30 A 39 実施例 2 0.05 0.05 99.9 40 A 52 実施例 3 0.1 0.1 99.8 20 FR1 A 56 実施例 4 0.15 0.15 99.7 15 A 51 実施例 5 0.2 0.2 99.6 3 A 15 実施例 6 0.2 0.2 99.6 6 無 A 30 実施例 7 0.25 0.25 99.5 5 A 35 実施例 8 0.25 0.25 99.5 10 M A 62 実施例 9 0.4 0.4 99.2 10 A 60 実施例 10 0.5 0.5 99.0 10 無 A 75 実施例 11 0.75 0.75 98.5 0.5 A 10 実施例 12 0.75 0.75 98.5 1 A 20 実施例 13 0.75 0.75 98.5 3 A 60 実施例 14 0.05 0.05 99.9 15 有 A 20 実施例 15 0.05 0.05 99.9 25 有 A 53 実施例 16 0.1 0.1 99.8 20 有 A 56 実施例 17 0.15 0.15 99.7 15 有 A 51 実施例 18 0.2 0.2 99.6 10 有 A 47 実施例 19 0.25 0.25 99.5 3 有 A 21 実施例 20 0.25 0.25 99.5 5 有 A 35 実施例 21 0.25 0.25 99.5 10 有 A 62 実施例 22 0.4 0.4 99.2 10 有 A 60 実施例 23 0.5 0.5 99.0 10 有 A 75 実施例 24 0.75 0.75 98.5 0.5 有 A 10 実施例 25 0.75 0.75 98.5 1 有 A 20 実施例 26 0.75 0.75 98.5 3 有 A 60
表 2
Figure imgf000052_0001
*表 2中、 HF及び H20以外の成分の配合量は、 HF及び H20の残量である。 表 3
Figure imgf000053_0001
**表 4中、濃度は、組成物全重量 (kg)に対するモル数を示す。
実施例 1〜46の剥離液で処理した基板からは、レジストが完全に剥離されていた。また、 反射防止膜も剥離されていた。さらに、反射防止膜の付着している SiN膜のエッチング 量はいずれも 1 A以上であった。一方、比較例 1〜3の液で処理した基板は、レジストを 除去できなかった。
試験例 2 :断面形状
実施例 1,5,6,7,11,12,14,15,19,20,24,25,29,30,33,34,36,37 RXf 42につレヽて、基板を 垂直方向に切断した断面図を SEMで観察し、剥離液での処理前の断面図と比べ、 low- k膜への剥離液の影響を確認した。
実施例 1,5,6,7,11,12,14,15,19,20,24,25,29,30,33,34,36,37及び 42では断面形状は 剥離液での処理の前後で実質的に変わっておらず、 low- k膜がダメージを受けていない ことが確認できた。
本発明の剥離液によれば、 low- k膜にダメージを与えることなく、レジストを除去するこ とが可能であることがわかった。
比較例 4
HF/H20 = 15 mass%/85 mass%/ (23°C 10分間、軽い 02プラズマアツシング有り、 又は無し) 比較例 4ではレジストは剥離できるが Low- k膜にダメージを与えてしまい Low- k膜ごと 剥離した。
試験例 3:ポリマー及びチタン化合物洗浄性
底部に TiN膜を有する酸化膜付きの Siウェハにレジストを形成してドライエッチングを 行レ、、 02プラズマアツシングをしてビアホールを有する被処理物を得た。ビアホールの側 面及び底面には、ポリマー及びチタン化合物が残存していた。
該被処理物を、下記の洗浄液(実施例 44)に 23°Cにて、所定時間撹拌しながら浸漬し た。
実施例 44 : HFZH20/酢酸 = 0.75 mass%/0.75 mass%/98.5 mass% (10分間) 実施例 44の洗浄液で処理すると、ビアホールに残存していたポリマー及びチタンィ匕合 物が除去され、ビアホールを洗浄することができた。また、 TiN膜のエッチング量は 2. 4 Aであった。
試験例 4:レジスト残渣洗浄性試験
底部に酸化膜を有する TiN (上層) /Ta205 (下層)付の Siウェハにレジストを形成して TiN (上層) 丁&25 (下層)のドライエッチングを行レ、、 02プラズマアツシングをしてメタル キャパシタ電極を有する被処理物を得た。電極の表面には、レジスト残渣が残存してい た。
該被処理物を、下記の洗浄液 (実施例 45及び 46)に 23°Cにて、所定時間撹拌しなが ら浸漬した。
実施例 AS HFZH Z酢酸 = 1.5mass%Zl.5 raass%/97 mass% (l0分間)
実施例 46 : HFZH20/酢酸 =3 mass%/3 mass%/96 mass% (10分間)
実施例 45及び 46の洗浄液で処理すると、メタルキャパシタ電極表面に残存していたレ ジスト残渣が除去され、キャパシタを洗浄することができた。また TiN B莫のエッチング量 は実施例 45では 6. 2k 実施例 46では 12Aであった。
キャパシタの電極表面のレジスト残渣が剥離でき、及びビアホールに残存するポリマー 及びチタン化合物を除去できれば、キャパシタの電極表面のポリマーやチタンィヒ合物も 洗浄できることが予測される。
実施例 47〜50 low- k膜 [CVD系]及びレジスト膜 [KrF]が形成された Si基板について、エッチング処 理を行なレ、、 low- k膜の表面上にレジスト(レジストがエッチング処理により変質したもの を含む)及び形成されたホールにポリマーを有する被処理物を得た。なお、レジストのァ ッシングは行っていなレ、。
実施例 47〜50の組成の剥離液を調製し、被処理物を浸漬し、超音波 [950kHz、 600 W]を照射しながら表 5に示す時間洗浄を行った。超音波洗浄機は、株式会社カイジョー 社製高周波超音波洗浄機ハイ ·メガソニック(発振器:型名 6848、振動子: 7857S型)を 使用した。
実施例 47〜50の剥離液で処理した基板からは、レジストが完全に剥離されていた。ま た、ポリマーも除去されていた。
下記表 6に示す有機溶剤を溶媒として用レ、、フッ酸及びアミンを含む剥離液を調製し、 超音波洗浄を行ったところ、レジスト及びポリマーを剥離することが可能であった。
表 5及び表 6に記載された組成物がビアホール内のポリマーを剥離することが上記試 験例より確認できたので、これら組成物がビアホールに残存するチタンィ匕合物を洗浄で きることが予測できる。また、これら組成物がキャパシタの電極表面のレジスト残渣、ポリ マー及びチタンィ匕合物を洗浄できることが予測される。
表 5 溶媒 HF ァミン 曰波 レジス卜
照射時間 剥離性 多価 実施例 47 エチレン 1 mol/kg モノエタノールァミン lOmin A アルコール グリコ一ル 0.5mol/kg
アミド 実施例 48 Ν,Ν-ジメチル 0.02mol/kg モノエタノールァミン 20min A
ホルムアミド 0.01 mol/kg
実施例 49 Ν,Ν-ジメチル 0.02mol/kg ェチルァミン 20min A ホルムアミド 0.01 mol/kg
実施例 50 Ν-メチル O.omol/kg モノエタノールァミン 10min A ホルムアミド 0.25mol/kg 表 6
Figure imgf000056_0001
試験例 5 :レジスト剥離性
ポ一ラス low-k膜 (ポーラス MSQ)、 SiC膜、シリコンを含有する反射防止膜 (BARC)、 レジスト(KrF)膜が形成された Si基板について、ビアエッチング処理を行レ、、 SiC膜の表 面上にレジスト(表面のレジストがエッチング処理により変質したものを含む)及び反射防 止膜を有し、ビアホール内にはエッチング残渣が存在する銅配線形成前のダマシン構 造の被処理物を得た。この被処理物を以下に示す表 7に示す剥離液に、 23°Cにて、所 定時間撹拌しながら浸漬した。 実施例 67〜83
実施例 67〜83では、上述の被処理物を作製後、レジスト、反射防止膜及びエツチン グ残渣を除去するための酸素アツシングなどのプラズマ処理を行っていなレ、。表 7に示し た実施例 67〜83に示した剥離液を用いた場合の処理時間内の SiCエッチング量は 1 A以上、 SiNエッチング量は 12A以上であり、これらの剥離液で処理した基板からは、レ ジスト、反射防止膜及びエッチング残渣のいずれもが完全に除去されていた。 SiNをエツ チングする効果、すなわち、 SiNのようなシリコン窒化物を含むエッチング残渣を溶解さ せる効果のある溶媒は、ここに示したような中性溶媒 (メタノール、エタノール、イソプロパ ノール)、プロトン供与性溶媒 (トリフルォロ酢酸、酢酸、ギ酸)などの両'『生溶媒、ドナー数が 24以下の極性非プロトン性溶媒 (1,2-ジメトキオシエタン、テトラヒドロフラン、酢酸メチル、 酢酸ェチル、 1,4—ジォキサン、炭酸プロピレン、アセトン)などの非プロトン性溶媒であり、 これらの溶媒を用いた場合は、レジスト、反射防止膜及びエッチング残渣を除去する効 果が高いことを示している。これらの実施例においては、 HF濃度を高くするとレジスト、 反射防止膜及びエッチング残渣の除去効果も高くなる事を確認している。また、 SiCを 1 A以上エッチングすることにより、レジスト、反射防止膜及びエッチング残渣の各界面を 乖離させ、これらを除去する効果を增している。
実施例 71に示す HF濃度以上の HFとエタノールとの組合せで用いた場合に銅の腐 食が発生する。その他の表 7に示した実施例では銅の腐食はほとんどなぐ実質上問題 にはならない。
一方、表 8に示した比較例 5〜9の液で処理した基板は、レジスト、反射防止膜及びェ ツチング残渣の除去を除去できなかった。 SiCを 1 A以上エッチングするだけでは、レジ スト、反射防止膜及びエッチング残渣を除去する効果は小さぐ SiNと S をともにエッチ ングすること力 これらを除去するためには必要である。比較例 5〜9の液では銅も腐食 しゃすぐ実施例に示したような単独の溶媒を用いた場合は剥離液として適さない。
実施例 67〜83および比較例 5〜9では、両性溶媒で自己プロトリシス定数が大きくド ナー数が大きい溶媒、非プロトン 1"生溶媒ではドナー数が小さい溶媒を用いた場合に銅の 腐食が小さいことも実証している。両性溶媒で自己プロトリシス定数が大きくドナー数が 大きレ、溶媒とは、例えばアルコール類ではイソプロパノール (IPA)、 1-プロパノール、 t-ブタ ノールなどである。非プロトン性溶媒ではドナ一数が小さい溶媒とは、ドナー数が 24以 下の極性非プロトン性溶媒であり、エステル類、エーテル類、ケトン類および酸無水物類 などがこれに該当する。これに対して、自己プロトリシス定数が小さくドナー数が小さい両 性溶媒やドナー数が 24以上の極性親プロトン性溶媒は銅を腐食しやすい。 自己プロトリ シス定数が小さくドナー数が小さい両性溶媒は、例えばアルコール類ではメタノール、ェ タノ一ルなどが挙げられる。ドナー数 25以上の極性親プロトン性溶媒は、ジメチルホルム アミドなどのアミド類ゃジメチルスルホキシドなどの硫黄含有化合物などが挙げられる。 比較例 5〜9では HF の濃度を大きくすると剥離性は多少改善されるが効果は小さい ( また、銅配線が露出する部分では、銅の腐食を促進するために使用しにくい。しかし、比 較例 5〜9に示した有機溶媒は銅の自然酸化膜を除去する効果はある。銅の自然酸化 膜は配線の抵抗を高くし接触不良を起こす可能性力 Sあるので除去することが望ましい。 実施例 67〜83に比較例 5〜9に示した有機溶媒を混合して、銅の腐食が少ない処理時 間で、レジスト、反射防止膜及びエッチング残渣を除去すると同時に銅の自然酸化膜だ けを除去するために使用することができる。表 9に示した比較例 11、比較例 12は、 A1/S102多層配線構造を形成する際に、ポリマー剥離液として用いられる代表的な組成 の薬液で処理した例である。この場合も、銅の腐食は多くなレ、が、レジスト、反射防止膜 及びエッチング残渣のいずれも除去できていなレ、。処理時間を長くすると、これらの除去 性は多少改善される力 銅の腐食が進行することと low-kのエッチング量が多くなり寸法 どおりの加工が難しくなる。
酸素プラズマ、水素プラズマ及び水プラズマなどによるプラズマによるアツシング処理 を行った結果に対しても、実施例、比較例ともに、ほぼ同様の効果であった。 表 7
Figure imgf000058_0001
A:良好、 B :良、 C:不良、一:評価なし 比 エッチンク' 組成 有機溶媒の物性 几理 Iツチンク'量 剥離性 較 量の比 腐食
時間 形 例 HF 有機溶媒 水 ァクセフ' ドナー 自己プロト SiC SiN SiN / 残 状 レシ'スト BARC
No mass¾ 種類 mass% mass% タ-数 数 リシス定数 分 A A Low-k膜 銅 渣
5 0.58 DMSO 97.9 0.58 19.3 29.9 33.3 5 0.6 3 0.1 C C C C
6 0.62 DMF 98.3 0.62 16.0 26.6 29.4 5 1.8 5 0.1 C B B C
7 0.53 DMA 98.0 0.53 13.6 27.8 23.9 5 1.2 0 0.0 C B B C
8 0.46 NMP 98.1 0.46 13.3 27.3 25.6 5 0.0 0 0.0 C C C C
9 0.40 DMI 99.2 0.40 5 1.4 4 0.1 C B B C
10 0.50 99.50 5 2.0 650 0.1 C C C C C
DMSO (シ'メチルスルホキシト '), DMF (シ'メチルホルムァミト'), DMA (シ'メチルァセトアミド), NMP(Nメチルビ口リト'ン), DMI(yメチルイミタ'ソリシソン)
A:良好、 B :良、 C :不良、—:評価なし
表 9
Figure imgf000059_0001
DMSO (シ'メチルスルホキシト '), DMF (シ'メチルホルムァミト') A:良好、 B :良、 C :不良、一:評価なし 表 10に示した比較例 13〜43は、これまでに剥離液および洗浄液として出願された特 許に記載された実施例の組成物などを用いて、本発明で使用した被処理物を処理した 結果を示したものである。これらの比較例に示された剥離液および洗浄液は、 Al/Si02 多層配線構造を作製するために開発されたものが大半を占める。
比較例 13〜: 16は、特開平 1-146331号公報の実施例で示された,組成物で本発明の 被処理物を処理した結果である。フッ化水素とイソプロパノールおよび水とからなる洗浄 液であるが、これらのフッ化水素濃度は 0.5重量%以下であり、絶縁膜バリアやシリコン窒 化膜 (SiN)を選択的にエッチングできないため、レジスト、反射防止膜およびエッチング 残渣の除去性が悪い。また、水分が多いと、有機組成物であるレジストや反射防止膜 (BARC)は除去できず、エッチング残渣の除去性も低下、銅の腐食も大きいことを示して いる。
比較例 17〜19も特開平 1-146331号公報の実施例で示された組成物で本発明の被 処理物を処理した結果である。有機溶媒として酢酸を用いた場合で、水分量が多い場合 とさらに多い場合の例である。 HF濃度に対して水分量が多いため、レジスト、反射防止 膜 (BARC)およびエッチング残渣を除去できなレ、。水分量が多くなるにつれて SiCのエツ チング量は減り、 SiNおよび low-k膜のエッチング量が多ぐ設計寸法どおりの加工が困 難になる。
比較例 20、 21は、特開平 8-202052号公報の実施例で示された組成物で本発明の 被処理物を処理した結果である。比較例 20は SiCのエッチング量が少ないため、レジス トおよび反射防止膜 (BARC)の除去ができず、比較例 21は、シリコン窒化物(SiN)を low- k膜に対して選択的にエッチングする効果が小さいためエッチング残渣の除去ができて いない。 Low-k膜に対する SiNのエッチングの選択比が小さいため、レジスト、反射防止 膜 (BARC)及びエッチング残渣を除去するため処理時間を長くすると low-k膜のエツチン グ量が多くなり、寸法どおりの加工が困難になる。特開平 8-202052号公報では、有機 溶媒として、スルホキシド類、アミド類、多価アルコールなどに効果があるとされている。ス ルホキシド類、アミド類は、銅の腐食が大きぐ特に実施例としてあげられてレ、るジメチル スルホキシドは激しく銅を腐食させる。防食剤を添加してレ、る力 これは、配線材料として 銅を対象としていないことが明らかである。これに対して、防食剤を必要としない有機溶 媒を選択したのが本発明である。すなわち、特願平 8-202052号は、 Cu/low-k多層配 線構造を形成するために使用する剥離液には適さないことを示している。
比較例 22は特開平 10-50647号公報の実施例で示された組成物で本発明の被処理 物を処理した結果である。この組成では SiC, SiNのエッチングはともに少なぐレジスト、 反射防止膜 (BARC)およびエッチング残渣のレ、ずれもが全く除去できてレ、なレ、。 Low-k 膜に対する SiNのエッチングの選択比が小さいため、レジスト、反射防止膜 (BARC)及び エッチング残渣を除去するため処理時間を長くすると low_k膜のエッチング量が多くなり、 寸法どおりの加工が困難になる。
比較例 23〜25は USP6150282号の実施例、比較例 26は USP6150282号の実施例 で示された組成物で本発明の被処理物を処理した結果である。
比較例 23〜25は、炭酸プロピレンを溶媒に用いた場合は、銅の腐食は小さぐエッチ ング残渣の剥離性も悪くない。しかし、 BARC,レジストの剥離性は悪ぐこれらを完全に除 去できない。また、加工形状もよくない。
比較例 26は、実質的に水分を含まず、金属の腐食が少ないことを特徴としている。水 分がないとシリコン窒化物(SiN)を low-k膜に対して選択的に除去することができないた め、特にエッチング残渣の剥離性が悪くなる。この場合はレジストおよび反射防止膜 (BARC)も除去できてレヽなレ、。
比較例 26〜35は特開平 11-340183号公報の実施例で示された組成物で本発明の 被処理物を処理した結果である。 HF濃度が低い場合は、 SiCと SiNのエッチング速度 が小さぐレジスト、反射防止膜 (BARC)およびエッチング残渣を除去できなレ、。 HF濃度 を高くすると、溶媒にメタノールを使用しているため銅の腐食が激しい。したがって、 Cu/low-k多層配線構造を形成するために使用する剥離液としては適さなレ、。
比較例 36〜41は特開平 1卜 340183号公報の実施例で示された組成物で有機溶媒 をメタノール力 イソプロパノール (IPA)に変えて、本発明の被処理物を処理した結果で ある。イソプロパノールを用いた場合でも、 HF濃度が低い場合や、 HF濃度が高くても水 分量が少ない場合には、レジスト、反射防止膜 (BARC)およびエッチング残渣を除去でき ない。
比較例 42、比較例 43は、有機溶媒として酢酸を用い、 HF濃度が低い場合と高い場 合を示したものである。 HF濃度が低いとレジスト、反射防止膜およびエッチング残渣の いずれも除去することはできなレ、。濃度が高い場合は、レジスト、反射防止膜およびエツ チング残渣のいずれも除去できる力 絶縁膜バリアである SiCと low - k膜の界面が剥離 し、 low-k膜のサイドエッチングが進み設計寸法どおりの加工形状が得られない。
表 10
Figure imgf000062_0001
A:良好、 B :良、 C :不良、一:評価なし 試験例 6 :断面形状
実施例 67〜83と比較例 5〜43について、基板を垂直方向に切断した断面図を SEM で観察し、剥離液での処理前の断面図と比べ、 low-k膜への剥離液の影響を確認した。 実施例 67〜83では断面形状は剥離液での処理の前後で実質的に変わっておらず、 low-k膜がダメージを受けてレ、なレ、ことが確認できた。 本発明の剥離液によれば、 low-k膜にダメージを与えることなぐレジスト、反射防止膜 およびこれらを含むエッチング残渣を除去することが可能であることがわ力 た。
比較例 10
HF/H2O =0.5 mass%/99.5 mass%
比較例 10ではレジスト、反射防止膜は剥離できるが Low-k膜にダメージを与えてしま レ、 Low-k膜とともに剥離した。
比較例 5〜9、 11、 12はレジスト、反射防止膜およびこれらを含むエッチング残渣を除 去できていないため,形状の評価もできなかった。これらを除去でするまで処理時間を長 くすると、 low-k膜のエッチング量が多くなり、設計寸法どおりの加工ができなくなり、加工 形状は著しく悪くなる。
比較例 13〜43でも、レジスト、反射防止膜およびこれらを含むエッチング残渣を除去 できていないため,形状の評価できない場合や、レジスト、反射防止膜およびこれらを含 むエッチング残渣のいずれかを除去できても加工形状がよくない場合ば力りであった。

Claims

請求の範囲
1. 有機酸及び有機溶媒力 なる群力 選ばれる少なくとも 1種、並びにフッ化水素 (HF)を含む low_k膜用のレジスト剥離液。
2 . さらに、 アンモニア及びアミンからなる群より選ばれる少なくとも 1種 5 を含む請求項 1に記載のレジスト剥離液。
3 . 超音波洗浄用である請求項 1に記載の剥離液。
4 . 1 o w— k膜の比誘電率が、 1より大きく、 3以下である請求項 1に記 載の剥離液。
5. SiN膜を 1 A以上エッチングすることができる請求項 1に記載の剥離液。
10 6. 有機酸または有機溶媒の SP値が 7〜17である請求項 1に記載の剥離液。
7. HFの濃度が 0. 01〜: I0mass%である請求項 1に記載の剥離液。
8. 有機酸及び有機溶媒力 なる群力 選ばれる少なくとも 1種が有機酸、或いは有 機酸及び有機溶媒の混合物であって、 HFの濃度が 0. 01〜5mass%である請求項 1に 記載の剥離液。
15 9. 有機酸及び有機溶媒力 なる群から選ばれる少なくとも 1種が有機溶媒であって、
HFの濃度が 0. 01〜: 10mass%である請求項 1に記載の剥離液。
10. さらに水を含み、 HF :有機酸:水の重量比が 0. 01〜5mass% : 49〜99. 9 mass% : 0〜50mass%であり、有機酸がモノカルボン酸、スルホン酸及びポリカルボン酸 力 なる群より選ばれる少なくとも 1種である請求項 1に記載の剥離液。
20 11. モノカルボン酸力 酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カプロン酸、力 プリル酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフノレオ口酢酸、ジフルォロ酢 酸、トリフルォロ酢酸、ひ一クロ口酪酸、 ^—クロ口酪酸、 r—クロ口酪酸、乳酸、グリコー ル酸、ピノレビン酸、ダリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少 なくとも 1種であり;
25 スルホン酸力 メタンスルホン酸、ベンゼンスルホン酸、トリフルォロメタンスルホン酸及 びトルエンスルホン酸からなる群より選ばれる少なくとも 1種であり;
ポリカルボン酸が、シユウ酸、コハク酸、アジピン酸、酒石酸及びクェン酸からなる群より 選ばれる少なくとも 1種である請求項 10に記載の剥離液。
1 2 . さらに水を含み、 H F :有機溶媒:水の重量比が 0 . 0 1〜1 0 mass% : 4 9〜 9 9 . 9 mass%: 0〜 5 0 mass%であり、 有機溶媒が 1価アルコ ール類、 ポリオール類、 ケトン類、 アミ ド類、 二トリル類、 アルデヒ ド類、 アル キレングリコールモノアルキルエーテル、 エーテル類、 エステル類、 炭化水素類、 ハロゲン化合物類、 フッ素アルコール、 リン酸エステル類及び含窒素化合物類か らなる群より選ばれる少なくとも 1種である請求項 1に記載の剥離液。
1 3 . H F : アンモニア及びアミンからなる群より選ばれる少なくとも 1 種:有機溶媒:水の重量比が 0 . 0 1〜; I 0 mass% : 0 . 0 1〜 3 0 mass%: 4 9〜9 9 . 9 mass% : 0〜 5 0 mass%であり、 有機溶媒が 1価アルコール類、 ポ リオール類、 ケトン類、 アミ ド類、 二トリル類、 アルデヒ ド類、 アルキレンダリ コールモノアルキルエーテル、 エーテル類、 エステル類、 炭化水素類、 ハロゲン 化合物類、 フッ素アルコール、 リン酸エステル類及び含窒素化合物類からなる群 より選ばれる少なくとも 1種である請求項 2に記載の剥離液。
14. 1価アルコール類力 メタノール、エタノール、イソプロパノール(IPA)、 1—プロ パノール、 1—ブタノール、 2—ブタノール、 t—ブタノール、 2—メチル一1—プロパノール、 1—ペンタノ一ノレ、 1—へキサノール、 1—ヘプタノール、 4一へプタノール、 1—ォクタノー ノレ、 1—ノニルアルコール、 1—デカノール、 1—ドデカノール、ラウリルアルコール及びシ クロへキサノールからなる群より選ばれる少なくとも 1種であり(但し、メタノーノレ、エタノー ルは他の有機溶媒または有機酸と併用される);
ポリオール類が、エチレングリコール、ジエチレングリコール、 1 , 2—プロパンジオール、 プロピレングリコール、 2, 3—ブタンジオール及びグリセリンからなる群より選ばれる少なく とも 1種であり;
ケトン類が、アセトン、ァセチルアセトン、メチルェチルケトン、メチルイソブチルケトン、 シクロへキサノン、ジェチルケトン及びジイソプチルケトン力 なる群より選ばれる少なくと も 1種であり;
アミド類力 N -メチルホルムアミド、 N,N-ジメチルホルムアミド、 N -メチルァセトアミド及び Ν,Ν-ジメチルァセトアミドカ なる群より選ばれる少なくとも 1種であり;
二トリル類力 ァセトニトリル、プロピオ二トリル、ブチロニトリル、イソブチロニトリル及びべ ンゾニトリルからなる群より選ばれる少なくとも 1種であり; アルデヒド類力 ホノレムァノレデヒド、ァセトアルデヒド及ぴプロピオンアルデヒドからなる 群より選ばれる少なくとも 1種であり;
アルキレングリコールモノアルキルエーテル力 エチレングリコーノレモノメチルエーテル 及びエチレングリコールモノェチルエーテルからなる群より選ばれる少なくとも 1種であり; エーテル類が、テトラヒドロフラン、ジォキサン、ジイソプロピルエーテル、ジブチルエー テル、テトラヒドロピラン、ァニソール、 1 , 2—ジメトキシェタン及びジエチレングリコールジ メチルエーテルからなる群より選ばれる少なくとも 1種であり;
エステル類力 酢酸メチル、酢酸ェチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチ ノレ、酢酸イソブチル、酢酸ペンチル、酢酸へキシル、プロピオン酸メチル、プロピオン酸 ェチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピ オン酸イソブチル、プロピオン酸ペンチル、プロピオン酸へキシル、酪酸メチル、酪酸ェ チル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、 酪酸へキシル、イソ酪酸メチル、イソ酪酸ェチル、イソ酪酸プロピル、イソ酪酸イソプロピ ノレ、イソ酪酸ブチル、イソ酪酸イソプチル、イソ酪酸ペンチル、イソ酪酸へキシル、吉草酸 メチル、吉草酸ェチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸プチル、吉草酸ィ ソブチル、吉草酸ペンチル、吉草酸へキシル、イソ吉草酸メチル、イソ吉草酸ェチル、ィ ソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸プチル、イソ吉草酸イソプチル、 イソ吉草酸ペンチル、イソ吉草酸へキシル、力プロン酸メチル、力プロン酸ェチル、力プロ ン酸プロピル、カプロン酸イソプロピル、力プロン酸ブチル、カプロン酸イソブチル、力プロ ン酸ペンチル、カプロン酸へキシル、カプリル酸メチル、カプリル酸ェチル、カプリル酸プ 口ピル、力プリル酸イソプロピル、カプリル酸ブチル、力プリル酸イソブチル、カプリル酸ぺ ンチル、力プリル酸へキシル、オクタン酸メチル、オクタン酸ェチル、オクタン酸プロピル、 オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、 オクタン酸へキシル、ノナン酸メチル、ノナン酸ェチル、ノナン酸プロピル、ノナン酸イソプ 口ピル、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸へキシル、デ カン酸メチル、デカン酸ェチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチ ル、デカン酸イソブチル、デカン酸ペンチル、デカン酸へキシル、ドデカン酸メチル、ドデ カン酸ェチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカ ン酸イソブチル、ドデカン酸ペンチル、ドデカン酸へキシル、ラウリル酸メチル、ラウリル酸 ェチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブ チル、ラウリル酸ペンチル、ラウリル酸へキシル、アクリル酸メチル、アクリル酸ェチル、ァ クリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、ァク リル酸ペンチル、アクリル酸へキシル、シユウ酸モノメチル、シユウ酸ジメチル、シユウ酸モ ノエチル、シユウ酸ジェチル、シユウ酸モノプロピル、シユウ酸ジプロピル、シユウ酸モノブ チル、シユウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノェチル、コ ハク酸ジェチノレ、コハク酸モノプロピノレ、コハク酸ジプロピル、コハク酸モノブチル、コハク 酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピ ン酸ジェチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、 アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノェチル、酒石酸ジ ェチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、 クェン酸モノメチル、クェン酸ジメチル、クェン酸モノエチル、クェン酸ジェチル、クェン酸 モノプロピル、クェン酸ジプロピル、タエン酸モノブチル、クェン酸ジブチル、フタル酸ジメ チル、フタル酸ジェチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、 フタル酸ジへキシル、フタル酸ジヘプチル、フタル酸ジォクチル、フタル酸ジノニル、フタ ル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジェチル、テレ フタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジへ キシル、テレフタル酸ジヘプチル、テレフタル酸ジォクチル、テレフタル酸ジノニル、テレ フタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン及び γ -ブチロラタトンからな る群より選ばれる少なくとも 1種であり;
炭化水素類が、へキサン、シクロへキサン、オクタン、イソオクタン、ベンゼン及びトルェ ンからなる群より選ばれる少なくとも 1種であり;
ハロゲン化合物類が、クロロホノレム、 0-ジクロロベンゼン、パーフロロへキサン及びパー フロロメチルシクロへキサンからなる群より選ばれる少なくとも 1種であり;
フッ素アルコール類力 トリフルォロエタノール、ペンタフルォロプロパノール及び 2,2,3,3 -テトラフルォロプロパノールからなる群より選ばれる少なくとも 1種であり; リン酸エステル類力 リン酸ジメチル、リン酸ジブチル、リン酸ジフヱニル、リン酸ジベン ジル、リン酸トリメチル、リン酸トリェチル、リン酸トリプロピル、リン酸トリブチル及びリン酸ト リフエ-ルカ なる群より選ばれる少なくとも 1種であり; 含窒素化合物類が、テトラメチル尿素及び N—メチルー 2—ピロリドンからなる群より選 ばれる少なくとも 1種である;
請求項 12に記載の剥離液。
15. low— k膜の表面上或いは low— k膜上の反射防止膜を介してレジストを有する 被処理物を、レジストを剥離するが low- k膜に実質的にダメージを与えないような温度及 び時間で請求項 1に記載の剥離液を用いて処理することを特徴とするレジスト剥離方法。
16. 剥離液で処理する前に、レジストを、 low-k膜に実質的にダメージを与えない程 度にアツシング処理することを特徴とする請求項 15に記載の方法。
17. low-k膜に実質的にダメージを与えないこと力 実質的に low-k膜をエッチング しないこと及び Z又は処理前後の low-k膜の比誘電率が実質的に変化しないことである 請求項 15に記載の方法。
18. 被処理物の処理を、超音波洗浄しながら行うことを特徴とする請求項 15に記載 の方法。
19. 請求項 15に記載の方法により得ることができるレジスト剥離処理物。
20. 有機酸及び有機溶媒からなる群から選ばれる少なくとも 1種、並びにフッ化水素 (HF)を含むビアホール又はキャパシタ洗浄液。
21. さらに、アンモニア及びアミンからなる群より選ばれる少なくとも 1種を含む請求 項 20に記載の洗浄液。
22. 超音波洗浄用である請求項 2 0に記載の洗浄液。
23. TiN膜を 0.01 A以上エッチングすることができる請求項 20に記載の洗浄液。
24. さらに水を含み、 HF :有機酸:水の重量比が 0· 01〜5mass% : 49〜99. 9 mass% : 0〜50mass%であり、有機酸がモノカルボン酸、スルホン酸及びポリカルボン酸 力 なる群より選ばれる少なくとも 1種である請求項 20に記載の洗浄液。
25. モノカルボン酸が、酢酸、プロピオン酸、酷酸、イソ酪酸、吉草酸、カプロン酸、力 プリル酸、モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ酢酸、ジフルォロ酢 酸、トリフルォロ酢酸、 α—クロ口酪酸、 —クロ口酪酸、 Ί一クロ口酪酸、乳酸、グリコー ル酸、ピルビン酸、ダリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少 なくとも 1種であり、 スルホン酸力 メタンスルホン酸、ベンゼンスルホン酸、トリフルォロメタンスルホン酸及 びトルエンスルホン酸からなる群より選ばれる少なくとも 1種であり、
ポリカルボン酸が、シユウ酸、コハク酸、アジピン酸、酒石酸及びクェン酸からなる群より 選ばれる少なくとも 1種である請求項 24に記載の洗浄液。
26. さらに水を含み、 HF :有機溶媒:水の重量比が 0. 01〜: I0mass% : 49〜99. 9 mass%: 0〜50mass%であり、有機溶媒が 1価アルコール類;ポリオール類;ケトン類;ァ ミド類;ニトリル類;アルデヒド類;アルキレングリコールモノアルキルエーテル;エーテル 類;エステル類;炭化水素類;ハロゲンィ匕合物類、フッ素アルコール、リン酸エステル類及 び含窒素化合物類からなる群より選ばれる少なくとも 1種である請求項 20に記載の洗浄 液。
27. HF :アンモニア及びアミンからなる群より選ばれる少なくとも 1種:有機溶媒:水 の重量比が 0. 01〜: L0mass% : 0. 01〜30mass% : 49〜99. 9mass% : 0〜50mass% であり、有機溶媒が 1価アルコール類;ポリオール類;ケトン類;アミド類;ニトリル類;アル デヒド類;アルキレングリコールモノアルキルエーテル;エーテル類;エステル類;炭化水 素類;ハロゲンィ匕合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類か らなる群より選ばれる少なくとも 1種である請求項 21に記載の洗浄液。
28. 1価アルコール類力 メタノール、エタノール、イソプロパノール(IPA)、 1 _プロ パノール、 1ーブタノール、 2—ブタノ一ノレ、 tーブタノ一ノレ、 2—メチルー 1一プロパノール、 1 _ペンタノール、 1一へキサノール、 1一へプタノール、 4—ヘプタノール、 1ーォクタノー ノレ、 1 _ノニルアルコール、 1—デカノール、 1一ドデカノール、ラウリルアルコール及びシ クロへキサノールからなる群より選ばれる少なくとも 1種であり;
ポリオール類が、エチレングリコール、ジエチレングリコール、 1 , 2—プロパンジオール、 プロピレングリコール、 2, 3—ブタンジオール及びグリセリンからなる群より選ばれる少なく とも 1種であり;
ケトン類が、アセトン、ァセチルアセトン、メチルェチルケトン、メチルイソブチルケトン、 シクロへキサノン、ジェチルケトン及びジイソプチルケトンからなる群より選ばれる少なくと も 1種であり;
アミド類力 N-メチルホルムアミド、 Ν,Ν-ジメチルホルムアミド、 Ν-メチルァセトアミド及び Ν,Ν-ジメチルァセトアミドからなる群より選ばれる少なくとも 1種であり; 二トリル類が、ァセトニトリル、プロピオ二トリル、ブチロニトリル、イソブチロニトリル及びべ ンゾニトリルからなる群より選ばれる少なくとも i種であり;
アルデヒド類が、ホノレムァノレデヒド、ァセトアルデヒド及びプロピオンアルデヒドからなる 群より選ばれる少なくとも 1種であり;
アルキレングリコールモノアルキルエーテルが、エチレングリコールモノメチルエーテル 及びエチレングリコールモノェチルエーテルからなる群より選ばれる少なくとも 1種であり; エーテル類;テトラヒドロフラン、ジォキサン、ジイソプロピルエーテル、ジブチルエーテ ノレ、テトラヒドロピラン、ァ-ソール、 1 , 2—ジメトキシェタン及びジエチレングリコールジメ チルエーテルからなる群より選ばれる少なくとも 1種であり;
エステル類力 酢酸メチル、酢酸ェチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチ ル、酢酸イソブチル、酢酸ペンチル、酢酸へキシル、プロピオン酸メチル、プロピオン酸 ェチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピ オン酸イソブチル、プロピオン酸ペンチル、プロピオン酸へキシル、酪酸メチル、酪酸ェ チル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、 酪酸へキシル、イソ酪酸メチル、イソ酪酸ェチル、イソ酪酸プロピル、イソ酪酸イソプロピ ノレ、イソ酪酸ブチル、イソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸へキシル、吉草酸 メチル、吉草酸ェチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸プチル、吉草酸ィ ソブチル、吉草酸ペンチル、吉草酸へキシル、イソ吉草酸メチル、イソ吉草酸ェチル、ィ ソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸プチル、イソ吉草酸イソプチル、 イソ吉草酸ペンチル、イソ吉草酸へキシル、力プロン酸メチル、力プロン酸ェチル、力プロ ン酸プロピル、カプロン酸イソプロピル、力プロン酸ブチル、カプロン酸イソブチル、力プロ ン酸ペンチル、カプロン酸へキシル、カプリル酸メチル、カプリル酸ェチル、カプリル酸プ 口ピル、力プリル酸イソプロピル、力プリル酸ブチノレ、力プリル酸イソブチル、カプリル酸ぺ ンチル、力プリル酸へキシル、オクタン酸メチル、オクタン酸ェチル、オクタン酸プロピル、 オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、 オクタン酸へキシル、ノナン酸メチル、ノナン酸ェチル、ノナン酸プロピル、ノナン酸イソプ 口ピル、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸へキシル、デ カン酸メチル、デカン酸ェチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチ ノレ、デカン酸イソブチル、デカン酸ペンチル、デカン酸へキシル、ドデカン酸メチル、ドデ カン酸ェチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカ ン酸イソブチル、ドデカン酸ペンチル、ドデカン酸へキシル、ラウリル酸メチル、ラウリル酸 ェチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブ チル、ラウリル酸ペンチル、ラウリル酸へキシル、アクリル酸メチル、アクリル酸ェチル、ァ クリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、ァク リル酸ペンチル、アクリル酸へキシル、シユウ酸モノメチル、シユウ酸ジメチル、シユウ酸モ ノエチル、シユウ酸ジェチル、シユウ酸モノプロピル、シユウ酸ジプロピル、シユウ酸モノブ チル、シユウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチノレ、コハク酸モノエチル、コ ノヽク酸ジェチノレ、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチル、コハク 酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピ ン酸ジェチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノプチル、 アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノェチル、酒石酸ジ ェチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、 クェン酸モノメチル、クェン酸ジメチル、クェン酸モノエチル、クェン酸ジェチル、クェン酸 モノプロピル、クェン酸ジプロピル、タエン酸モノブチル、クェン酸ジブチル、フタル酸ジメ チル、フタル酸ジェチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、 フタル酸ジへキシル、フタル酸ジヘプチル、フタル酸ジォクチル、フタル酸ジノニル、フタ ル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジェチル、テレ フタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジへ キシル、テレフタル酸ジヘプチル、テレフタル酸ジォクチル、テレフタル酸ジノニル、テレ フタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン及び γ -ブチロラクトンからな る群より選ばれる少なくとも 1種であり;
炭化水素類が、へキサン、シクロへキサン、オクタン、イソオクタン、ベンゼン及ぴトルェ ンからなる群より選ばれる少なくとも 1種であり;
ハロゲン化合物類が、クロ口ホルム、 0-ジクロロベンゼン、パーフロロへキサン及びパー フロロメチルシクロへキサンからなる群より選ばれる少なくとも 1種であり;
フッ素アルコールが、トリフルォロエタノール、ペンタフルォロプロパノール及び
2,2,3,3-テトラフルォロプロパノールからなる群より選ばれる少なくとも 1種であり; リン酸エステル類力 S、リン酸ジメチル、リン酸ジブチル、リン酸ジフヱニル、リン酸、: ジル、リン酸トリメチル、リン酸トリェチル、リン酸トリプロピル、リン酸トリブチル及びリン酸ト リフエニルからなる群より選ばれる少なくとも 1種であり;
含窒素化合物類が、テトラメチル尿素及び N—メチルー 2—ピロリドンからなる群より選 ばれる少なくとも 1種である;
請求項 26に記載の洗浄液。
29. チタン化合物及びポリマーからなる群より選ばれる少なくとも 1種が側壁及び底 面からなる群より選ばれる少なくとも 1種に付着したビアホールを有する被処理物を、請 求項 20に記載の洗浄液を用いて洗浄することを特徴とするビアホール洗浄方法。
30. 被処理物の処理を、超音波洗浄しながら行うことを特徴とする請求項 29に記載 の方法。
31. 請求項 29に記載の方法により得ることができる洗浄処理物。
32. メタルキャパシタの上部又は下部電極を有する被処理物であって、該電極の側 壁、底面及び表面からなる群より選ばれる少なくとも 1種にレジスト残渣、ポリマー及びチ タンィ匕合物からなる群より選ばれる少なくとも 1種が付着した被処理物を、請求項 20に記 載の洗浄液を用いて洗浄することを特徴とするキャパシタ洗浄方法。
33. 被処理物の処理を、超音波洗浄しながら行うことを特徴とする請求項 32に記載 の方法。
34. 請求項 32の方法により得ることができる洗浄処理物。
35. 剥離液による処理時間 0.1分〜 120分の間の (1)絶縁膜バリアのエッチング量 が 1 A以上 200A以下、 (2) low- k膜のエッチング量が 1 A以上 200A以下、 (3) Cuの エッチング速度が lOA/min以下であり、 Cu/low-k多層配線構造におけるダマシンおよ ぴデュアルダマシン構造を形成する際のドライエッチング後のレジスト、反射防止膜およ びこれらを含むエッチング残渣などを除去する請求項 1に記載のレジスト剥離液。
36. 絶縁膜バリアおよび low-k膜が SiN, SiC, SiCN, SiOC, Si02など Si含有化合 物である、請求項 35に記載の剥離液。
37. 窒素を含むエッチングガスを使用してエッチングした際に生じる残渣をプラズマ によるアツシング処理の有無にかかわらず除去する請求項 35に記載の剥離液。
38. 窒素を含むガスを使用してアツシングした後の残渣を除去する請求項 35に記 載の剥離液。
39. 不活性ガスを溶解させ、剥離液中の酸素分圧を飽和溶解した空気の酸素分圧 以下にした請求項 35に記載の剥離液。
40. (i)HFおよび (ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が 24以下の極 性親プロトン性溶媒および極性疎プロトン性溶媒力 なる群力 選ばれる少なくとも 1種 の有機化合物とを含み、 G)HF (フッ化水素) : (ii)プロトン供与性溶媒: (i i i)中性溶媒、ド ナー数が 24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒力 なる群から選 ばれる少なくとも 1種の有機化合物の重量比が (i)0. 05〜5mass% : (ii)l〜98. 95 mass% : (iii)l~98. 95mass%である請求項 35に記載の剥離液。
41. (i)HFおよび (ii)プロトン供与性溶媒と、 (i i i)中性溶媒、ドナー数が 24以下の極 性親プロトン性溶媒および極性疎プロトン性溶媒力 なる群力 選ばれる少なくとも 1種 の有機化合物とを含む剥離液にさらに (iv冰を含み、(i)HF (フッ化水素) : (ii)プロトン供与 性溶媒: (iii)中性溶媒、ドナー数が 24以下の極性親プロトン性溶媒および極性疎プロ トン性溶媒からなる群から選ばれる少なくとも 1種の有機化合物: (iv)水の重量比が (i)0. 05〜5mass% : (ii)l〜98. 93mass% : (iii)l〜98. 93mass% : (iv)0. 02〜90mass%であ る請求項 35に記載の剥離液。
42. (0HFおよび (ii)プロトン供与性溶媒と、(i i i)中性溶媒、ドナー数が 24以下の極 性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも 1種 の有機化合物と (iv)水とを含む剥離液にさらに、(V)酸、(vi)ドナー数が 25以上の極†生親 プロトン性溶媒、(vii)フッ素含有有機化合物の少なくとも 1つを含み、(i)HF : (ii)プロトン供 与性溶媒: (i ii)中性溶媒、ドナー数が 24以下の極性親プロトン性溶媒および極性疎プ 口トン性溶媒の少なくとも 1種の有機化合物: (iv)水: (V)酸: (vi)ドナ一数が 25以上の極 性親プロトン性溶媒: (vii)フッ素含有有機化合物の重量比が、 (i)O. 05〜5mass% : (ii)l 〜98. 83mass0/。:(iii):!〜 98. 83mass% : (iv)0. 02〜90mass% : (v)0〜10mass% : (vi)0 〜50mass% : (vii)0〜70mass%である請求項 35に記載の剥離液(但し、酸、ドナー数が 25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の合計量は 0. 1〜74. 9 3mass%である)。
43. (i)HFおよび (ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が 24以下の極性 親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも 1種の 有機化合物と (iv)水と (V)酸、(vi)ドナ一数が 25以上の極性親プロトン性溶媒及び (vii)フッ 素含有有機化合物からなる群力 選ばれる少なくとも 1種を含む剥離液にさらに、アン モニァおよび/又はアミンを含み、(i)HF : (ii)プロトン供与性溶媒: (iii)中性溶媒、ドナ一数 が 24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒の少なくとも 1種の有 機化合物: (iv)水: (V)酸: (vi)ドナー数が 25以上の極性親プロトン性溶媒: (vii)フッ素含有 有機化合物: (viii)アンモニアおよび/又はァミンの重量比が (00. 05〜5mass% : (ii):!〜 9 8. 73mass% : (iii)l〜98. 73mass% : (iv)0. 02〜90mass% : (v)0〜10mass%: (vi)0〜5 0mass% : (vii)0〜70mass% : (viii)0. 05〜: 10mass%である請求項 35に記載の剥離液 (但し、酸、ドナー数が 25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の 合計量は 0. 1〜74. 83mass%である)。
44. (DHFと (ii)中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性親プロト ン性溶媒および極性疎プロトン性溶媒力 なる群力 選ばれる少なくとも 1種の有機化 合物および (iii)水を含み、(0HF (フッ化水素) : (ii)中性溶媒、プロトン供与性溶媒、ドナー 数が 24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ば れる少なくとも 1種の有機化合物:(iii)水の重量比が 0. 05〜5mass% : 85〜99. 93 mass% : 0. 02〜: 10mass%である請求項 35に記載の剥離液。
45. (i)HFと (ii)中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性親プロト ン性溶媒および極性疎プロトン性溶媒力 なる群力 選ばれる少なくとも 1種の有機化 合物および (iii)水を含む剥離液にさらに、酸、ドナー数が 25以上の極性親プロトン性溶 媒及びフッ素含有有機化合物からなる群力 選ばれる少なくとも 1つを含み、 (i)HF : (ii) 中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性親プロトン性溶媒および極性 疎プロトン性溶媒力 なる群力 選ばれる少なくとも 1種の有機化合物: (iii)水: (iv)酸: (V) ドナー数が 25以上の極性親プロトン性溶媒: (vi)フッ素含有有機化合物の重量比が (00. 05〜5mass% : (ii)25〜99. 83mass% : (iii)0. 02〜; 10mass% : (iv)0〜10mass% : (v)0〜 50mass% : (vi)0〜70mass%である請求項 35に記載の剥離液(但し、酸、ドナー数が 25 以上の極性親プロトン性溶媒およびフッ素含有有機化合物の合計量は 0. :!〜 74. 93 mass%"Cある ) 0
46. (i)HFと (ii)中性溶媒、プロトン供与性溶媒、ドナー数が 24以下の極性親プロト ン性溶媒および極性疎プロトン性溶媒からなる群力 選ばれる少なくとも 1種の有機化 合物と (Hi)水と、(iv)酸、(V)ドナー数が 25以上の極性親プロトン性溶媒及び (vi)フッ素含 有有機化合物力 なる群力 選ばれる少なくとも 1種を含む剥離液にさらに、(vii)アンモ ニァおよび/又はアミンを含み、(i)HF : (U)中性溶媒、プロトン供与性溶媒、ドナー数が 24 以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少な くとも 1種の有機化合物: (iii)水: (iv)酸: (V)ドナー数が 25以上の極性親プロトン性溶媒: (vi)フッ素含有有機化合物: (vii)アンモニアおよび/又はァミンの重量比が (i)O. 05〜5 mass% : (ii)25〜99. 78mass% : (iii)0. 02〜: I0mass% : (iv)0〜: 10mass% : (v)0〜: 10 mass% : (vi)0〜70mass% : (vii)0. 05〜: I0mass%である請求項 35に記載の剥離液(伹 し、酸、ドナー数が 25以上の極性親プロトン性溶媒およびフッ素含有有機化合物からな る群から選ばれる少なくとも 1種の合計量は 0.:!〜 74. 88mass%である)。
47. 中性溶媒がアルコール類であり、プロトン供与性溶媒がモノカルボン酸類、ポリ カルボン酸類およびスルホン酸類であり、ドナー数が 24以下の極性非プロトン性溶媒が エステル類及びエーテル類であり、ドナー数が 25以上の極性親プロトン性溶媒力 エス テル類、エーテル類ケトン類および酸無水物類である項 40に記載の剥離液。
48. (I)中性溶媒のアルコール類力 Sメチルアルコール、ェチルアルコーノレ、プロパノー ノレ、イソプロパノーノレ, t-ブタノーノレ、ァリルアルコール及ぴエチレングリコールであり、
(II)プロトン供与性溶媒のモノカルボン酸類がギ酸、酢酸、プロピオン酸、酪酸、イソ酪酸、 モノクロ口酢酸、ジクロロ酢酸、トリクロ口酢酸、モノフルォロ酢酸、ジフ /レオ口酢酸、トリフ ルォロ酢酸、 ひ一クロ口酪酸、 ^—クロ口酪酸、 一クロ口酪酸、乳酸、グリコール酸、ピ ルビン酸、ダリオキサル酸、メタクリル酸及びアクリル酸であり;ポリカルボン酸力 シユウ 酸、コハク酸、アジピン酸及びクェン酸であり;スルホン酸類カ タンスルホン酸、ベンゼ ンスルホン酸、トルエンスルホン酸及ぴトリフルォロメタンスルホン酸であり;
(III) ドナー数が 24以下の極性親プロトン性溶媒のエステル類がリン酸トリプチル、リン 酸トリメチルであり;エーテル類が 1,2-ジメトキシェタン、テトラヒドロフラン、ジェチルェ一 テノレ、エチレングリコーノレモノメチノレエーテノレ、エチレングリコーノレモノェチノレエーテノレ、 ジメトキシメタン、ジメトキシプロパン、ジェトキシメタン及び 1,1-ジメトキシェタンであり、 (IV)極性疎プロトン性溶媒のエステル類が酢酸メチル、酢酸ェチル、酢酸プチル、炭酸 プロピレン、炭酸エチレン、亜硫酸エチレン及びラクトンであり;エーテル類がジォキサン、 トリオキサン及びジグライムであり;ケトン類がアセトンであり;酸無水物類が無水酢酸であ る請求項 47に記載の剥離液。
49. 酸が塩化水素、臭化水素、ヨウ化水素およびこれらの水溶液、硫酸、硝酸、リン 酸、カルボン酸からなる群より選ばれる少なくとも 1種である請求項 42、 43、 45または 46 に記載の剥離液。
50. フッ素含有有機化合物が CHF2CF2〇CH2CF3、 CHF2CF20CH4などのフッ素 含有エーテル類、 CH3CC12F、 CC1F2CF2CHC1Fなどのハイド口クロ口フルォロカーボン (HCFC)類である請求項 42、 43、 45または 46に記載の剥離液
51. ドナー数が 25以上の極性親プロトン性溶媒力 ジメチルホルムアミド、ジメチル ァセトアミド、 へキサメチルリン酸トリアミド、 N-メチル -2-ピロリドン、 1,1,3,3 -テトラメチル 尿素、 N-メチルプロピオンアミド、ジメチルイミダゾリジノンなどのアミド類であり、ジメチル スルホキシド、スルホラン、ジメチルチオホルムアミド、 N-メチルチオピロリドンなどの硫黄 化合物からなる群から選ばれる少なくとも 1種である請求項 42、 43、 45または 46に記載 の剥離液。
52. プロトン供与性溶媒であるカルボン酸類と、中性溶媒であるアルコール類、ドナ 一数が 24以下の極性非プロトン性溶媒であるエステル類およびエーテル類の群の中か ら選ばれる少なくとも 1種とを含む請求項 40〜46のいずれかに記載の剥離液。
53. カルボン酸が酢酸である請求項 52に記載の剥離液。
54. 中性溶媒であるアルコール類とドナー数が 24以下の極性非プロトン性溶媒であ るエステル類およびエーテル類の群の中力 選ばれる少なくとも 1種とを含む請求項 47 に記載の剥離液。
55. アルコールがプロパノーノレ、イソプロパノール、 t -ブタノール、ァリルアルコール 及びエチレングリコールからなる群力 選ばれる少なくとも 1種である請求項 47に記載の 剥離液。
56. ドナー数が 24以下の極性非プロトン性溶媒であるエステル類およびエーテル 類の中から選ばれる少なくとも 1種とを含む請求項 40〜46のいずれかに記載の剥離液。
57. エステル類が酢酸メチル、酢酸ェチル、酢酸ブチル、炭酸プロピレンおよび炭酸 エチレンであり、エーテル類が 1 ,2—ジメトキシェタン、テトラヒドロフラン、ジォキサン、トリ ォキサン、ジグライム、エチレングリコールモノメチルエーテル、エチレングリコ一ルジェチ ノレエーテル、ジエチレングリコーノレメチルェチルエーテル、テトラエチレングリコールジメ チノレエ一テル、ポリエチレングリコーノレジメチノレエーテノレ、エチレングリコーノレモノァリノレ エーテノレ、ジエチレングリコーノレモノブチルェ一テノレ、エチレングリコーノレブチノレエーテ 5 ノレ、トリエチレングリコールモノブチルエーテル、ジエチレングリコーノレジェチノレエーテノレ、 ジエチレングリコーノレジメチルエーテル、トリエチレングリコールジメチルエーテル、ジェ チレングリコールモノイソブチルエーテル、エチレングリコールモノイソブチルエーテル、 エチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノメチルエーテノレ、 ジプロピレングリコーノレモノメチノレエーテル、プロピレングリコールモノメチルエーテル、ト 10 リプロピレングリコーノレモノメチノレエ一テル、エチレングリコールモノェチルエーテル、ポリ エチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、プロ ピレンダリコールモノプロピルエーテル及びエチレングリコールメチルェチルエーテル力 らなる群より選ばれる少なくとも 1種である請求項 40〜46のいずれかに記載の剥離液。
58. HF、酢酸、水を含み、 HF :酢酸:水の重量比が 0. 05〜5mass% : 85〜99. 9 15 3mass% : 0. 02〜10mass%である請求項 44に記載の剥離液。
59. HF、イソプロパノール、水を含み、 HF :イソプロパノール:水の重量比が 1〜7 mass% : 88〜98. 5mass% : 0. 5〜5mass%である請求項 44に記載の剥離液。
60. HF、酢酸、イソプロパノール、水を含み、 HF:酢酸:イソプロパノール:水の重 量]:匕力 0. 05~6mass% : l~98. 93mass%: 1~98. 85mass% : 0. 02〜: I2mass%で
20 ある請求項 44に記載の剥離液。
61. HF、 1,2-ジメトキシェタン、水を含み、 HF : 1,2-ジメトキシェタン:水の重量比が 0.50〜51^55% : 85.00〜99.301&35% : 0.20〜1(^&33%でぁる請求項44に記載の剥離液。
62. HF、酢酸メチル、酢酸ェチル、酢酸ブチルの少なくとも 1種、水を含み、 HF : 酢酸メチル、酢酸ェチル、酢酸ブチルの少なくとも 1種:水の重量比が 0.50〜5mass% :
25 85.00〜99.3Omass% : 0.20〜: 10mass%である請求項 44に記載の剥離液。
63. HF、 1,4-ジォキサン、水を含み、 HF : 1,4-ジォキサン:水の重量比が 0. 50〜5 0^5% : 85.00〜99.311^5% : 0.2〜1(^&55%でぁる請求項44に記載の剥離液。
64. HF、 1,4 -ジォキサンと無水酢酸および酢酸の少なくとも 1種、水を含み、 HF: 1,4-ジォキサンと無水酢酸および酢酸の少なくとも 1種:水の重量比が 0. 50〜6 mass% : 82.00〜99. 30mass% : 0.2〜: I 2mass%である請求項 44に記載の剥離液。
65. HF、エチレングリコーノレモノメチノレエーテル、エチレングリコールジェチルエーテ ル、ジエチレングリコールメチルェチルエーテル、テトラエチレングリコールジメチルエー テノレ、ポリエチレングリコールジメチルエーテル、エチレングリコールモノアリルエーテル、 ジエチレングリコールモノブチルエーテノレ、エチレングリコールブチルエーテル、トリェチ レングリコーノレモノブチルエーテノレ、ジエチレングリコールジェチノレエーテル、ジェチレ ングリコールジメチルエーテル、トリエチレングリコールジメチルェ一テル、ジエチレングリ コールモノイソブチルエーテル、エチレングリコールモノイソブチノレエーテル、エチレング リコ一ルモノイソプロピルエーテル、ジエチレングリコールモノメチルエーテル、ジプロピレ ングリコールモノメチルエーテル、プロピレングリコールモノメチノレエーテル、トリプロピレ ングリコールモノメチルエーテノレ、エチレングリコールモノェチルエーテル、ポリエチレン グリコールモノメチルエーテノレ、トリエチレングリコールモノメチノレエーテル、プロピレング リコールモノプロピルエーテル及びエチレングリコールメチルェチルエーテルの少なくと も 1種、水を含み、 HF :エチレングリコールモノメチルエーテル、エチレングリコールジェ チノレエーテノレ、ジエチレングリコーノレメチノレエチルエーテル、テトラエチレンダリコールジ メチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノァリ ノレエーテノレ、ジエチレングリコーノレモノブチノレエーテノレ、エチレングリコーノレブチノレエー テル、トリエチレングリコールモノブチルエーテル、ジエチレングリコールジェチルエーテ ノレ、ジエチレングリコールジメチルエーテル、トリエチレングリコールジメチルエーテル、ジ エチレングリコールモノイソブチノレエーテノレ、エチレングリコーノレモノイソプチノレエーテノレ、 エチレングリコールモノイソプロピノレエ一テル、ジエチレングリコールモノメチルエーテノレ、 ジプロピレングリコールモノメチルエーテノレ、プロピレングリコールモノメチルエーテル、ト リプロピレングリコールモノメチルエーテノレ、エチレングリコールモノェチルエーテル、ポリ エチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、プロ ピレンダリコールモノプロピノレエ一テル及びエチレングリコ一ノレメチルェチルエーテルの z少なくとも 1種: τΚの重量!:匕力 S 0. 50〜5mass% : 85.00〜99. 30mass%: 0.20—10 mass%である請求項 44に記載の剥離液。
66. HF、メタンスルホン酸、水を含み、 HF :メタンスルホン酸:水の重量比が 0 mass%を超えて 5mass%以下、:45mass%以上 100mass%未満: 0 mass%を超えて 50mass%以下である請求項 35に記載の剥離液。
67. 請求項 1または請求項 35に記載の剥離液を用いてプラズマプロセスによるダメ ージを受けた low- k膜を残してエッチング残渣を除去することを特徴とする剥離方法。
68. 不活性ガスを混合し、酸素分圧が空気の酸素分圧以下である雰囲気 (実質的に 不活性ガス中)で剥離処理をする請求項 15または 67に記載の方法。
69. 請求項 15または 67に記載の剥離処理をする方法を施した剥離処理物に対し て、不活性ガスを混合し酸素分圧が空気の酸素分圧以下である雰囲気 (実質的に不活 性ガス中)で、不活性ガスを溶解させ、水中の酸素分圧を飽和溶解した空気の酸素分圧 以下にした水を用いて剥離液を取り除くリンス処理をする方法。
70. 請求項 67または 68に記載の剥離方法および請求項 69に記載のリンス処理方 法によって処理をすることにより得ることができる剥離処理物。
71. 請求項 35に記載の剥離液をビアホール又はキャパシタの洗浄のために使用す る請求項 20に記載のビアホール又はキャパシタの洗浄液。
72. 請求項 71のビアホール又はキャパシタの洗浄液を用いて洗浄する請求項 29の ビアホール洗浄方法または請求項 32に記載のキャパシタ洗浄方法。
73. 請求項 71のビアホール又はキャパシタの洗浄液で洗浄処理することにより得る ことができる洗浄処理物。
PCT/JP2003/010547 2002-08-22 2003-08-21 剥離液 WO2004019134A1 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2004530588A JP4434950B2 (ja) 2002-08-22 2003-08-21 剥離液
EP03792757A EP1536291A4 (en) 2002-08-22 2003-08-21 REMOVING SOLUTION
KR1020057003010A KR100649418B1 (ko) 2002-08-22 2003-08-21 박리액
US10/525,249 US7833957B2 (en) 2002-08-22 2003-08-21 Removing solution
AU2003257636A AU2003257636A1 (en) 2002-08-22 2003-08-21 Removing solution
CN038198762A CN1678961B (zh) 2002-08-22 2003-08-21 剥离液

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002242392 2002-08-22
JP2002/242392 2002-08-22
JP2002/299657 2002-10-11
JP2002299657 2002-10-11

Publications (1)

Publication Number Publication Date
WO2004019134A1 true WO2004019134A1 (ja) 2004-03-04

Family

ID=31949564

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/010547 WO2004019134A1 (ja) 2002-08-22 2003-08-21 剥離液

Country Status (8)

Country Link
US (1) US7833957B2 (ja)
EP (1) EP1536291A4 (ja)
JP (1) JP4434950B2 (ja)
KR (1) KR100649418B1 (ja)
CN (1) CN1678961B (ja)
AU (1) AU2003257636A1 (ja)
TW (1) TWI266969B (ja)
WO (1) WO2004019134A1 (ja)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006072083A (ja) * 2004-09-03 2006-03-16 Mitsubishi Electric Corp レジスト除去用組成物
WO2006124261A1 (en) * 2005-05-11 2006-11-23 Micron Technology, Inc. Methods of etching oxide, reducing roughness, and forming capacitor constructions
JP2007086689A (ja) * 2005-09-26 2007-04-05 Kanto Chem Co Inc フォトレジスト残渣及びポリマー残渣除去液
EP1612858A3 (en) * 2004-07-01 2007-06-27 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
WO2008050785A1 (fr) * 2006-10-24 2008-05-02 Kanto Kagaku Kabushiki Kaisha Composition liquide pour éliminer un résidu de photorésine et un résidu de polymère
JP2008545253A (ja) * 2005-05-10 2008-12-11 ラム リサーチ コーポレーション 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
WO2009110582A1 (ja) * 2008-03-07 2009-09-11 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
US7678751B2 (en) 2004-12-06 2010-03-16 Samsung Electronics Co., Ltd. Composition for removing photoresist, method of removing photoresist and method of manufacturing a semiconductor device using the same
JP2010516044A (ja) * 2007-01-04 2010-05-13 イーストマン ケミカル カンパニー 溶媒および系の使用による基材洗浄方法
WO2011027772A1 (ja) * 2009-09-02 2011-03-10 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
JP2011245565A (ja) * 2010-05-24 2011-12-08 Nippon Telegr & Teleph Corp <Ntt> 微細構造体の製造方法
JP2012252070A (ja) * 2011-06-01 2012-12-20 Panasonic Corp 剥離剤組成物
CN101233456B (zh) * 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
JP2013521646A (ja) * 2010-03-05 2013-06-10 ラム リサーチ コーポレーション ダマシン処理によるサイドウォールポリマー用の洗浄溶液
JP2013258214A (ja) * 2012-06-11 2013-12-26 Tokyo Ohka Kogyo Co Ltd リソグラフィー用洗浄液及び配線形成方法
JP2014142635A (ja) * 2012-12-27 2014-08-07 Fujifilm Corp レジスト除去液およびレジスト剥離方法
WO2014203599A1 (ja) * 2013-06-21 2014-12-24 東京エレクトロン株式会社 酸化タンタル膜の除去方法および除去装置
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
KR20160030835A (ko) * 2014-09-11 2016-03-21 동우 화인켐 주식회사 레지스트 박리액 조성물
KR20160033023A (ko) * 2014-09-17 2016-03-25 동우 화인켐 주식회사 레지스트 박리액 조성물, 상기 조성물을 사용하는 플랫 패널 디스플레이 기판의 제조방법, 및 상기 제조방법으로 제조된 플랫 패널 디스플레이 기판
JP2017508187A (ja) * 2013-12-20 2017-03-23 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
KR20170137707A (ko) 2015-04-13 2017-12-13 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 웨이퍼를 재생하기 위한 탄소함유 실리콘 산화물을 포함하는 재료의 세정액 및 세정방법
JP2018121077A (ja) * 2018-04-19 2018-08-02 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
JP2018207108A (ja) * 2017-06-05 2018-12-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体デバイスの製造中に窒化ケイ素を選択的に除去するためのエッチング溶液
JP7407324B1 (ja) * 2023-06-15 2023-12-28 東京応化工業株式会社 半導体デバイス用処理液、基板の処理方法、及び半導体デバイスの製造方法

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP2005203429A (ja) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7658288B2 (en) * 2004-11-08 2010-02-09 Applied Biosystems, Llc Bisulfite conversion reagent
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070043290A1 (en) * 2005-08-03 2007-02-22 Goepp Julius G Method and apparatus for the detection of a bone fracture
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
JP4588590B2 (ja) * 2005-09-09 2010-12-01 ダイセル化学工業株式会社 リソグラフィー用洗浄剤又はリンス剤
EP1949422A1 (en) * 2005-10-21 2008-07-30 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
JP2007142335A (ja) * 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
KR100778851B1 (ko) * 2005-12-28 2007-11-22 동부일렉트로닉스 주식회사 반도체 소자의 mim 커패시터 형성방법
JP4828451B2 (ja) * 2006-03-27 2011-11-30 東京エレクトロン株式会社 基板処理方法、半導体装置の製造方法および基板処理装置
US7585782B2 (en) * 2006-04-11 2009-09-08 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of selectively removing metal-containing materials relative to oxide
KR100753038B1 (ko) * 2006-06-29 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 컨택 플러그 형성방법
EP1903400A1 (en) * 2006-09-20 2008-03-26 Interuniversitair Microelektronica Centrum A method to remove resist layers from a substrate
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080096785A1 (en) * 2006-10-19 2008-04-24 Air Products And Chemicals, Inc. Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
US20100104824A1 (en) * 2006-10-23 2010-04-29 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
FR2912151B1 (fr) * 2007-02-05 2009-05-08 Arkema France Formulation de dimethylsulfoxyde en melange avec un additif permettant d'abaisser le point de cristallisation de ce dernier, et applications de ce melange
KR101294019B1 (ko) * 2007-02-20 2013-08-16 주식회사 동진쎄미켐 포토레지스트 제거 조성물 및 이를 이용한 포토레지스트제거방법
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
KR101403827B1 (ko) * 2007-08-10 2014-06-09 동우 화인켐 주식회사 포토레지스트 잔류물 제거용 박리액 조성물 및 이를 이용한박리 방법
US7851374B2 (en) * 2007-10-31 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon wafer reclamation process
KR101359919B1 (ko) * 2007-11-01 2014-02-11 주식회사 동진쎄미켐 포토레지스트 박리 조성물, 이를 사용한 포토레지스트 박리방법 및 표시 장치의 제조 방법
CN102007196B (zh) * 2008-03-07 2014-10-29 高级技术材料公司 非选择性氧化物蚀刻湿清洁组合物及使用方法
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
US20090291562A1 (en) * 2008-05-20 2009-11-26 Lam Research Corporation Helium descumming
US8183156B2 (en) * 2008-06-06 2012-05-22 Infineon Technologies Ag Method of etching a material surface
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
JP2012504871A (ja) * 2008-10-02 2012-02-23 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高度な金属負荷及びシリコン基板の表面パッシベーションのための界面活性剤/消泡剤混合物の使用
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP4903242B2 (ja) * 2008-10-28 2012-03-28 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 多金属デバイス処理のためのグルコン酸含有フォトレジスト洗浄組成物
KR101022671B1 (ko) * 2008-11-20 2011-03-22 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성 방법
US8052800B2 (en) * 2008-12-04 2011-11-08 General Electric Company Method for the removal of an insulative coating using an aqueous solution comprising dimethyl formamide
MX2011008789A (es) 2009-02-25 2011-09-29 Avantor Performance Mat Inc Composiciones removedoras para limpiar polimero fotosensible implantado ionicamente de obleas de dispositivos semiconductores.
KR101092317B1 (ko) * 2009-04-10 2011-12-09 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20120065116A1 (en) * 2009-05-21 2012-03-15 Stella Chemifa Corporation Cleaning liquid and cleaning method
JP5535583B2 (ja) * 2009-05-25 2014-07-02 AzエレクトロニックマテリアルズIp株式会社 トレンチ・アイソレーション構造の形成方法
JP2011039339A (ja) * 2009-08-13 2011-02-24 Canon Inc 剥離液の再生方法
CN102024562B (zh) * 2009-09-17 2016-03-09 大赛璐化学工业株式会社 用于制造叠层陶瓷部件的溶剂或溶剂组合物
WO2011037008A1 (en) 2009-09-24 2011-03-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing oxide semiconductor film and method for manufacturing semiconductor device
KR20120084751A (ko) 2009-10-05 2012-07-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
CN104867982B (zh) 2009-10-30 2018-08-03 株式会社半导体能源研究所 半导体装置及其制造方法
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8721797B2 (en) * 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US8128755B2 (en) * 2010-03-03 2012-03-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cleaning solvent and cleaning method for metallic compound
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
JP5719698B2 (ja) 2010-06-30 2015-05-20 富士フイルム株式会社 パターン形成方法及び該パターン形成方法に用いられる現像液
JP2012058273A (ja) * 2010-09-03 2012-03-22 Kanto Chem Co Inc フォトレジスト残渣およびポリマー残渣除去液組成物
US20120090648A1 (en) * 2010-10-15 2012-04-19 United Microelectronics Corp. Cleaning method for semiconductor wafer and cleaning device for semiconductor wafer
CN102468223A (zh) * 2010-11-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
CN102569202B (zh) * 2010-12-16 2014-07-30 中芯国际集成电路制造(北京)有限公司 静态随机存储器的制造方法
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN103748202A (zh) * 2011-08-29 2014-04-23 罗门哈斯公司 可生物再生溶剂和清洁方法
US8530356B2 (en) 2011-10-07 2013-09-10 Applied Materials, Inc. Method of BARC removal in semiconductor device manufacturing
US20130220159A1 (en) * 2012-02-28 2013-08-29 Sony Corporation Offset printing blanket cleaning liquid, method of cleaning offset printing blanket, method of manufacturing display unit, method of manufacturing printed material, and ink composition and printing method using the same
JP5952613B2 (ja) * 2012-03-30 2016-07-13 富士フイルム株式会社 レジストの現像方法、レジストパターンの形成方法およびモールドの製造方法並びにそれらに使用される現像液
CN102880017B (zh) * 2012-09-28 2014-07-23 京东方科技集团股份有限公司 光刻胶用剥离液组合物及其制备和应用
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US9263348B2 (en) 2013-01-10 2016-02-16 International Business Machines Corporation Film thickness metrology
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
CN103396695B (zh) * 2013-07-01 2014-07-30 华南理工大学 一种电泳漆去除液及其制备方法与应用
US10934408B2 (en) * 2014-04-09 2021-03-02 Shanghai Institute Of Ceramics, Chinese Academy Of Sciences Surface modification method for polyether-ether-ketone material
CA2945863C (en) * 2014-04-16 2021-11-02 Ecolab Inc. Compositions and methods useful for removing tablet coatings
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US20160020246A1 (en) * 2014-07-15 2016-01-21 United Microelectronics Corporation Method for fabricating cmos image sensors and surface treating process thereof
CN104614954A (zh) * 2015-01-09 2015-05-13 苏州瑞红电子化学品有限公司 一种去除光刻胶的水系剥离液组合物
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
CN106298441B (zh) * 2015-05-18 2020-03-27 盛美半导体设备(上海)股份有限公司 半导体工艺中去除残余物质的方法
TWI819694B (zh) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
JP6552931B2 (ja) * 2015-09-18 2019-07-31 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN105218364B (zh) * 2015-10-27 2017-09-01 诺泰生物科技(合肥)有限公司 一种脂肪酸异丙酯的合成方法及其在特种油中的应用
FR3046179A1 (fr) * 2015-12-23 2017-06-30 Oleon Nv Composition dispersante
US9613808B1 (en) 2016-01-19 2017-04-04 United Microelectronics Corp. Method of forming multilayer hard mask with treatment for removing impurities and forming dangling bonds
CN106519783A (zh) * 2016-11-11 2017-03-22 太原市塑料研究所 一种环保水性脱漆剂及其制备方法
KR102311328B1 (ko) * 2017-08-18 2021-10-14 오씨아이 주식회사 실리콘 질화막 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
CN107653098A (zh) * 2017-10-30 2018-02-02 苏州林恩色谱科技有限公司 蒸发光检测器用清洗剂
JP7177344B2 (ja) * 2017-11-14 2022-11-24 セントラル硝子株式会社 ドライエッチング方法
CN108803262A (zh) * 2018-07-03 2018-11-13 昆山欣谷微电子材料有限公司 一种酸性光刻胶剥离液
US11094527B2 (en) * 2018-10-10 2021-08-17 International Business Machines Corporation Wet clean solutions to prevent pattern collapse
KR102195007B1 (ko) * 2018-10-11 2020-12-29 세메스 주식회사 기판 세정 조성물, 이를 이용한 기판 처리 방법 및 기판 처리 장치
CN110047735A (zh) * 2019-04-02 2019-07-23 深圳市华星光电技术有限公司 金属结构湿制程处理方法、tft制备方法、tft及显示装置
US11164780B2 (en) * 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
KR20210026307A (ko) * 2019-08-29 2021-03-10 에스케이이노베이션 주식회사 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
KR20210069469A (ko) 2019-12-03 2021-06-11 삼성전자주식회사 알루미늄 가공물의 표면 무늬 형성 방법
CN111050478B (zh) * 2019-12-25 2021-03-02 浙江振有电子股份有限公司 一种环氧基板微米级细孔去渣方法
CN112495916A (zh) * 2020-11-04 2021-03-16 上海江丰平芯电子科技有限公司 一种化学机械抛光保持环的清洗方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07302744A (ja) * 1994-05-09 1995-11-14 Toshiba Corp 半導体ウエーハの薬液処理方法及びその薬液処理装置
JP2000056479A (ja) * 1998-08-07 2000-02-25 Showa Denko Kk サイドウォールの除去方法
WO2001029284A1 (en) * 1999-10-15 2001-04-26 Arch Specialty Chemicals, Inc. Novel composition for selective etching of oxides over metals
WO2001033613A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6265309B1 (en) * 1998-05-14 2001-07-24 Mitsubishi Gas Chemicals Co., Inc. Cleaning agent for use in producing semiconductor devices and process for producing semiconductor devices using the same
WO2001074985A1 (en) * 2000-03-31 2001-10-11 3M Innovative Properties Company Fluorinated solvent compositions containing ozone
JP2001330970A (ja) * 2000-05-23 2001-11-30 Daikin Ind Ltd 微細パターン用ポリマー剥離液組成物
JP2002110632A (ja) * 2000-09-26 2002-04-12 Sony Corp 構造基板および半導体装置の製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01146331A (ja) 1987-12-03 1989-06-08 Matsushita Electric Ind Co Ltd 板状被処理物の表面処理方法
JPH07216392A (ja) * 1994-01-26 1995-08-15 Daikin Ind Ltd 洗浄剤及び洗浄方法
JPH08195369A (ja) 1995-01-13 1996-07-30 Daikin Ind Ltd 基板の洗浄方法
JP3255551B2 (ja) 1995-01-31 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US6068788A (en) * 1995-11-15 2000-05-30 Daikin Industries, Ltd. Wafer-cleaning solution and process for the production thereof
JPH1050647A (ja) 1996-05-14 1998-02-20 Samsung Electron Co Ltd 洗浄溶液およびそれを用いた洗浄方法
JPH1055993A (ja) 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US6296714B1 (en) * 1997-01-16 2001-10-02 Mitsubishi Materials Silicon Corporation Washing solution of semiconductor substrate and washing method using the same
JPH10219156A (ja) * 1997-02-12 1998-08-18 Kansai Paint Co Ltd 塗膜の除去方法
US6815151B2 (en) * 1997-09-05 2004-11-09 Tokyo Ohika Kogyo Co., Ltd. Rinsing solution for lithography and method for processing substrate with the use of the same
US6033993A (en) 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6150282A (en) 1997-11-13 2000-11-21 International Business Machines Corporation Selective removal of etching residues
JPH11340183A (ja) 1998-05-27 1999-12-10 Morita Kagaku Kogyo Kk 半導体装置用洗浄液およびそれを用いた半導体装置の製 造方法
JP2000164586A (ja) * 1998-11-24 2000-06-16 Daikin Ind Ltd エッチング液
JP3903215B2 (ja) * 1998-11-24 2007-04-11 ダイキン工業株式会社 エッチング液
KR100319881B1 (ko) 1999-02-03 2002-01-10 윤종용 집적 회로 기판 표면의 불순물을 제거하기 위한 세정 수용액 및 이를 이용한 세정 방법
US6562726B1 (en) 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
KR100677782B1 (ko) * 2000-01-17 2007-02-05 제이에스알 가부시끼가이샤 절연막 형성용 재료의 제조 방법
MY129673A (en) 2000-03-20 2007-04-30 Avantor Performance Mat Inc Method and composition for removing sodium-containing material from microcircuit substrates
WO2001081525A1 (fr) * 2000-04-26 2001-11-01 Daikin Industries, Ltd. Composition de detergent
KR100363092B1 (ko) * 2000-06-27 2002-12-05 삼성전자 주식회사 강유전체막의 손상층을 제거하기 위한 세정액 및 이를이용한 세정방법
US6967173B2 (en) 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
JP2002169305A (ja) 2000-12-04 2002-06-14 Dainippon Screen Mfg Co Ltd ポリマー除去液およびポリマー除去装置
JP2003115479A (ja) * 2001-10-03 2003-04-18 Toshiba Corp 半導体装置の製造方法およびウエット処理装置
JP2003129089A (ja) * 2001-10-24 2003-05-08 Daikin Ind Ltd 洗浄用組成物
JP2004045774A (ja) * 2002-07-11 2004-02-12 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07302744A (ja) * 1994-05-09 1995-11-14 Toshiba Corp 半導体ウエーハの薬液処理方法及びその薬液処理装置
US6265309B1 (en) * 1998-05-14 2001-07-24 Mitsubishi Gas Chemicals Co., Inc. Cleaning agent for use in producing semiconductor devices and process for producing semiconductor devices using the same
JP2000056479A (ja) * 1998-08-07 2000-02-25 Showa Denko Kk サイドウォールの除去方法
WO2001029284A1 (en) * 1999-10-15 2001-04-26 Arch Specialty Chemicals, Inc. Novel composition for selective etching of oxides over metals
WO2001033613A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
WO2001074985A1 (en) * 2000-03-31 2001-10-11 3M Innovative Properties Company Fluorinated solvent compositions containing ozone
JP2001330970A (ja) * 2000-05-23 2001-11-30 Daikin Ind Ltd 微細パターン用ポリマー剥離液組成物
JP2002110632A (ja) * 2000-09-26 2002-04-12 Sony Corp 構造基板および半導体装置の製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1536291A4 *

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8030263B2 (en) 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US8440599B2 (en) 2004-07-01 2013-05-14 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
EP1612858A3 (en) * 2004-07-01 2007-06-27 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP2006072083A (ja) * 2004-09-03 2006-03-16 Mitsubishi Electric Corp レジスト除去用組成物
US7678751B2 (en) 2004-12-06 2010-03-16 Samsung Electronics Co., Ltd. Composition for removing photoresist, method of removing photoresist and method of manufacturing a semiconductor device using the same
JP2008545253A (ja) * 2005-05-10 2008-12-11 ラム リサーチ コーポレーション 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
KR101299661B1 (ko) * 2005-05-10 2013-09-03 램 리써치 코포레이션 정규형 저유전율 유전체 재료 및/또는 다공형 저유전율유전체 재료의 존재 시 레지스트 스트립 방법
US8124545B2 (en) 2005-05-11 2012-02-28 Micron Technology, Inc. Methods of etching oxide, reducing roughness, and forming capacitor constructions
US7713885B2 (en) 2005-05-11 2010-05-11 Micron Technology, Inc. Methods of etching oxide, reducing roughness, and forming capacitor constructions
WO2006124261A1 (en) * 2005-05-11 2006-11-23 Micron Technology, Inc. Methods of etching oxide, reducing roughness, and forming capacitor constructions
US20150094248A1 (en) * 2005-06-07 2015-04-02 Entegris, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US9422513B2 (en) * 2005-06-07 2016-08-23 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
CN102981377A (zh) * 2005-06-07 2013-03-20 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN101233456B (zh) * 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
JP2007086689A (ja) * 2005-09-26 2007-04-05 Kanto Chem Co Inc フォトレジスト残渣及びポリマー残渣除去液
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US8105998B2 (en) 2006-10-24 2012-01-31 Kanto Kagaku Kabushiki Kaisha Liquid composition for removing photoresist residue and polymer residue
WO2008050785A1 (fr) * 2006-10-24 2008-05-02 Kanto Kagaku Kabushiki Kaisha Composition liquide pour éliminer un résidu de photorésine et un résidu de polymère
JP2008107494A (ja) * 2006-10-24 2008-05-08 Kanto Chem Co Inc フォトレジスト残渣及びポリマー残渣除去液組成物
JP2010516044A (ja) * 2007-01-04 2010-05-13 イーストマン ケミカル カンパニー 溶媒および系の使用による基材洗浄方法
WO2009110582A1 (ja) * 2008-03-07 2009-09-11 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
JP5375820B2 (ja) * 2008-03-07 2013-12-25 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
US8828918B2 (en) 2008-03-07 2014-09-09 Wako Pure Chemical Industries, Ltd. Semiconductor surface treating agent composition and method for treating semiconductor surface using the semiconductor surface treating agent composition
JP5652399B2 (ja) * 2009-09-02 2015-01-14 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
WO2011027772A1 (ja) * 2009-09-02 2011-03-10 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
JPWO2011027772A1 (ja) * 2009-09-02 2013-02-04 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
US9034810B2 (en) 2009-09-02 2015-05-19 Wako Pure Chemical Industries, Ltd. Processing agent composition for semiconductor surface and method for processing semiconductor surface using same
JP2013521646A (ja) * 2010-03-05 2013-06-10 ラム リサーチ コーポレーション ダマシン処理によるサイドウォールポリマー用の洗浄溶液
JP2011245565A (ja) * 2010-05-24 2011-12-08 Nippon Telegr & Teleph Corp <Ntt> 微細構造体の製造方法
JP2012252070A (ja) * 2011-06-01 2012-12-20 Panasonic Corp 剥離剤組成物
US9920286B2 (en) 2012-06-11 2018-03-20 Tokyo Ohka Kogyo Co., Ltd. Cleaning liquid for lithography and method for forming wiring
JP2013258214A (ja) * 2012-06-11 2013-12-26 Tokyo Ohka Kogyo Co Ltd リソグラフィー用洗浄液及び配線形成方法
JP2014142635A (ja) * 2012-12-27 2014-08-07 Fujifilm Corp レジスト除去液およびレジスト剥離方法
JP2015005660A (ja) * 2013-06-21 2015-01-08 東京エレクトロン株式会社 酸化タンタル膜の除去方法および除去装置
WO2014203599A1 (ja) * 2013-06-21 2014-12-24 東京エレクトロン株式会社 酸化タンタル膜の除去方法および除去装置
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
JP2017508187A (ja) * 2013-12-20 2017-03-23 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
KR20160030835A (ko) * 2014-09-11 2016-03-21 동우 화인켐 주식회사 레지스트 박리액 조성물
KR102392062B1 (ko) 2014-09-11 2022-04-29 동우 화인켐 주식회사 레지스트 박리액 조성물
KR20160033023A (ko) * 2014-09-17 2016-03-25 동우 화인켐 주식회사 레지스트 박리액 조성물, 상기 조성물을 사용하는 플랫 패널 디스플레이 기판의 제조방법, 및 상기 제조방법으로 제조된 플랫 패널 디스플레이 기판
KR102392027B1 (ko) 2014-09-17 2022-04-29 동우 화인켐 주식회사 레지스트 박리액 조성물, 상기 조성물을 사용하는 플랫 패널 디스플레이 기판의 제조방법, 및 상기 제조방법으로 제조된 플랫 패널 디스플레이 기판
KR20170137707A (ko) 2015-04-13 2017-12-13 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 웨이퍼를 재생하기 위한 탄소함유 실리콘 산화물을 포함하는 재료의 세정액 및 세정방법
US10538718B2 (en) 2015-04-13 2020-01-21 Mitsubishi Gas Chemical Company, Inc. Cleaning solution and cleaning method for material comprising carbon-incorporated silicon oxide for use in recycling wafer
JP2018207108A (ja) * 2017-06-05 2018-12-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体デバイスの製造中に窒化ケイ素を選択的に除去するためのエッチング溶液
JP2018121077A (ja) * 2018-04-19 2018-08-02 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
JP7407324B1 (ja) * 2023-06-15 2023-12-28 東京応化工業株式会社 半導体デバイス用処理液、基板の処理方法、及び半導体デバイスの製造方法

Also Published As

Publication number Publication date
JP4434950B2 (ja) 2010-03-17
AU2003257636A1 (en) 2004-03-11
CN1678961B (zh) 2010-05-05
US7833957B2 (en) 2010-11-16
JPWO2004019134A1 (ja) 2005-12-15
EP1536291A4 (en) 2008-08-06
TW200411326A (en) 2004-07-01
TWI266969B (en) 2006-11-21
EP1536291A1 (en) 2005-06-01
CN1678961A (zh) 2005-10-05
KR20050058448A (ko) 2005-06-16
KR100649418B1 (ko) 2006-11-27
US20060138399A1 (en) 2006-06-29

Similar Documents

Publication Publication Date Title
WO2004019134A1 (ja) 剥離液
US6123088A (en) Method and cleaner composition for stripping copper containing residue layers
KR101082993B1 (ko) 레지스트용 박리제조성물 및 반도체장치의 제조방법
KR101382935B1 (ko) 반도체 드라이 프로세스 후의 잔사 제거액 및 이를 이용한 잔사 제거 방법
KR101827756B1 (ko) 반도체소자용 세정액 및 이를 이용한 세정방법
WO2004005211A1 (en) Compositions for removing etching residue and use thereof
WO2009064336A1 (en) Compositions for removal of metal hard mask etching residues from a semiconductor substrate
JPWO2005019499A1 (ja) 金属変質層の除去液及び金属変質層の除去方法
US7503982B2 (en) Method for cleaning semiconductor substrate
CN110997643B (zh) 清洁组合物
WO2004112115A1 (ja) シリコンを含有する反射防止膜および埋め込み材の除去液と除去方法
TWI534261B (zh) 金屬鑲嵌製程之側壁聚合物用之清洗溶液及其使用方法
US11319513B2 (en) Non-aqueous tungsten compatible metal nitride selective etchants and cleaners
KR101354419B1 (ko) 반도체 드라이 프로세스 후의 잔사 제거액 및 그것을 이용한 잔사 제거 방법
US20120172272A1 (en) Cleaning composition for semiconductor device and method of cleaning semiconductor device using the same
JP2006059831A (ja) エッチング液およびエッチング方法
JP2004317584A (ja) 電子基板の製造方法
JP4758187B2 (ja) フォトレジスト残渣及びポリマー残渣除去液
JP2004354649A (ja) レジスト用剥離剤組成物
JPH1167703A (ja) 半導体素子製造用洗浄液及びこれを用いた半導体素子 の製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004530588

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 20038198762

Country of ref document: CN

Ref document number: 1020057003010

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003792757

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2003792757

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057003010

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2006138399

Country of ref document: US

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 10525249

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10525249

Country of ref document: US