JPWO2004019134A1 - 剥離液 - Google Patents

剥離液 Download PDF

Info

Publication number
JPWO2004019134A1
JPWO2004019134A1 JP2004530588A JP2004530588A JPWO2004019134A1 JP WO2004019134 A1 JPWO2004019134 A1 JP WO2004019134A1 JP 2004530588 A JP2004530588 A JP 2004530588A JP 2004530588 A JP2004530588 A JP 2004530588A JP WO2004019134 A1 JPWO2004019134 A1 JP WO2004019134A1
Authority
JP
Japan
Prior art keywords
acid
mass
ether
solvent
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004530588A
Other languages
English (en)
Other versions
JP4434950B2 (ja
Inventor
板野 充司
充司 板野
崇 金村
崇 金村
中村 新吾
新吾 中村
文宏 上谷
文宏 上谷
健彦 毛塚
健彦 毛塚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Daikin Industries Ltd
Original Assignee
Daikin Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries Ltd filed Critical Daikin Industries Ltd
Publication of JPWO2004019134A1 publication Critical patent/JPWO2004019134A1/ja
Application granted granted Critical
Publication of JP4434950B2 publication Critical patent/JP4434950B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/428Stripping or agents therefor using ultrasonic means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/24Hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/264Aldehydes; Ketones; Acetals or ketals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本発明は、有機酸及び有機溶媒からなる群から選ばれる少なくとも1種、並びにフッ化水素(HF)を含む、low−k膜用のレジスト剥離液及びビアホール又はキャパシタ洗浄液;並びにこれらを用いたレジスト剥離方法及びビアホール又はキャパシタの洗浄方法を提供する。

Description

本発明は、low−k膜用レジスト剥離液及びビアホール又はキャパシタ洗浄液に関するものである。
半導体のデバイスにおいて、近年SiO膜の代わりに比誘電率の低いlow−k膜(低誘電率膜)を用いる技術が開発されており、それに伴い半導体デバイスの製造工程においてlow−k膜をエッチングする必要が生じる。従来は、膜をドライエッチングした後にはOプラズマアッシングを行い、レジストを除去していたが、このような処理はlow−k膜にダメージを与えてしまう。従って、Oプラズマアッシングを行わずに(或いはHプラズマアッシングもしくは軽いOプラズマアッシングをした後に)、レジストを薬液で剥離することが望ましい。しかしながら、現在提案されているレジスト剥離液では、low−k膜上に形成されたレジスト(例えば、KRF(クリプトンエフ)レジスト自体が剥離できず、low−k膜がエッチングされてしまい、剥離液として使用できない。
また、半導体デバイスの製造工程において、ビアホールを形成した後、ビアホールの側壁及び/又は底面にチタン化合物及び/又はポリマーが残存する場合がある。従って、当該チタン化合物及び/又はポリマーをビアホールから除去するための組成物の開発が求められている。
さらに、半導体デバイスの製造工程において、メタルキャパシタを形成する際、メタルキャパシタの上部又は下部電極メタル膜(TiN、Tiなど)のドライエッチング後にポリマー、レジスト残渣及びチタン化合物が残存する場合がある。従って、当該ポリマー、レジスト残渣及びチタン化合物を除去するための組成物の開発が求められている。
近年まで、配線材料としてAlあるいはAl合金などを用い、層間絶縁膜としてSiO膜を使用するAl/SiO多層配線構造の半導体デバイスが中心に製作されてきた。現在は、デバイスの微細化に伴う配線遅延を低減するため、配線材料として抵抗値の低いCuを用い、層間絶縁膜としてSiO膜の代わりに配線間容量の小さいlow−k膜(低誘電率膜)を用いるCu/low−k多層配線構造が開発されている。
Al/SiO多層配線構造では、加工するウェハーに対して水平方向に電流を供給する配線層と各配線層間を接続する垂直方向の穴型の配線であるビア層を別々に形成する。配線層はメタルドライエッチングにより凸型のAlなどの金属配線を加工し、その配線の周囲をSiO膜などの層間絶縁膜で埋め込むことにより形成される。ビア層はSiO膜などの層間絶縁膜を堆積した後に、層間絶縁膜ドライエッチングにより穴(ビアホール)を加工し、AlやWなどの金属を埋め込み形成する。
Cu/low−k多層配線構造では、ドライエッチングにより、まずlow−k膜に溝(トレンチ)や穴(ビアホール)を加工し、その加工部分に主に銅などの配線材料を埋め込み配線構造を形成するダマシンといわれる方法により加工が行われる。さらに、デュアルダマシンという方法においては、配線のためのトレンチとビアホールを同時にlow−k膜に形成し、その後、銅などの配線材料を埋め込む。デュアルダマシン構造の形成には、ビアホールを先に形成した後、配線のための溝を形成するビアファーストプロセス、この逆の順序で配線のためのトレンチを先に形成した後、ビアホールを形成するトレンチファーストプロセス、その他にミドルファーストプロセス、デュアルハードマスクプロセスなどがある。デュアルダマシンのプロセスなどでは埋め込み材を使用する場合が多い。たとえば、ビアファーストのプロセスにおいて、ビアホールをドライエッチングにより形成した後、埋め込み材を埋め込み、トレンチを形成するためのリソグラフィーを行い、エッチングする。その後、埋め込み材は選択的に除去する必要がある。
Al/SiO多層配線構造では、配線形成のためのメタルエッチングには塩素や臭化水素などのガスが用いられ、ビアホール形成のためのビアエッチングには、フルオロカーボンガスやハイドロフルオロカーボンガス、Arなどの不活性ガスおよび酸素や一酸化炭素などの酸素含有ガスなどの混合ガスが用いられる。メタルエッチングやビアホール加工のための層間絶縁膜のビアエッチング後には、レジストやエッチング残渣などの不要なものを除去するために酸素を含んだプラズマによりアッシング(灰化)を行う。このアッシング後に存在する残渣を剥離液で除去する。ここでの残渣は、メタルエッチングでは、レジストなどの有機物を若干含むアルミニウムなどの酸化物である。この残渣は、アルミニウム配線の側壁に形成されるため、サイドウォールポリマー、ラビットイヤーなどと呼ばれることもある。ビアエッチングの場合は、レジストやフルオロカーボンポリマーなどの有機物を若干含む金属バリア膜であるTiやTiNなどの酸化物やフッ化物である。この残渣もサイドウォールポリマーと呼ぶことがある。このように、メタルやビアホールでのエッチング後の残渣は、酸素プラズマでレジストがなくなるまでアッシング処理する場合が多く、エッチング残渣の主成分は無機化した酸化物である。
これに対して、Cu/low−k多層配線構造では、フルオロカーボンガスと窒素などとの混合ガスを用いたドライエッチングにより、low−k膜に溝(トレンチ)や穴(ビアホール)などのダマシン構造を加工する。ドライエッチングガスに窒素を使用すると、加工精度を増すことができる。しかし、シリコンを含有するlow−k膜との間で不揮発性の窒化されたシリコンの残渣を生じる。エッチング後のレジストや残渣を除去するために、酸素を含んだプラズマにより完全にアッシングを行うと、low−k膜に比誘電率の変化もたらすダメージを与える。このため、プラズマアッシングを行わずに、水素、窒素、希ガスおよびこれらの混合ガスなどのプラズマでアッシングするか、もしくは軽い酸素を含んだプラズマアッシングする場合が多い。また、low−k膜に与えるダメージをできるだけ少なくしようとして完全にレジストや埋め込み材をアッシングにより取り除かない場合も多い。プラズマによるアッシング処理に窒素を含んだガスを用いた場合は、残渣はさらに窒素化されたシリコンを多く含む。このような場合、アッシング後であっても、比較的多くのレジスト、反射防止膜、埋め込み材および窒素を含有するシリコン窒化物のようなエッチング残渣が存在する。かなりの程度、アッシングしたとしても、レジスト、反射防止膜および埋め込み材をすべて除去することは難しい。このように、ダマシンプロセスでのエッチング後に存在する残渣の主成分は、シリコン窒化物のような無機物を含むレジスト、反射防止膜、埋め込み材及びフルオロカーボンポリマーに由来する有機物である。
Al/SiO多層配線構造の形成過程において、ドライエッチングで生じた無機化した残渣を除去する剥離液の特許やあるいは形成したパターンの洗浄を行う洗浄液の特許が多く出願されている。特開平1−146331号公報では、フッ酸とイソプロパノールなどの有機溶媒を混合した洗浄液を用いている。この洗浄液により濡れ性のよい均一な洗浄ができることが述べられている。しかしながら、本発明で対象とするようなドライエッチングで生じた残渣やレジストの除去については触れられていない。日本特許第3255551号公報では、HF、水溶性有機溶媒、芳香族ヒドロキシ化合物、アセチレンアルコール、カルボキシル基含有有機化合物及びその無水物、ならびにトリアゾール化合物からなる群から選ばれる少なくとも1種の防食剤を含有するレジスト用剥離液組成物が開示されている。有機溶媒として、スルホキシド類、アミド類、多価アルコールなどに効果があるとされている。特開平10−50647号公報では、コンタクトホール形成後、エッチング残渣、金属物質などの汚染物質を含むコンタクトホール底面の自然酸化膜と側壁の酸化膜を注意深くエッチングしてコンタクトホールを洗浄する。0.25重量%〜0.5重量%程度の低濃度のフッ化水素とイソプロパノールとDIW(Deionized water)との混合溶液において、プラズマシリコン酸化膜、低圧化学気相蒸着シリコン酸化膜およびBPSG膜の三種類の膜を貫通して形成されたコンタクトホールを洗浄した際に段差のない均一なプロファイルを得ることができることが開示されている。USP6150282号には、シリコン酸化膜にビアホール形成後のエッチング残渣の洗浄液と洗浄方法についてフッ化水素と有機溶媒を用いることが開示されている。USP6150282号では、フッ化水素、有機溶媒および水からなる剥離液で、マスク、エッチング残渣、シリコン酸化膜およびシリコン窒素化膜の少なくとも1種を同時に剥離およびエッチング処理する方法が開示されている。特開平11−340183号公報では、20重量%以下のフッ化水素と誘電率10以上のアルコールなどとを含む洗浄液を用いて、ビアホールエッチング後の洗浄やメタル配線のドライエッチング後のサイドウォールポリマーをアルミニウムなどの金属配線の腐食を抑えて除去できることが示されている。
しかしながら、これら文献は層間絶縁膜としてlow−k膜を、配線材料として銅を使用することを想定していない。これらはAl/SiO多層配線構造を形成する際にドライエッチング後の無機質残渣を除去および加工したパターンを洗浄しようとしているものである。
本発明は、low−k膜用のレジスト剥離液及びビアホール用の洗浄液及びメタルキャパシタ用の洗浄液を提供することを主な目的とする。
本発明は、Cu/low−k多層配線構造を形成する際におけるドライエッチング後のレジスト、反射防止膜、埋め込み剤およびこれらを含むエッチング残渣を除去および剥離することを対象としている。Al/SiO多層配線構造の場合とは対象が異なる。層間絶縁膜としてlow−k膜を用いた場合のエッチング残渣は、レジスト、反射防止膜および埋め込み材などの有機物が多く、SiNのような窒化されたシリコンの残渣を含んだものとなる。このような残渣を取り除くためには、有機化合物を多く含み、シリコン窒化物を選択的に溶解させる剥離液が必要である。本発明者は、剥離液がシリコン窒化物を選択的に溶解させる効果はシリコン窒化膜(SiN)を選択的にエッチングする効果を指標として評価できる事を確認している。すなわち、シリコン窒化膜(SiN)を選択的にエッチングする剥離液ほど、エッチング残渣中のシリコン窒化物をよく溶解させ、残渣除去の効果が大きい。また、low−k膜と組み合わせて配線材料として銅が使用される。銅を剥離液によりエッチングしないことが必要とされる。これらの要求を満たす剥離液は以前には開発されていない。
本発明の剥離液はフッ化水素と有機酸および/または有機溶媒の混合液からなり、配線材料である銅などの金属、絶縁膜バリアやlow−k膜およびエッチング残渣のエッチングをコントロールすることが可能である。すなわち、本発明の剥離液は以下の特徴をもつ:
(1)銅などの金属の腐食を抑制すること;
(2)絶縁膜バリアを選択的にエッチングして絶縁膜バリアやlow−k膜とエッチング残渣との界面を剥離して分離させること;
(3)エッチング残渣中のシリコン窒化物(SiN)などを選択的に溶解させること;及び
(4)エッチング残渣の有機成分を有機溶媒により溶解させること。
これらの特徴によって本発明のエッチング液は、エッチング残渣を除去することができる。
例えば、本発明において、フッ化水素とイソプロパノールおよび水とからなる剥離液では、フッ化水素:イソプロパノール:水の重量比が、1.00〜5.00mass%:87.00〜98.5mass%:0.50〜8.00mass%である場合に剥離液としての効果が大きい。
フッ化水素、イソプロパノールなどの有機溶媒および水からなる洗浄液および剥離液は、多く出願されている。これらは、Cu/low−k多層配線構造を形成するためのものでなく、Al/SiO多層配線構造を形成するために使用されるものばかりであり、除去対象が全く異なる。以下これらの内容を示す。
特開平1−146331号公報、特開平10−50647号公報では、同じフッ化水素とイソプロパノールおよび水とからなる洗浄液であるが、これらのフッ化水素濃度は0.5重量%以下であり、絶縁膜バリアやシリコン窒化膜(SiN)を選択的にエッチングできないため、レジスト、反射防止膜、埋め込み材およびエッチング残渣の除去性が悪い。とくに、特開平10−50647号公報は、コンタクトホールを洗浄した際に段差のない均一なプロファイルを得ることなどを目的としており、そこで使用されるプラズマシリコン酸化膜、低圧化学気相蒸着シリコン酸化膜およびBPSG膜のエッチング速度がほぼ同じであることを特徴としている。
これに対して、本発明は、これとは全く逆の構成であり、絶縁膜バリアやシリコン窒化膜(SiN)をlow−k膜に対して選択的にエッチングすることを特徴としている。本発明の剥離液で、プラズマシリコン酸化膜、低圧化学気相蒸着シリコン酸化膜およびBPSG膜をエッチングすると、シリコン窒化物と同様に、BPSG膜を極端に多くエッチングすることになる。
日本特許第3255551号では、有機溶媒として、スルホキシド類、アミド類、多価アルコールなどに効果があるとされている。多価アルコールは、シリコン窒化物(SiN)をlow−k膜に対して選択的にエッチングする効果が小さい。スルホキシド類、アミド類は、銅の腐食が大きく、特に実施例としてあげられているジメチルスルホキシドは激しく銅を腐食させる。防食剤を添加しているが、これは、配線材料として銅を対象としていないことが明らかである。これに対して、防食剤を必要としない有機溶媒を選択したのが本発明である。すなわち、日本特許第3255551号では、Cu/low−k多層配線構造を形成するために使用する剥離液ではない。
USP6150282号は、実質的に水分を含まず、金属の腐食が少ないことを特徴としている。これに対して、本発明では、エッチング残渣にシリコン窒化物(SiN)を含む場合は水分が必要である。水分がないとシリコン窒化物(SiN)をlow−k膜に対して選択的に除去することができないからである。また、本発明では水分を極限まで少なくしなくても、銅の腐食が小さい有機溶媒を選択している。例示したイソプロパノールはそのひとつである。USP6150282号において、水分を少なくしなければならないのはアルミニウムなどのAl/SiO2多層配線構造の形成に使用される金属を腐食させないことを対象としているからである。これらのことから、USP6150282号も、Cu/low−k多層配線構造を形成するために使用する剥離液ではない。
USP6150282号では、オキシラン、スルホラン、エステル、ケトン、アルデヒド、ラクトン、ハロゲンを含む炭化水素、アルコール、アミンおよびイミドなどを有機溶媒として用いている。Cu/low−k多層配線構造の形成用に剥離液として用いる有機溶媒は水溶性であることが重要であるが、このことが示されていない。剥離処理後に剥離液を除去する際に、水溶性の有機溶媒を用いた場合は、中間のリンスが不要であり、純水だけで被処理物に残った剥離液を取り除くことができる。本発明の剥離液は、レジスト、反射防止膜、埋め込み剤およびこれらを含むエッチング残渣を取り除く際に、これらの接する絶縁膜バリアやlow−k膜をわずかに均一にエッチングする。この場合に、非水溶性の有機溶媒を用いると絶縁膜バリアやlow−k膜の表面荒れを生じ、剥離後の形状が悪くなる。このようなことからも、Cu/low−k多層配線構造の形成用に剥離液として用いる有機溶媒は水溶性であることが望ましい。USP6150282号ではこのようなことが示されていない。ハロゲンを含む炭化水素は非水溶媒であり、その他のオキソラン、スルホラン、エステル、ケトン、アルデヒド、ラクトン、アルコール、アミンおよびイミドにおいても非水溶性のものは、以上のような理由から、Cu/low−k多層配線構造の形成用に剥離液としては使用しにくい。
また、スルホラン、アミンおよびイミドを用いた場合は銅を腐食させる。アルコールはひとつのOH基をもつアルコールが好ましいとしているが、メタノールを用いた場合は銅を腐食させる。エタノールもイソプロパノールに比べると銅の腐食量が多い。本発明では、メタノール、エタノールは単独では使用しないが、他の有機溶媒と併用することは可能である。
これに対して、プロパノールやt−ブタノールなど炭素数が3以上の水溶性アルコールは銅の腐食が少なく、剥離処理後に、純水でリンスするだけで剥離液を取り除くことができるため、少ない工程数で効果的な剥離処理をすることができる。本発明者はこのようなプロパノールやt−ブタノールなど炭素数が3以上の水溶性アルコールがCu/low−k多層配線構造の形成用に有用であることを見出した。USP6150282号ではこのようなことが示されておらず、Cu/low−k多層配線構造の形成のための剥離液として不適切なものが多く含まれている。エステル、ケトンにおいても、水溶性であることが望ましく、酢酸メチル、酢酸エチル、酢酸ブチル、アセトンなどがシリコン窒化物(SiN)をlow−k膜に対して選択的にエッチングする効果が大きく、Cu/low−k多層配線構造の形成の際にレジスト、反射防止膜およびこれらを含むエッチング残渣を効果的に除去することのできる最適なエステルおよびケトンであることを本発明では示している。これに対して、USP6150282号では、Cu/low−k多層配線構造の形成のための剥離液として有用な有機溶媒が具体的に何も示されていない。
特開平11−340183号公報では、20重量%以下のフッ化水素と誘電率10以上のアルコール(R−OH)などとを含む洗浄液を用いて、金属の腐食が少ないサイドウォールポリマー除去液を提供することを特徴としている。R−OH+2HF→(R−OH)H+HF により、HFをわずかに解離させ、微量のHF イオンを生成させる。生成した微量のHF により、サイドウォールポリマーを除去し、Alに対する反応を抑制している。これに対して、本発明は、アルコールなどの有機溶媒により、水素結合ポリマー(HF)を解離させ、HFとHFおよび(HF)(nは2以上)から供給されるプロトンHとにより、絶縁膜バリアやシリコン窒化膜(SiN)をlow−k膜に対して選択的にエッチングすることによりエッチング残渣を除去する。
特開平11−340183号公報においてサイドウォールポリマー除去液として効果がある有機溶媒としてメタノールが実施例で示されている。Al/SiO多層配線構造におけるアルミニウム配線を形成する際に生じるサイドウォールポリマーはアッシングにより無機化されている場合が多い。このポリマーを除去する指標としてアルミナ膜(Al膜)のエッチング速度を用いることができる。この膜のエッチング速度が大きいものほどサイドウォールポリマーが除去されやすい。メタノールを用いた場合、実施例に示されるようにイソプロパノールに比べて、Al2O3膜のエッチング速度は大きく、アルミニウム配線のサイドウォールポリマーを除去する効果がイソプロパノールに比べて大きい。シリコン窒化膜(SiN)は、イソプロパノールに比べてメタノールのほうが選択的にエッチングできる。しかし、銅の腐食においては、メタノールはイソプロパノールに比べて著しく銅を腐食させる。
特開平11−340183号公報では、イソプロパノールをはじめとする他の溶媒に、銅の腐食を抑制する効果があることを示していない。また、Cu/low−k多層配線構造の形成の際に除去するレジスト、反射防止膜及びこれらを含むエッチング残渣などは有機物を多く含んでいる。これらの有機物はメタノールよりもイソプロパノールのほうが溶解しやすく除去されやすいことも開示していない。これらは特開平11−340183号公報が、Cu/low−k多層配線構造を形成するために使用する剥離液ではないことを示している。
本発明では、フッ化水素、有機溶媒および水からなる剥離液において、イソプロパノールや酢酸などをはじめとして、銅の腐食が小さく、シリコン窒化膜(SiN)をlow−k膜に対して選択的にエッチングする有機酸および/または有機溶媒を使用することにより、Cu/low−k多層配線構造を形成するために有用な剥離液を供給する。
本発明は、以下の各項に示す剥離液及び剥離方法、洗浄液及び洗浄方法などに関する。
項1 有機酸及び有機溶媒からなる群から選ばれる少なくとも1種、並びにフッ化水素(HF)を含むlow−k膜用のレジスト剥離液。
項2 さらに、アンモニア及びアミンからなる群より選ばれる少なくとも1種を含む項1に記載のレジスト剥離液。
項3 超音波洗浄用である項1に記載の剥離液。
項4 low−k膜の比誘電率が、1より大きく、3以下である項1に記載の剥離液。
項5 SiN膜を1Å以上エッチングすることができる項1に記載の剥離液。
項6 有機酸または有機溶媒のSP値が7〜17である項1に記載の剥離液。
項7 HFの濃度が0.01〜10mass%である項1に記載の剥離液。
項8 有機酸及び有機溶媒からなる群から選ばれる少なくとも1種が有機酸、或いは有機酸及び有機溶媒の混合物であって、HFの濃度が0.01〜5mass%である項1に記載の剥離液。
項9 有機酸及び有機溶媒からなる群から選ばれる少なくとも1種が有機溶媒であって、HFの濃度が0.01〜10mass%である項1に記載の剥離液。
項10 さらに水を含み、HF:有機酸:水の重量比が0.01〜5mass%:49〜99.9mass%:0〜50mass%であり、有機酸がモノカルボン酸、スルホン酸及びポリカルボン酸からなる群より選ばれる少なくとも1種である項1に記載の剥離液。
項11 モノカルボン酸が、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カプロン酸、カプリル酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、モノフルオロ酢酸、ジフルオロ酢酸、トリフルオロ酢酸、α−クロロ酪酸、β−クロロ酪酸、γ−クロロ酪酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少なくとも1種であり、
スルホン酸が、メタンスルホン酸、ベンゼンスルホン酸、トリフルオロメタンスルホン酸及びトルエンスルホン酸からなる群より選ばれる少なくとも1種であり、
ポリカルボン酸が、シュウ酸、コハク酸、アジピン酸、酒石酸及びクエン酸からなる群より選ばれる少なくとも1種である項10に記載の剥離液。
項12 さらに水を含み、HF:有機溶媒:水の重量比が0.01〜10mass%:49〜99.9mass%:0〜50mass%であり、有機溶媒が1価アルコール類、ポリオール類、ケトン類、アミド類、ニトリル類、アルデヒド類、アルキレングリコールモノアルキルエーテル、エーテル類、エステル類、炭化水素類、ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる群より選ばれる少なくとも1種である項9に記載の剥離液。
項13 HF:アンモニア及びアミンからなる群より選ばれる少なくとも1種:有機溶媒:水の重量比が0.01〜10mass%:0.01〜30mass%:49〜99.9mass%:0〜50mass%であり、有機溶媒が1価アルコール類、ポリオール類、ケトン類、アミド類、ニトリル類、アルデヒド類、アルキレングリコールモノアルキルエーテル、エーテル類、エステル類、炭化水素類、ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる群より選ばれる少なくとも1種である項2に記載の剥離液。
項14 1価アルコール類が、メタノール、エタノール、イソプロパノール(IPA)、1−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、2−メチル−1−プロパノール、1−ペンタノール、1−ヘキサノール、1−ヘプタノール、4−ヘプタノール、1−オクタノール、1−ノニルアルコール、1−デカノール、1−ドデカノール、ラウリルアルコール及びシクロヘキサノールからなる群より選ばれる少なくとも1種であり(但し、メタノール、エタノールは他の有機溶媒または有機酸と併用される);
ポリオール類が、エチレングリコール、ジエチレングリコール、1,2−プロパンジオール、プロピレングリコール、2,3−ブタンジオール及びグリセリンからなる群より選ばれる少なくとも1種であり;
ケトン類が、アセトン、アセチルアセトン、メチルエチルケトン、メチルイソブチルケトン、シクロヘキサノン、ジエチルケトン及びジイソブチルケトンからなる群より選ばれる少なくとも1種であり;
アミド類が、N−メチルホルムアミド、N,N−ジメチルホルムアミド、N−メチルアセトアミド及びN,N−ジメチルアセトアミドからなる群より選ばれる少なくとも1種であり;
ニトリル類が、アセトニトリル、プロピオニトリル、ブチロニトリル、イソブチロニトリル及びベンゾニトリルからなる群より選ばれる少なくとも1種であり;
アルデヒド類が、ホルムアルデヒド、アセトアルデヒド及びプロピオンアルデヒドからなる群より選ばれる少なくとも1種であり;
アルキレングリコールモノアルキルエーテルが、エチレングリコールモノメチルエーテル及びエチレングリコールモノエチルエーテルからなる群より選ばれる少なくとも1種であり;
エーテル類が、テトラヒドロフラン、ジオキサン、ジイソプロピルエーテル、ジブチルエーテル、テトラヒドロピラン、アニソール、1,2−ジメトキシエタン及びジエチレングリコールジメチルエーテルからなる群より選ばれる少なくとも1種であり;
エステル類が、酢酸メチル、酢酸エチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチル、酢酸イソブチル、酢酸ペンチル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、プロピオン酸ペンチル、プロピオン酸ヘキシル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、酪酸ヘキシル、イソ酪酸メチル、イソ酪酸エチル、イソ酪酸プロピル、イソ酪酸イソプロピル、イソ酪酸ブチル、イソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸ヘキシル、吉草酸メチル、吉草酸エチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸ブチル、吉草酸イソブチル、吉草酸ペンチル、吉草酸ヘキシル、イソ吉草酸メチル、イソ吉草酸エチル、イソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸ブチル、イソ吉草酸イソブチル、イソ吉草酸ペンチル、イソ吉草酸ヘキシル、カプロン酸メチル、カプロン酸エチル、カプロン酸プロピル、カプロン酸イソプロピル、カプロン酸ブチル、カプロン酸イソブチル、カプロン酸ペンチル、カプロン酸ヘキシル、カプリル酸メチル、カプリル酸エチル、カプリル酸プロピル、カプリル酸イソプロピル、カプリル酸ブチル、カプリル酸イソブチル、カプリル酸ペンチル、カプリル酸ヘキシル、オクタン酸メチル、オクタン酸エチル、オクタン酸プロピル、オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、オクタン酸ヘキシル、ノナン酸メチル、ノナン酸エチル、ノナン酸プロピル、ノナン酸イソプロピル、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸ヘキシル、デカン酸メチル、デカン酸エチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチル、デカン酸イソブチル、デカン酸ペンチル、デカン酸ヘキシル、ドデカン酸メチル、ドデカン酸エチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカン酸イソブチル、ドデカン酸ペンチル、ドデカン酸ヘキシル、ラウリル酸メチル、ラウリル酸エチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブチル、ラウリル酸ペンチル、ラウリル酸ヘキシル、アクリル酸メチル、アクリル酸エチル、アクリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、アクリル酸ペンチル、アクリル酸ヘキシル、シュウ酸モノメチル、シュウ酸ジメチル、シュウ酸モノエチル、シュウ酸ジエチル、シュウ酸モノプロピル、シュウ酸ジプロピル、シュウ酸モノブチル、シュウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノエチル、コハク酸ジエチル、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチル、コハク酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピン酸ジエチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノエチル、酒石酸ジエチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、クエン酸モノメチル、クエン酸ジメチル、クエン酸モノエチル、クエン酸ジエチル、クエン酸モノプロピル、クエン酸ジプロピル、クエン酸モノブチル、クエン酸ジブチル、フタル酸ジメチル、フタル酸ジエチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、フタル酸ジヘキシル、フタル酸ジヘプチル、フタル酸ジオクチル、フタル酸ジノニル、フタル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジエチル、テレフタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジヘキシル、テレフタル酸ジヘプチル、テレフタル酸ジオクチル、テレフタル酸ジノニル、テレフタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン及びγ−ブチロラクトンからなる群より選ばれる少なくとも1種であり;
炭化水素類が、ヘキサン、シクロヘキサン、オクタン、イソオクタン、ベンゼン及びトルエンからなる群より選ばれる少なくとも1種であり;
ハロゲン化合物類が、クロロホルム、O−ジクロロベンゼン、パーフロロヘキサン及びパーフロロメチルシクロヘキサンからなる群より選ばれる少なくとも1種であり;
フッ素アルコール類が、トリフルオロエタノール、ペンタフルオロプロパノール及び2,2,3,3−テトラフルオロプロパノールからなる群より選ばれる少なくとも1種であり;
リン酸エステル類が、リン酸ジメチル、リン酸ジブチル、リン酸ジフェニル、リン酸ジベンジル、リン酸トリメチル、リン酸トリエチル、リン酸トリプロピル、リン酸トリブチル及びリン酸トリフェニルからなる群より選ばれる少なくとも1種であり;
含窒素化合物類が、テトラメチル尿素及びN−メチル−2−ピロリドンからなる群より選ばれる少なくとも1種である;項12に記載の剥離液。
項15 low−k膜の表面上或いはlow−k膜上の反射防止膜(BARC)を介してレジストを有する被処理物を、レジストを剥離するがlow−k膜に実質的にダメージを与えないような温度及び時間で項1に記載の剥離液を用いて処理することを特徴とするレジスト剥離方法。
項16 剥離液で処理する前に、レジストを、low−k膜に実質的にダメージを与えない程度にアッシング処理することを特徴とする項15記載の方法。
項17 low−k膜に実質的にダメージを与えないことが、実質的にlow−k膜をエッチングしないこと及び/又は処理前後のlow−k膜の比誘電率が実質的に変化しないことである項15に記載の方法。
項18 被処理物の処理を、超音波洗浄しながら行うことを特徴とする項15に記載の方法。
項19 項15に記載の方法により得ることができるレジスト剥離処理物。
項20 有機酸及び有機溶媒からなる群から選ばれる少なくとも1種、並びにフッ化水素(HF)を含むビアホール又はキャパシタ洗浄液。
項21 さらに、アンモニア及びアミンからなる群より選ばれる少なくとも1種を含む項20に記載の洗浄液。
項22 超音波洗浄用である項20に記載の剥離液。
項23 TiN膜を0.01Å以上エッチングすることができる項20に記載の洗浄液。
項24 さらに水を含み、HF:有機酸:水の重量比が0.01〜5mass%:49〜99.9mass%:0〜50mass%であり、有機酸がモノカルボン酸、スルホン酸及びポリカルボン酸からなる群より選ばれる少なくとも1種である項20に記載の洗浄液。
項25 モノカルボン酸が、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カプロン酸、カプリル酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、モノフルオロ酢酸、ジフルオロ酢酸、トリフルオロ酢酸、α−クロロ酪酸、β−クロロ酪酸、γ−クロロ酪酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少なくとも1種であり、
スルホン酸が、メタンスルホン酸、ベンゼンスルホン酸、トリフルオロメタンスルホン酸及びトルエンスルホン酸からなる群より選ばれる少なくとも1種であり、
ポリカルボン酸が、シュウ酸、コハク酸、アジピン酸、酒石酸及びクエン酸からなる群より選ばれる少なくとも1種である項24に記載の洗浄液。
項26 さらに水を含み、HF:有機溶媒:水の重量比が0.01〜10mass%:49〜99.9mass%:0〜50mass%であり、有機溶媒が1価アルコール類;ポリオール類;ケトン類;アミド類;ニトリル類;アルデヒド類;アルキレングリコールモノアルキルエーテル;エーテル類;エステル類;炭化水素類;ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる群より選ばれる少なくとも1種である項20に記載の洗浄液。
項27 HF:アンモニア及びアミンからなる群より選ばれる少なくとも1種:有機溶媒:水の重量比が0.01〜10mass%:0.01〜30mass%:49〜99.9mass%:0〜50mass%であり、有機溶媒が1価アルコール類;ポリオール類;ケトン類;アミド類;ニトリル類;アルデヒド類;アルキレングリコールモノアルキルエーテル;エーテル類;エステル類;炭化水素類;ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる群より選ばれる少なくとも1種である項21に記載の洗浄液。
項28 1価アルコール類が、メタノール、エタノール、イソプロパノール(IPA)、1−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、2−メチル−1−プロパノール、1−ペンタノール、1−ヘキサノール、1−ヘプタノール、4−ヘプタノール、1−オクタノール、1−ノニルアルコール、1−デカノール、1−ドデカノール、ラウリルアルコール及びシクロヘキサノールからなる群より選ばれる少なくとも1種であり;
ポリオール類が、エチレングリコール、ジエチレングリコール、1,2−プロパンジオール、プロピレングリコール、2,3−ブタンジオール及びグリセリンからなる群より選ばれる少なくとも1種であり;
ケトン類が、アセトン、アセチルアセトン、メチルエチルケトン、メチルイソブチルケトン、シクロヘキサノン、ジエチルケトン及びジイソブチルケトンからなる群より選ばれる少なくとも1種であり;
アミド類が、N−メチルホルムアミド、N,N−ジメチルホルムアミド、N−メチルアセトアミド及びN,N−ジメチルアセトアミドからなる群より選ばれる少なくとも1種であり;
ニトリル類が、アセトニトリル、プロピオニトリル、ブチロニトリル、イソブチロニトリル及びベンゾニトリルからなる群より選ばれる少なくとも1種であり;
アルデヒド類が、ホルムアルデヒド、アセトアルデヒド及びプロピオンアルデヒドからなる群より選ばれる少なくとも1種であり;
アルキレングリコールモノアルキルエーテルが、エチレングリコールモノメチルエーテル及びエチレングリコールモノエチルエーテルからなる群より選ばれる少なくとも1種であり;
エーテル類;テトラヒドロフラン、ジオキサン、ジイソプロピルエーテル、ジブチルエーテル、テトラヒドロピラン、アニソール、1,2−ジメトキシエタン及びジエチレングリコールジメチルエーテルからなる群より選ばれる少なくとも1種であり;
エステル類が、酢酸メチル、酢酸エチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチル、酢酸イソブチル、酢酸ペンチル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、プロピオン酸ペンチル、プロピオン酸ヘキシル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、酪酸ヘキシル、イソ酪酸メチル、イソ酪酸エチル、イソ酪酸プロピル、イソ酪酸イソプロピル、イソ酪酸ブチル、イソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸ヘキシル、吉草酸メチル、吉草酸エチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸ブチル、吉草酸イソブチル、吉草酸ペンチル、吉草酸ヘキシル、イソ吉草酸メチル、イソ吉草酸エチル、イソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸ブチル、イソ吉草酸イソブチル、イソ吉草酸ペンチル、イソ吉草酸ヘキシル、カプロン酸メチル、カプロン酸エチル、カプロン酸プロピル、カプロン酸イソプロピル、カプロン酸ブチル、カプロン酸イソブチル、カプロン酸ペンチル、カプロン酸ヘキシル、カプリル酸メチル、カプリル酸エチル、カプリル酸プロピル、カプリル酸イソプロピル、カプリル酸ブチル、カプリル酸イソブチル、カプリル酸ペンチル、カプリル酸ヘキシル、オクタン酸メチル、オクタン酸エチル、オクタン酸プロピル、オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、オクタン酸ヘキシル、ノナン酸メチル、ノナン酸エチル、ノナン酸プロピル、ノナン酸イソプロピル、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸ヘキシル、デカン酸メチル、デカン酸エチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチル、デカン酸イソブチル、デカン酸ペンチル、デカン酸ヘキシル、ドデカン酸メチル、ドデカン酸エチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカン酸イソブチル、ドデカン酸ペンチル、ドデカン酸ヘキシル、ラウリル酸メチル、ラウリル酸エチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブチル、ラウリル酸ペンチル、ラウリル酸ヘキシル、アクリル酸メチル、アクリル酸エチル、アクリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、アクリル酸ペンチル、アクリル酸ヘキシル、シュウ酸モノメチル、シュウ酸ジメチル、シュウ酸モノエチル、シュウ酸ジエチル、シュウ酸モノプロピル、シュウ酸ジプロピル、シュウ酸モノブチル、シュウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノエチル、コハク酸ジエチル、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチル、コハク酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピン酸ジエチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノエチル、酒石酸ジエチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、クエン酸モノメチル、クエン酸ジメチル、クエン酸モノエチル、クエン酸ジエチル、クエン酸モノプロピル、クエン酸ジプロピル、クエン酸モノブチル、クエン酸ジブチル、フタル酸ジメチル、フタル酸ジエチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、フタル酸ジヘキシル、フタル酸ジヘプチル、フタル酸ジオクチル、フタル酸ジノニル、フタル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジエチル、テレフタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジヘキシル、テレフタル酸ジヘプチル、テレフタル酸ジオクチル、テレフタル酸ジノニル、テレフタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン及びγ−ブチロラクトンからなる群より選ばれる少なくとも1種であり;
炭化水素類が、ヘキサン、シクロヘキサン、オクタン、イソオクタン、ベンゼン及びトルエンからなる群より選ばれる少なくとも1種であり;
ハロゲン化合物類が、クロロホルム、O−ジクロロベンゼン、パーフロロヘキサン及びパーフロロメチルシクロヘキサンからなる群より選ばれる少なくとも1種であり;
フッ素アルコールが、トリフルオロエタノール、ペンタフルオロプロパノール及び2,2,3,3−テトラフルオロプロパノールからなる群より選ばれる少なくとも1種であり;
リン酸エステル類が、リン酸ジメチル、リン酸ジブチル、リン酸ジフェニル、リン酸ジベンジル、リン酸トリメチル、リン酸トリエチル、リン酸トリプロピル、リン酸トリブチル及びリン酸トリフェニルからなる群より選ばれる少なくとも1種であり;
含窒素化合物類が、テトラメチル尿素及びN−メチル−2−ピロリドンからなる群より選ばれる少なくとも1種である;
項26に記載の洗浄液。
項29 チタン化合物及びポリマーからなる群より選ばれる少なくとも1種が側壁及び底面からなる群より選ばれる少なくとも1種に付着したビアホールを有する被処理物を、項20に記載の洗浄液を用いて洗浄することを特徴とするビアホール洗浄方法。
項30 被処理物の処理を、超音波洗浄しながら行うことを特徴とする項29に記載の方法。
項31 項29に記載の方法により得ることができる洗浄処理物。
項32 メタルキャパシタの上部又は下部電極を有する被処理物であって、該電極の側壁、底面及び表面からなる群より選ばれる少なくとも1種にレジスト残渣、ポリマー及びチタン化合物からなる群より選ばれる少なくとも1種が付着した被処理物を、項20に記載の洗浄液を用いて洗浄することを特徴とするキャパシタ洗浄方法。
項33 被処理物の処理を、超音波洗浄しながら行うことを特徴とする項32に記載の方法。
項34 項32の方法により得ることができる洗浄処理物。
項35.剥離液による処理時間0.1分〜120分の間の(1)絶縁膜バリアのエッチング量が1Å以上200Å以下、(2)low−k膜のエッチング量が1Å以上200Å以下、(3)Cuのエッチング速度が5Å/min以下であり、Cu/low−k多層配線構造におけるダマシンおよびデュアルダマシン構造を形成する際のドライエッチング後のレジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残渣などを除去する項1に記載のレジスト剥離液。
項36.絶縁膜バリアおよびlow−k膜がSiN,SiC,SiCN,SiOC,SiOなどSi含有化合物である、項35に記載の剥離液。
項37.窒素を含むガスあるいはエッチングガスと窒素との混合ガスを使用してエッチングした後のレジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残渣をプラズマによるアッシング処理の有無にかかわらず除去する項35に記載の剥離液。
項38.窒素を含むガスあるいはエッチングガスと窒素との混合ガスを使用してアッシングした後のレジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残渣を除去する項35に記載の剥離液。
項39.不活性ガスを溶解させ、剥離液中の酸素分圧を飽和溶解した空気の酸素分圧以下にした項35に記載の剥離液。
項40.(i)HFおよび(ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物とを含み、(i)HF(フッ化水素):(ii)プロトン供与性溶媒:(iii)中性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物の重量比が(i)0.05〜5mass%:(ii)1〜98.95mass%:(iii)1〜98.95mass%である項35に記載の剥離液。
項41.(i)HFおよび(ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物とを含む剥離液にさらに(iv)水を含み、(i)HF(フッ化水素):(ii)プロトン供与性溶媒:(iii)中性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物:(iv)水の重量比が(i)0.05〜5mass%:(ii)1〜98.93mass%:(iii)1〜98.93mass%:(iv)0.02〜90mass%である項35に記載の剥離液。
項42.(i)HFおよび(ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物と(iv)水とを含む剥離液にさらに、(v)酸、(vi)ドナー数が25以上の極性親プロトン性溶媒、(vii)フッ素含有有機化合物の少なくとも1つを含み、(i)HF:(ii)プロトン供与性溶媒:(iii)中性溶媒、ドナー数が24以下の極性非プロトン性溶媒の少なくとも1種の有機化合物:(iv)水:(v)酸:(vi)ドナー数が25以上の極性親プロトン性溶媒:(vii)フッ素含有有機化合物の重量比が、(i)0.05〜5mass%:(ii)1〜98.83mass%:(iii)1〜98.83mass%:(iv)0.02〜90mass%:(v)0〜10mass%:(vi)0〜50mass%:(vii)0〜70mass%である項35に記載の剥離液(但し、酸、ドナー数が25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の合計量は0.1〜74.93mass%である)。
項43.(i)HFおよび(ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物と(iv)水と(v)酸、(vi)ドナー数が25以上の極性親プロトン性溶媒及び(vii)フッ素含有有機化合物からなる群から選ばれる少なくとも1種を含む剥離液にさらに、アンモニアおよび/又はアミンを含み、(i)HF:(ii)プロトン供与性溶媒:(iii)中性溶媒、ドナー数が24以下の極性非プロトン性溶媒の少なくとも1種の有機化合物:(iv)水:(v)酸:(vi)ドナー数が25以上の極性親プロトン性溶媒:(vii)フッ素含有有機化合物:(viii)アンモニアおよび/又はアミンの重量比が(i)0.05〜5mass%:(ii)1〜98.73mass%:(iii)1〜98.73mass%:(iv)0.02〜90mass%:(v)0〜10mass%:(vi)0〜50mass%:(vii)0〜70mass%:(viii)0.05〜10mass%である項35に記載の剥離液。
(但し、酸、ドナー数が25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の合計量は0.1〜74.83mass%である)
項44.(i)HFと(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物および(iii)水を含み、(i)HF(フッ化水素):(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物:(iii)水の重量比が0.05〜5mass%:85〜99.93mass%:0.02〜10mass%である項35に記載の剥離液。
項45.(i)HFと(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物および(iii)水を含む剥離液にさらに、酸、ドナー数が25以上の極性親プロトン性溶媒及びフッ素含有有機化合物からなる群から選ばれる少なくとも1つを含み、(i)HF:(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物:(iii)水:(iv)酸:(v)ドナー数が25以上の極性親プロトン性溶媒:(vi)フッ素含有有機化合物の重量比が(i)0.05〜5mass%:(ii)25〜99.83mass%:(iii)0.02〜10mass%:(iv)0〜10mass%:(v)0〜50mass%:(vi)0〜70mass%である項35に記載の剥離液(但し、酸、ドナー数が25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の合計量は0.1〜74.93mass%である)。
項46.(i)HFと(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物と(iii)水と、(iv)酸、(v)ドナー数が25以上の極性親プロトン性溶媒及び(vi)フッ素含有有機化合物からなる群から選ばれる少なくとも1種を含む剥離液にさらに、(vii)アンモニアおよび/又はアミンを含み、(i)HF:(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物:(iii)水:(iv)酸:(v)ドナー数が25以上の極性親プロトン性溶媒:(vi)フッ素含有有機化合物:(vii)アンモニアおよび/又はアミンの重量比が(i)0.05〜5mass%:(ii)25〜99.78mass%:(iii)0.02〜10mass%:(iv)0〜10mass%:(v)0〜50mass%:(vi)0〜70mass%:(vii)0.05〜10mass%である項35に記載の剥離液(但し、酸、ドナー数が25以上の極性親プロトン性溶媒およびフッ素含有有機化合物からなる群から選ばれる少なくとも1種の合計量は0.1〜74.88mass%である)。
項47.中性溶媒がアルコール類であり、プロトン供与性溶媒がモノカルボン酸類、ポリカルボン酸類およびスルホン酸類からなる群から選ばれる少なくとも1種であり、ドナー数が24以下の極性非プロトン性溶媒がエステル類、エーテル類、ケトン類および酸無水物類からなる群から選ばれる少なくとも1種である項40に記載の剥離液。
項48.(I)中性溶媒のアルコール類がメチルアルコール、エチルアルコール、プロパノール、イソプロパノール,t−ブタノール、アリルアルコール、エチレングリコール、プロピレングリコール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノイソプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノイソブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、ジエチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノインブチルエーテル、トリエチレングリコールモノメチルエーテル、トリエチレングリコールモノエチルエーテル、トリエチレングリコールモノプロピルエーテル、トリエチレングリコールモノイソプロピルエーテル、トリエチレングリコールモノブチルエーテル、トリエチレングリコールモノイソブチルエーテル、ポリエチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノイソプロピルエーテル、プロピレングリコールモノイソブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテル、トリプロピレングリコールモノメチルエーテル及びエチレングリコールモノアリルエーテルであり、(II)プロトン供与性溶媒のモノカルボン酸類がギ酸、酢酸、プロピオン酸、酪酸、イソ酪酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、モノフルオロ酢酸、ジフルオロ酢酸、トリフルオロ酢酸、α−クロロ酪酸、β−クロロ酪酸、γ−クロロ酪酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、メタクリル酸、アクリル酸、ポリカルボン酸が、シュウ酸、コハク酸、アジピン酸及びクエン酸であり、スルホン酸類がメタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸、トリフルオロメタンスルホン酸であり、
(III)ドナー数が24以下の極性非プロトン性溶媒のエステル類が酢酸メチル、酢酸エチル、酢酸ブチル、炭酸プロピレン、炭酸エチレン、亜硫酸エチレン、ラクトン、リン酸トリブチル、リン酸トリメチルであり;エーテル類がジオキサン、トリオキサン及びジグライム、1,2−ジメトキシエタン、テトラヒドロフラン、ジエチルエーテル、ジメトキシメタン、ジメトキシプロパン、ジエトキシメタン、1,1−ジメトキシエタン、エチレングリコールメチルエチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールメチルエチルエーテル、ジエチレングリコールジエチルエーテル、トリエチレングリコールジメチルエーテル、トリエチレングリコールエチルメチルエーテル、トリエチレングリコールジエチルエーテル、テトラエチレングリコールジメチルエーテル、テトラエチレングリコールジエチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート及びジエチレングリコールモノエチルエーテルアセテートであり;ケトン類がアセトンであり;酸無水物類が無水酢酸である項47に記載の剥離液。
項49.酸が塩化水素、臭化水素、ヨウ化水素およびこれらの水溶液、硫酸、硝酸、リン酸、カルボン酸からなる群より選ばれる少なくとも1種である項42、43,45または46に記載の剥離液。
項50.フッ素含有有機化合物がCHF2CF2OCH2CF3、CHF2CF2OCH4などのフッ素含有エーテル類、CH3CCl2F、CClF2CF2CHClFなどのハイドロクロロフルオロカーボン(HCFC)類である項42、43,45または46に記載の剥離液。
項51.ドナー数が25以上の極性親プロトン性溶媒が、ジメチルホルムアミド、ジメチルアセトアミド、ヘキサメチルリン酸トリアミド、N−メチル−2−ピロリドン、1,1,3,3−テトラメチル尿素、N−メチルプロピオンアミド、ジメチルイミダゾリジノンなどのアミド類であり、ジメチルスルホキシド、スルホラン、ジメチルチオホルムアミド、N−メチルチオピロリドンなどの硫黄化合物からなる群から選ばれる少なくとも1種である項42、43、45または46に記載の剥離液。
項52.プロトン供与性溶媒であるカルボン酸類と、中性溶媒であるアルコール類、ドナー数が24以下の極性非プロトン性溶媒であるエステル類およびエーテル類の群の中から選ばれる少なくとも1種とを含む項40〜46のいずれかに記載の剥離液。
項53.カルボン酸が酢酸である項52に記載の剥離液。
項54.中性溶媒であるアルコール類とドナー数が24以下の極性非プロトン性溶媒エステル類およびエーテル類の群の中から選ばれる少なくとも1種とを含む項47に記載の剥離液。
項55.アルコールがプロパノール、イソプロパノール、t−ブタノール、アリルアルコール、エチレングリコール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノイソプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノイソブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、ジエチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノイソブチルエーテル、トリエチレングリコールモノメチルエーテル、トリエチレングリコールモノエチルエーテル、トリエチレングリコールモノプロピルエーテル、トリエチレングリコールモノイソプロピルエーテル、トリエチレングリコールモノブチルエーテル、トリエチレングリコールモノイソブチルエーテル、ポリエチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノイソプロピルエーテル、プロピレングリコールモノイソブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテル、トリプロピレングリコールモノメチルエーテル及びエチレングリコールモノアリルエーテルからなる群から選ばれる少なくとも1種である項47に記載の剥離液。
項56.ドナー数が24以下の極性非プロトン性溶媒であるエステル類およびエーテル類の中から選ばれる少なくとも1種とを含む項40〜46のいずれかに記載の剥離液。
項57.エステル類が酢酸メチル、酢酸エチル、酢酸ブチル、炭酸プロピレンおよび炭酸エチレンであり、エーテル類が1,2−ジメトキシエタン、テトラヒドロフラン、ジオキサン、トリオキサン、ジグライム、エチレングリコールメチルエチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールメチルエチルエーテル、ジエチレングリコールジエチルエーテル、トリエチレングリコールジメチルエーテル、トリエチレングリコールエチルメチルエーテル、トリエチレングリコールジエチルエーテル、テトラエチレングリコールジメチルエーテル、テトラエチレングリコールジエチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート及びジエチレングリコールモノエチルエーテルアセテートからなる群より選ばれる少なくとも1種である項40〜46のいずれかに記載の剥離液。
項58.HF、酢酸、水を含み、HF:酢酸:水の重量比が0.05〜5mass%:85〜99.93mass%5:0.02〜10mass%である項44に記載の剥離液。
項59.HF、イソプロパノール、水を含み、HF:イソプロパノール:水の重量比が1〜7mass%:88〜98.5mass%:0.5〜5mass%である項44に記載の剥離液。
項60.HF、酢酸、イソプロパノール、水を含み、HF:酢酸:イソプロパノール:水の重量比が0.05〜6mass%:1〜98.93mass%:1〜98.93mass%:0.02〜12mass%である項44に記載の剥離液。
項61.HF、1,2−ジメトキシエタン、水を含み、HF:1,2−ジメトキシエタン:水の重量比が0.50〜5mass%:85.00〜99.3mass%:0.20〜10mass%である項44に記載の剥離液
項62.HF、酢酸メチル、酢酸エチル、酢酸ブチルの少なくとも1種、水を含み、HF:酢酸メチル、酢酸エチル、酢酸ブチルの少なくとも1種:水の重量比が0.50〜5mass%:85.00〜99.30mass%:0.20〜10mass%である項44に記載の剥離液。
項63.HF、1,4−ジオキサン、水を含み、HF:1,4−ジオキサン:水の重量比が0.50〜5mass%:85.00〜99.3mass%:0.2〜10mass%である項44に記載の剥離液。
項64.HF、1,4−ジオキサンと無水酢酸および酢酸の少なくとも1種、水を含み、HF:1,4−ジオキサンと無水酢酸および酢酸の少なくとも1種:水の重量比が0.50〜6mass%:82.00〜99.30mass%:0.2〜12mass%である項44に記載の剥離液。
項65.HF、エチレングリコールモノメチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールメチルエチルエーテル、テトラエチレングリコールジメチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノアリルエーテル、ジエチレングリコールモノブチルエーテル、エチレングリコールブチルエーテル、トリエチレングリコールモノブチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、トリエチレングリコールジメチルエーテル、ジエチレングリコールモノイソブチルエーテル、エチレングリコールモノイソブチルエーテル、エチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、トリプロピレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ポリエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、プロピレングリコールモノプロピルエーテル及びエチレングリコールメチルエチルエーテルの少なくとも1種、水を含み、HF:エチレングリコールモノメチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールメチルエチルエーテル、テトラエチレングリコールジメチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノアリルエーテル、ジエチレングリコールモノブチルエーテル、エチレングリコールブチルエーテル、トリエチレングリコールモノブチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、トリエチレングリコールジメチルエーテル、ジエチレングリコールモノイソブチルエーテル、エチレングリコールモノイソブチルエーテル、エチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、トリプロピレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ポリエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、プロピレングリコールモノプロピルエーテル及びエチレングリコールメチルエチルエーテルの少なくとも1種:水の重量比が0.50〜5mass%:85.00〜99.30mass%:0.20〜10mass%である項44に記載の剥離液。
項66.HF、メタンスルホン酸、水を含み、HF:メタンスルホン酸:水の重量比が0mass%を超えて5mass%以下、:45mass%以上100mass%未満:0mass%を超えて50mass%以下である項35に記載の剥離液。
項67.項1または項35に記載の剥離液を用いてプラズマプロセスによるダメージを受けたlow−k膜を残してエッチング残渣を除去することを特徴とする剥離方法。
項68.不活性ガスを混合し、酸素分圧が空気の酸素分圧以下である雰囲気(実質的に不活性ガス中)で剥離処理をする項15または67に記載の方法。
項69.項15または67に記載の剥離処理をする方法を施した剥離処理物に対して、不活性ガスを混合し酸素分圧が空気の酸素分圧以下である雰囲気(実質的に不活性ガス中)で、不活性ガスを溶解させ、水中の酸素分圧を飽和溶解した空気の酸素分圧以下にした水を用いて剥離液を取り除くリンス処理をする方法。
項70.項67または68に記載の剥離方法および項69に記載のリンス処理方法によって処理をすることにより得ることができる剥離処理物。
項71.項35に記載の剥離液をビアホール又はキャパシタの洗浄のために使用する項20に記載のビアホール又はキャパシタの洗浄液。
項72.項71のビアホール又はキャパシタの洗浄液を用いて洗浄する項29のビアホール洗浄方法または項32に記載のキャパシタ洗浄方法。
項73.項71のビアホール又はキャパシタの洗浄液で洗浄処理することにより得ることができる洗浄処理物。
本発明は、low−k膜用のレジスト剥離液及び剥離方法、ビアホール洗浄液及び洗浄方法並びにキャパシタ洗浄液及び洗浄方法に関する。
本発明の剥離液及び洗浄液は、有機酸及び有機溶媒からなる群より選ばれる少なくとも1種、並びにフッ化水素(HF)を必須成分として含有する組成物である。
有機酸としては、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カプロン酸、カプリル酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、モノフルオロ酢酸、ジフルオロ酢酸、トリフルオロ酢酸、α−クロロ酪酸、β−クロロ酪酸、γ−クロロ酪酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、アクリル酸等のモノカルボン酸;メタンスルホン酸、トルエンスルホン酸等のスルホン酸;シュウ酸、コハク酸、アジピン酸、酒石酸、クエン酸等のポリカルボン酸が挙げられる。これら有機酸の中でも、酢酸が特に好ましい。
有機溶媒としては、メタノール、エタノール、イソプロパノール(IPA)、1−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、2−メチル−1−プロパノール、1−ペンタノール、1−ヘキサノール、1−ヘプタノール、4−ヘプタノール、1−オクタノール、1−ノニルアルコール、1−デカノール、1−ドデカノール、ラウリルアルコール、シクロヘキサノールなどの一価アルコール類;
エチレングリコール、ジエチレングリコール、1,2−プロパンジオール、プロピレングリコール、2,3−ブタンジオール、グリセリンなどのポリオール類;
アセトン、アセチルアセトン、メチルエチルケトン、メチルイソブチルケトン、シクロヘキサノン、ジエチルケトン、ジイソブチルケトン等のケトン類;
N−メチルホルムアミド、N,N−ジメチルホルムアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド等のアミド類;
アセトニトリル、プロピオニトリル、ブチロニトリル、イソブチロニトリル、ベンゾニトリル等のニトリル類;
ホルムアルデヒド、アセトアルデヒド、プロピオンアルデヒドなどのアルデヒド類;
エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテルなどのアルキレングリコールモノアルキルエーテル;
テトラヒドロフラン、ジオキサン、ジイソプロピルエーテル、ジブチルエーテル、テトラヒドロピラン、アニソール、1,2−ジメトキシエタン、ジエチレングリコールジメチルエーテル等のエーテル類;
酢酸メチル、酢酸エチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチル、酢酸イソブチル、酢酸ペンチル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、プロピオン酸ペンチル、プロピオン酸ヘキシル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、酪酸ヘキシル、イソ酪酸メチル、イソ酪酸エチル、イソ酪酸プロピル、イソ酪酸イソプロピル、イソ酪酸ブチル、イソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸ヘキシル、吉草酸メチル、吉草酸エチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸ブチル、吉草酸イソブチル、吉草酸ペンチル、吉草酸ヘキシル、イソ吉草酸メチル、イソ吉草酸エチル、イソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸ブチル、イソ吉草酸イソブチル、イソ吉草酸ペンチル、イソ吉草酸ヘキシル、カプロン酸メチル、カプロン酸エチル、カプロン酸プロピル、カプロン酸イソプロピル、カプロン酸ブチル、カプロン酸イソブチル、カプロン酸ペンチル、カプロン酸ヘキシル、カプリル酸メチル、カプリル酸エチル、カプリル酸プロピル、カプリル酸イソプロピル、カプリル酸ブチル、カプリル酸イソブチル、カプリル酸ペンチル、カプリル酸ヘキシル、オクタン酸メチル、オクタン酸エチル、オクタン酸プロピル、オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、オクタン酸ヘキシル、ノナン酸メチル、ノナン酸エチル、ノナン酸プロピル、ノナン酸イソプロピル、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸ヘキシル、デカン酸メチル、デカン酸エチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチル、デカン酸イソブチル、デカン酸ペンチル、デカン酸ヘキシル、ドデカン酸メチル、ドデカン酸エチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカン酸イソブチル、ドデカン酸ペンチル、ドデカン酸ヘキシル、ラウリル酸メチル、ラウリル酸エチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブチル、ラウリル酸ペンチル、ラウリル酸ヘキシル、アクリル酸メチル、アクリル酸エチル、アクリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、アクリル酸ペンチル、アクリル酸ヘキシル、シュウ酸モノメチル、シュウ酸ジメチル、シュウ酸モノエチル、シュウ酸ジエチル、シュウ酸モノプロピル、シュウ酸ジプロピル、シュウ酸モノブチル、シュウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノエチル、コハク酸ジエチル、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチル、コハク酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピン酸ジエチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノエチル、酒石酸ジエチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、クエン酸モノメチル、クエン酸ジメチル、クエン酸モノエチル、クエン酸ジエチル、クエン酸モノプロピル、クエン酸ジプロピル、クエン酸モノブチル、クエン酸ジブチル、フタル酸ジメチル、フタル酸ジエチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、フタル酸ジヘキシル、フタル酸ジヘプチル、フタル酸ジオクチル、フタル酸ジノニル、フタル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジエチル、テレフタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジヘキシル、テレフタル酸ジヘプチル、テレフタル酸ジオクチル、テレフタル酸ジノニル、テレフタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン、γ−ブチロラクトン等のエステル類;
ヘキサン、シクロヘキサン、オクタン、イソオクタン、ベンゼン、トルエンなどの炭化水素類;
クロロホルム、o−ジクロロベンゼン、パーフロロヘキサン、パーフロロメチルシクロヘキサンなどのハロゲン化合物類;
トリフルオロエタノール(例えばCF3CH2OH)、ペンタフルオロプロパノール(例えばCF3CF2CH2OH)、2,2,3,3−テトラフルオロプロパノール等のフッ素アルコール;
無水酢酸、ジメチルスルホキシド、スルホラン、ニトロメタン;
テトラメチル尿素、N−メチル−2−ピロリドンなどの含窒素有機溶媒;
リン酸ジメチル、リン酸ジブチル、リン酸ジフェニル、リン酸ジベンジル、リン酸トリメチル、リン酸トリエチル、リン酸トリプロピル、リン酸トリブチル、リン酸トリフェニルなどのリン酸エステル系溶媒等が挙げられる。
これら有機溶媒の中でも、イソプロパノール(IPA)、1−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、2−メチル−1−プロパノール、1−ペンタノール、1−ヘキサノール、エチレングリコール、ジエチレングリコール、1,2−プロパンジオール、シクロヘキサノール、プロピレングリコール、グリセリン、ジブチルエーテル、N−メチルホルムアミド、N,N−ジメチルホルムアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、N−メチル−2−ピロリドン、テトラヒドロフラン、ジオキサン、酢酸メチル、酢酸エチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチル、酢酸イソブチル、酢酸ペンチル、酢酸ヘキシル、ジメチルスルホキシド、スルホラン、オクタン、シクロヘキサン、ベンゼン、トルエンが好ましく、IPA、ヘキサノール、シクロヘキサノール、ラウリルアルコール、プロピレングリコール、ジエチレングリコール、エチレングリコール、グリセリン、ジブチルエーテル、酢酸ブチル、オクタン、シクロヘキサン、ベンゼン、トルエンがより好ましい。なお、メタノール、エタノールはCuなどの配線材料を腐食しない量でさらに配合することができる。
本発明の剥離液を超音波洗浄用として用いる場合には、有機溶媒としては、エステル類、ケトン類、1価アルコール類、多価アルコール類、アミド類、エーテル類、アルキレングリコールモノアルキルエーテル、リン酸エステル、含窒素化合物からなる群より選ばれる少なくとも1種が好ましい。
有機酸又は有機溶媒は、レジストの剥離性、ビアホール及びコンタクトホールの洗浄性の点から、SP値が7〜17程度のものが好ましく、8〜17程度のものがより好ましく、9〜17程度のものがさらに好ましい。
本発明の剥離液及び洗浄液において、HFの含有量は、他の成分の種類に応じて適宜設定することができ特に限定されるものではないが、通常、剥離液及び洗浄液全量に基づいて(以下、各成分の含有量については同様とする)、有機酸を含む場合(有機酸を含み有機溶媒を含まない場合、並びに、有機酸及び有機溶媒を含む場合)には0.01〜5mass%程度、好ましくは0.05〜3mass%程度、さらに好ましくは0.1〜1mass%程度であり、有機酸を含まない場合(有機溶媒を含み、有機酸を含まない場合)には0.01〜10mass%程度、好ましくは0.05〜5mass%程度、さらに好ましくは0.1〜3mass%程度である。
剥離液及び洗浄液が水を含有する場合の水の含有量は、70mass%以下程度、好ましくは50mass%以下程度、より好ましくは5mass%以下程度である。
有機酸及び有機溶媒からなる群から選ばれる少なくとも1種の含有量は、30〜99.99mass%程度、好ましくは50〜99.9mass%程度、より好ましくは90〜99.9mass%程度、さらに好ましくは95〜99.9mass%程度である。
HFとしては、希フッ酸(50mass%水溶液)を通常用いるが、水を含まない場合には、100%HFを用いることもできる。
本発明の好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。
なお、本明細書において特に断らなければ、「HF」の配合量は無水HFの配合量を意味する。
・HF:有機酸:水=0.01〜5mass%:49〜99.9mass%:0〜50mass%
・HF:有機溶媒:水:0.01〜10mass%:49〜99.9mass%:0〜50mass%
本発明のより好ましい剥離液及び洗浄液並びにその配合比を以下に示す。
・HF:酢酸:水=0.05〜5mass%:90〜99.95mass%:0〜5mass%
・HF:IPA:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:ヘキサノール:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:ラウリルアルコール:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:プロピレングリコール:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:ジエチレングリコール:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:エチレングリコール:水:0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:グリセリン:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:シクロヘキサノール:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:ジブチルエーテル:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:酢酸ブチル:水:0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:オクタン:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:シクロヘキサン:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:ベンゼン:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%
・HF:トルエン:水=0.1〜10mass%:80〜99.9mass%:0〜10mass%。
本発明のさらに好ましい剥離液及び洗浄液並びにその配合比を以下に示す。
・HF:酢酸:水=0.1〜3mass%:94〜99.99mass%:0〜3mass%
・HF:IPA:水:0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:ヘキサノール:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:ラウリルアルコール:水:0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:プロピレングリコール:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:ジエチレングリコール:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:エチレングリコール:水:0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:グリセリン:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:シクロヘキサノール:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:ジブチルエーテル:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:酢酸ブチル:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:オクタン:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:シクロヘキサン:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:ベンゼン:水=0.1〜5mass%:90〜99.9mass%:0〜5mass%
・HF:トルエン:水:0.1〜5mass%:90〜99.9mass%:0〜5mass%。
本発明の剥離液又は洗浄液は、超音波洗浄に用いることができるが、その場合には、さらに、アンモニア及びアミンからなる群より選ばれる少なくとも1種を含む組成物であることが好ましい。
アミンとしては、ヒドロキシルアミン類、アルカノールアミン、NRで表される第一級,第二級、第三級アミン、脂環式アミン、複素環式アミンなどが挙げられる。
具体的には、ヒドロキシルアミン、N,N−ジエチルヒドロキシルアミン、などのヒドロキシルアミン類が挙げられる。
アルカノールアミンとしては、モノエタノールアミン、ジエタノールアミン、トリエタノールアミンが挙げられる。
NRにおいて、3つのRは、同一又は異なって、フッ素原子で置換されていてもよい炭化水素基、又は水素原子である。ただし、3つのRがいずれも水素原子である場合は除く。
フッ素原子で置換されていてもよい炭化水素基としては、直鎖若しくは分岐を有する炭素数1〜18、好ましくは1〜12のアルキル基、フッ素原子で置換されていてもよいフェニル基などが挙げられる。これらの中でも、置換されていない炭素数1〜18のアルキル基が好ましい。
NRで表される化合物としては、具体的には、脂肪族アミン、例えばメチルアミン、エチルアミンなどの第1級アミン;ジメチルアミン、ジエチルアミン、などの第2級アミン;トリメチルアミン、トリエチルアミンなどの第3級アミン、が挙げられる。芳香族アミンとしては、アニリン、メチルアニリンなどが挙げられる。
また、シクロヘキシルアミン、ジシクロヘキシルアミンなどの脂環式アミン;ピロール、ピロリジン、ピロリドン、ピリジン、モルホリン、ピラジン、ピペリジン、N−ヒドロキシエチルピペリジン、オキサゾール、チアゾールなどの複素環式アミンが挙げられる。
アンモニア及び/又はアミンを含む場合、液を混合することによりフッ化水素酸とアンモニア及び/又はアミンの1対1、又は1対2の塩を形成する。本発明の剥離液又は洗浄液では、フッ化水素酸とアンモニア及び/又はアミンが塩として存在していてもよい。
アンモニア及び/又はアミンを含む場合、その配合量は、モル比でフッ化水素酸より少ない方が好ましく、例えば、上記の2成分を含有する剥離液又は洗浄液において、フッ化水素酸とアンモニア及び/又はアミンのモル比が、フッ化水素酸:アンモニア及び/又はアミン=1:0.01〜1:1モル程度である。
さらにアミンを含む組成物の場合の好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。
・HF:アンモニア及び/又はアミン:有機溶媒:水=0.01〜10mass%:0.01〜30mass%:49〜99.9mass%:0〜50mass%本発明の剥離液及び洗浄液は、アニオン系、カチオン系及び非イオン系界面活性剤からなる群より選ばれる少なくとも1種の界面活性剤を含有していてもよい。界面活性剤の含有量は、本発明所期の効果が発揮される限り特に限定されるものではないが、通常、0.0001〜10mass%程度であり、0.001〜5mass%程度が好ましく、特に0.01〜1mass%程度が好ましい。
また、レジスト剥離液として用いる場合には、SiN膜を1Å以上エッチングすることができるような組成物であることが好ましく、洗浄液の場合はTiN膜を0.01Å以上エッチングすることができるような組成物であることが好ましい。
本発明の剥離液は、low−k膜のエッチングに用いるレジスト(ネガ型及びポジ型レジストを含む)レジスト変質物に有利に使用できる。
本発明の方法で剥離の対象とするレジストは、KrF(クリプトンエフ)、ArF、Fレジストなどの公知のレジストを、C4F8などエッチングガスでエッチング処理した後のレジストである。
本明細書において、「レジスト」には、エッチング工程によりレジストの表面の一部又は全て変質したものも含まれ、本発明のレジスト剥離液は、このようなレジストの変質物を剥離することもできる。
エッチング工程によりエッチングガスが重合して生じたポリマーが、エッチングにより形成された溝乃至穴の中に存在する場合には、レジストを剥離する際に同時にこのポリマーを剥離していてもよい。
本明細書において、low−k膜とは、Oプラズマアッシングによりダメージを受けるようなものを示し、具体的には、比誘電率が、1より大きく、4以下程度、好ましくは3以下程度、より好ましくは2.8以下程度、さらに好ましくは2.6以下程度の絶縁膜を意味する。low−k膜としては、例えば、Black Diamond(商品名、アプライドマテリアルズ社製)、コーラル(商品名、Novellus社製)、LKDシリーズ(商品名、JSR社製)、オーロラ(商品名、ASM社製)、HSGシリーズ(商品名、日立化成社製)、Nanoglass(商品名、Honewell社製)、IPS(商品名、触媒化成社製)、ZM(商品名、Dow Corning社製)、XLK(商品名、Dow Corning社製)、FOx(商品名、Dow Corning社製)などが挙げられる。
前記レジストとしては、KrF(クリプトンエフ)、ArF、Fレジスト等が挙げられるが、これに限定されるものではない。
本発明の方法では、例えば、半導体基板(例えば、SiN,銅、TaN、SiCなど)上にlow−k膜を形成、次いでレジストを形成、その後フォトリソグラフィーによりパターンを形成、当該パターンに従ってlow−k膜をエッチングした後、本発明の剥離液に接触させることによりレジスト(レジストの変質物を含む)を剥離(除去)することができる。従って、本発明の剥離液は、low−k膜及びレジストに穴もしくは溝が開き、レジスト(レジストの変質物を含む)が付着した状態のものを被処理物として、当該レジスト(及びレジストの変質物)を剥離する液である。なお、エッチングにより得られたlow−k膜の穴の壁面及び/又は底面にポリマー(エッチングガスの重合物)が付着したものであってもよい。
基板上にlow−k膜を形成した後には、必要に応じてlow−k膜上にSiN、SiC、TaN膜などを形成し、該SiN、SiC、TaN膜などをlow−k膜と共にエッチングすることもできる。
また、レジストの表面上には、反射防止膜を形成することができ、これら反射防止膜は、レジストと共に剥離することができる。
low−k膜及びレジストは、通常、それぞれ0.01〜2μm程度、0.001〜0.2μm程度、0.01〜10μm程度の厚みを有している。また、必要に応じて形成されるSiN膜、SiC膜、TaN膜、反射防止膜なども、通常、それぞれ0.01〜2μm程度、0.001〜0.2μm程度、0.01〜10μm、0.01〜0.1μm程度の厚みを有している。
本発明の方法では、エッチング後、本発明の剥離液に接触させる前に、必要に応じて、実質的にlow−k膜にダメージを与えない程度に、軽いOプラズマアッシング(例えば、軽いOプラズマアッシング前後の比誘電率の変化が、好ましくは20%以下程度、より好ましくは10%以下程度、さらに好ましくは5%以下程度にアッシング)もしくは軽いHプラズマアッシングをしてもよい。前処理として軽いOプラズマアッシングや軽いHプラズマアッシングを行う場合には、同じ剥離液を用いた場合であっても、エッチング後直接レジストを剥離する場合とは温度、時間などの最適条件が異なる場合がある。
本発明の剥離液を用いたレジストの剥離方法は、レジスト(レジスト変質物を含む)を除去でき、且つ、low−k膜に実質的にダメージを与えない程度の温度及び時間で行うものである。low−k膜に実質的にダメージを与えないとは、剥離液を用いた処理前後のlow−k膜の物性が、例えば半導体基板に用いられたときにその性能に影響を与えない程度しか変化していないこと、例えば、レジストとlow−k膜の界面において実質的にlow−k膜を侵す(エッチングする)ことなく、被処理物の膜の積層方向の断面形状を実質的に変化させないようなもの、或いは、剥離液を用いた処理前後にlow−k膜の比誘電率が実質的に変化しないことをいう。実質的にlow−k膜をエッチングしないとは、low−k膜のエッチング量が、好ましくは200nm以下程度、より好ましくは100nm以下程度、さらに好ましくは50nm以下程度であることをいう。剥離液を用いた処理前後のlow−k膜の比誘電率が実質的に変化しないとは、比誘電率の変化が、好ましくは20%以下程度、より好ましくは10%以下程度、さらに好ましくは5%以下程度であることをいう。
剥離液での処理は、例えば、エッチング後の基板を被処理物として本発明の剥離液に浸漬することにより行うことができる。剥離液への浸漬条件は、レジストが剥離でき、low−k膜に実質的にダメージを与えなければ特に限定されることはなく、剥離液の種類や温度に応じて適宜設定することができる。例えば、剥離液の液温が15〜60℃程度であれば、0.1〜30分間程度、好ましくは0.5〜20分間程度浸漬すればよい。より具体的には、HF:酢酸:水:0.05〜1mass%:98〜99.5mass%:0〜1mass%である剥離液の場合には、液温が23℃程度であれば、0.1〜20分間程度浸漬させればよい。HF:エチルアミン:エチレングリコール:水=0.05〜2mass%:0.01〜2mass%:94〜99.5mass%:0〜2mass%である剥離液の場合には、0.1〜20分間程度浸漬させればよい。
また、剥離液を被処理物に接触させればレジストの剥離を行うことができるので、例えば、被処理物を回転させながらその上から液を供給して洗浄してもよいし、被処理物に組成物をスプレーで吹付け続けて洗浄してもよい。
本発明の剥離液での処理は、レジストの種類やエッチングなどの条件によりレジストが剥離しにくい場合、例えば被処理物を剥離液に浸漬して超音波洗浄を行ってもよい。
超音波洗浄を行う際の条件は、レジストが剥離すればよく、特に限定されるものではないが、通常23℃程度であれば、20〜1200kHz,50〜3000Wにて、0.1〜20分間程度である。
より具体的には、HF:酢酸:水=0.05〜1mass%:98〜99.5mass%:0〜1mass%である剥離液の場合には、液温が23℃程度であれば、20〜1200kHz,50〜3000にて、0.1〜20分間程度、HF:エチルアミン:エチレングリコール:水=0.05〜2mass%:0.05〜2mass%:94〜99.5mass%:0〜2mass%である剥離液の場合には、液温が23℃程度であれば、20〜1200kHz,50〜3000Wにて、0.1〜20分間程度である。
本発明剥離液を用いてレジストの剥離を行った半導体基板は、例えば、銅やアルミ配線をするなど、慣用されている方法(例えば、詳説半導体CMP技術、土肥俊郎 編著 2001年に記載された方法)に従って、様々な種類の半導体装置へと加工することができる。
本発明の洗浄液は、ドライエッチングに由来するチタン化合物(例えば、フッ化チタン、酸化チタンなど)及び/又はポリマーの洗浄性を有している。従って、本発明洗浄液は、例えば、半導体製造プロセスにおいて、ドライエッチング処理後に、ビアホールなどの側壁及び/又は底面に残存するフッ化チタン、ポリマーなどを剥離してビアホールを洗浄することを目的として、即ち、ビアホール洗浄液として用いることができる。例えば、本発明の洗浄液は、ビアホールなどを形成工程で発生したチタン化合物及び/又はポリマーの剥離してビアホールなどを洗浄するのに用いることができる。本発明の洗浄液によれば、ビアホールを低温且つ短時間で洗浄することが可能である。
さらに、本発明剥離液は、ドライエッチングに由来するレジスト残渣、チタン化合物、ポリマーなどを洗浄することができる。従って、本発明剥離液は、例えば、半導体製造プロセスにおいて、メタルキャパシタ上部又は下部電極メタル膜(TiN、Tiなど)のドライエッチング処理(及びアッシング処理)後の、レジスト残渣、ポリマー、チタン化合物を剥離してキャパシタを洗浄することを目的として、即ち、キャパシタ洗浄液として用いることができる。例えば、本発明の洗浄液は、メタルキャパシタなど形成工程で発生し、上部又は下部電極の側壁、底面及び表面からなる群より選ばれる少なくとも1種に付着したレジスト残渣、チタン化合物及びポリマーからなる群より選ばれる少なくとも1種を剥離してキャパシタを洗浄することに用いることができる。
なお、チタン化合物は、半導体の製造のエッチング工程において、ドライエッチングガスとしてフッ素を含むガス(例えば、Cなど)を用い、基板などにチタンを含むもの(例えば、TiNなど)が用いられている場合に発生する。また、本発明において、「ポリマー」は、エッチングの際にエッチングガスが重合したものをいう。さらに、本発明において、「レジスト残渣」はエッチング工程後のアッシングにより発生するレジストのカスをいう。
本発明の洗浄液を用いた処理は、被処理物(例えばビアホール、メタルキャパシタ下部又は上部電極からなる群より選ばれる少なくとも1種を形成した半導体基板であって、ビアホールの側壁及び/又は底面上にポリマー及び/又はチタン化合物が付着している基板やメタルキャパシタ上部又は下部電極メタル膜(TiN、Tiなど)にレジスト残渣及び/又はポリマー及び/又はチタン化合物が付着している基板を洗浄液に浸漬することにより行うことができる。浸漬の条件は、洗浄液の種類に応じて適宜設定することができるが、例えば15〜60℃程度、好ましくは室温程度で0.1〜20分間程度処理することにより行うことができる。この場合、洗浄液を被処理物に接触させればよく、例えば、被処理物を回転させながらその上から洗浄液を供給して洗浄してもよいし、被処理物に洗浄液をスプレーで吹付け続けて処理してもよい。
本発明の洗浄液での処理は、エッチングなどの条件により洗浄しにくいポリマーが生じた場合など、例えば被処理物を洗浄液に浸漬して超音波洗浄を行ってもよい。その際の条件は、特に限定されるものではないが、剥離液の液温が15〜60℃程度であれば、20〜1200kHz,50〜3000Wにて、0.1〜30分間程度である。
より具体的には、HF:酢酸:水=0.05〜1mass%:98〜99.5mass%:0〜1mass%である剥離液の場合には、液温が23℃程度であれば、20〜1200kHz,50〜3000Wにて、0.5〜20分間程度HF:アミン又はアンモニア:エチレングリコール:水=0.05〜2mass%:0.05〜2mass%:94〜99.5mass%:0〜2mass%である剥離液の場合には、液温が23℃程度であれば、20〜1200kHz,50〜3000Wにて、0.5〜20分間程度である。
本発明組成物を用いてビアホール、キャパシタなどの洗浄を行った半導体基板は、慣用されている方法(例えば、Atlas of IC Technologies:An Introduction to VLSI Processes by W.Maly,1987 by The Benjamin/Cummings Publishing Company Inc.に記載された方法)に従って、様々な種類の半導体装置へと加工することができる。
さらに、本発明では、有機酸および有機溶媒のうち、中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群より選ばれる少なくとも1種、並びにフッ化水素(HF)を必須成分として含有する組成物にlow−k膜用のレジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残渣の剥離液、ビアホール洗浄液及びキャパシタ洗浄液として特に有効な効果がある。
本発明の剥離液は、ドライエッチング後のレジストなどを含めたエッチング残渣を剥離する処理工程において、
(1)絶縁膜バリアのエッチング量が1Å以上200Å以下、(2)low−k膜のエッチング量が1Å以上200Å以下、(3)Cuのエッチング速度が5Å/min以下である条件でエッチング残渣を除去するための組成物である。この組成物を用いた剥離の処理時間は、0.1分から120分、特に1分から60分である。絶縁膜バリアおよびlow−k膜のエッチング量は、処理時間に合わせて上記範囲にコントロールされる。
絶縁膜バリアには、処理時間の経過とともに、エッチングが進行しつづける膜とエッチングが停止する傾向を示す膜の2種類がある。エッチングが進行しつづける膜はSiN,SiO2,SiOCなどであり、エッチングが停止する傾向を示す膜としてはSiC,SiCNなどがある。
エッチングが進行しつづけるSiC,SiCNなどの絶縁膜バリアのエッチング量が5Åであるときのlow−k膜のエッチング量は5〜190Å、Cuのエッチング量は0.02〜2Åである。
SiN,SiO2,SiOCなどのエッチングが進行しつづける絶縁膜バリアのエッチング量が50Åであるときのlow−k膜のエッチング量は35〜195Å、Cuのエッチング量は0.02〜2Åである。
絶縁膜バリアとは、半導体デバイスの配線工程におけるCu/low−k多層配線構造を製作する際に、(1)low−k膜をパターニング用のハードマスク、(2)銅の拡散防止のためのバリア、(3)low−k膜のエッチングを防止するためのエッチストッパ、(4)low−k膜の保護と下地への密着性の向上、(5)銅のCMP工程におけるlow−k膜の保護(キャップ膜)などのために使用される絶縁膜である。これらの機能を持ち,low−k膜の比誘電率を損なうことがないよう、絶縁膜バリアの比誘電率も小さいことが望まれている。絶縁膜バリアとしては、窒化珪素(SiN)、炭化珪素(SiC)、炭化窒化珪素(SiCN)などのシリコン(Si)含有化合物があげられる。
low−k膜とは既に説明した膜に加えて、Orion(商品名Tricon社製)などの比誘電率が2.4以下の新たに形成されるようになった膜があげられる。Low−k膜は主に塗布と有機プラズマCVDにより生成される。塗布の場合は原料固有の膜の名称がつけられ、有機プラズマCVDの場合は原料と装置により固有の膜の名称がつけられる。Orionなども有機プラズマCVD膜のひとつである。
本発明の剥離液はフッ化水素と中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒の少なくとも1種の溶液からなり、配線材料である銅などの金属、絶縁膜バリアやlow−k膜、レジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残渣のエッチングをコントロールすることが可能である。すなわち、本発明の剥離液は以下の特徴をもつ。(1)銅などの金属の腐食を抑制し、(2)絶縁膜バリアを選択的にエッチングして絶縁膜バリアやlow−k膜とエッチング残渣との界面を剥離して分離させ、(3)エッチング残渣中のシリコン窒化物(SiN)などを選択的に溶解させること及び(4)レジスト、反射防止膜およびこれらを含むエッチング残渣の有機成分を有機溶媒により溶解させることによってレジスト、反射防止膜、埋め込み材およびこれらを含むエッチング残渣を除去することができる。エッチング残渣とは、レジスト、反射防止膜、埋め込み材などの半導体デバイス製作に使用する補助材料及びドライエッチングやドライエッチング後のアッシングのプロセスにおいて発生する反応生成物やスパッタリング物などの付着物や堆積物および取り除くことが必要とされる残留物である。
ここでいう付着物や堆積物とは、エッチングガスプラズマ自体から発生するフルオロカーボン含有ポリマーなどの物質、レジスト、反射防止膜、埋め込み材、絶縁膜バリア、low−k膜、配線材料である金属などのデバイスの構成材料がエッチングの際にプラズマに暴露されることにより反応によって生成される物質およびプラズマ中のイオンによりスパッタリングされた際に発生した物質などが付着や堆積したものである。取り除くことが必要とされる残留物とは、エッチングおよびアッシングにより変質した部分を含むレジスト、反射防止膜及び埋め込み材などを含む除去すべき対象物であり、エッチング後、次の工程に不要であるものを示す。本発明の剥離液を用いた剥離および洗浄の処理時間は、0.1分から120分である。処理時間は通常、処理方法により異なる。枚葉式の装置では10分以内、バッチ式の装置では60分以内などと装置と処理液の効果により決められる。本発明の剥離液では、0.1分という短時間から120分までの長時間での処理に対応する。
絶縁膜バリア、low−k膜のエッチング量が少ないとエッチング残渣が剥離しにくくなり、エッチング量が多いと設計寸法よりも大きいパターンとなり不具合を生じる。さらに、low−k膜のエッチング量に対する絶縁膜バリアのエッチング量の比は0.3以上であることが好ましい。この比は1.0以上であることがさらに好ましい。このエッチングの比が小さいとlow−k膜の方が絶縁膜バリアより多くエッチングされ、絶縁膜バリアとlow−k膜境界に段差が生じる。この段差はバリアメタルの埋め込みや配線材料である銅の埋め込みの際にlow−k膜が絶縁膜バリアの陰に隠れるかたちとなり、これらの埋め込みが不完全になり不良の原因となる。
シリコン(Si)を含有したlow−k膜のドライエッチングの際に、窒素を含むガスあるいはエッチングガスと窒素との混合ガスをエッチングガスとして用いた場合、low−k膜の成分の珪素と窒素が反応して窒化珪素(SiN)に組成が似たSi−N結合を有する化合物が生成し、これを含んだエッチング残渣が存在する。また、エッチング残渣を、窒素を含んだガスによりアッシングすると同様にlow−k膜の成分の珪素と窒素が反応して窒化珪素(SiN)に組成が似たSi−N結合を有する化合物を生成する。フッ化水素を含んだ本発明の剥離液では、このSi−N結合を含むエッチング残渣を有効に選択的に除去できる。また、エッチング後、この残渣をプラズマ処理して灰化あるいは反応などにより一部除去するアッシング工程後のエッチング残渣も選択的に除去可能である。ここでのプラズマ処理には、酸素、水素、窒素、ヘリウム、アルゴン、ネオン、クリプトン、キセノンなどの希ガス、水、アルコールなどプラズマが使用される。
有機酸および有機溶媒としては、中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群より選ばれる少なくとも1種が挙げられる。中性溶媒、プロトン供与性溶媒は両性溶媒として分類され、ドナー数が24以下の極性非プロトン性溶媒は非プロトン性溶媒として一般に分類されている。非プロトン性溶媒のうちで双極子モーメント、比誘電率が比較的大きい溶媒が極性非プロトン性溶媒とよばれ、これ以外の双極子モーメントと比誘電率が非常に小さく、酸性、塩基性も非常に弱い溶媒は不活性溶媒と呼ばれる。極性非プロトン性溶媒は、水よりも塩基性の強いものは極性親プロトン性溶媒、水よりも塩基性の弱いものは極性疎プロトン性溶媒にさらに分類できる。
中性溶媒はアルコール類が好ましく、プロトン供与性溶媒はモノカルボン酸類、ポリカルボン酸類およびスルホン酸類が好ましく、ドナー数が24以下の極性非プロトン性溶媒はエステル類、エーテル類、、ケトン類および酸無水物類が好ましい。
(I)中性溶媒の(1)アルコール類はメチルアルコール、エチルアルコール、プロパノール、イソプロパノール,t−ブタノール、アリルアルコール、エチレングリコール、プロピレングリコール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノイソプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノイソブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、ジエチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノイソブチルエーテル、トリエチレングリコールモノメチルエーテル、トリエチレングリコールモノエチルエーテル、トリエチレングリコールモノプロピルエーテル、トリエチレングリコールモノイソプロピルエーテル、トリエチレングリコールモノブチルエーテル、トリエチレングリコールモノイソブチルエーテル、ポリエチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノイソプロピルエーテル、プロピレングリコールモノイソブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテル、トリプロピレングリコールモノメチルエーテル及びエチレングリコールモノアリルエーテルからなる群より選ばれる少なくとも1種であり(メチルアルコール、エチルアルコールは単独では使用せず、他の溶媒と併用される)
(II)プロトン供与性溶媒の(1)モノカルボン酸類がギ酸、酢酸、プロピオン酸、酪酸、イソ酪酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、モノフルオロ酢酸、ジフルオロ酢酸、トリフルオロ酢酸、α−クロロ酪酸、β−クロロ酪酸、γ−クロロ酪酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少なくとも1種であり、(2)ポリカルボン酸が、シュウ酸、コハク酸、アジピン酸及びクエン酸からなる群より選ばれる少なくとも1種であり、(3)スルホン酸類がメタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸及びトリフルオロメタンスルホン酸からなる群より選ばれる少なくとも1種であり、
(III)ドナー数が24以下の極性非プロトン性溶媒の(1)エステル類が酢酸メチル、酢酸エチル、酢酸ブチル、炭酸プロピレン、炭酸エチレン、亜硫酸エチレン、ラクトン、リン酸トリブチル、リン酸トリメチルからなる群より選ばれる少なくとも1種であり、(2)エーテル類がジオキサン、トリオキサン及びジグライム、1,2−ジメトキシエタン、テトラヒドロフラン、ジエチルエーテル、ジメトキシメタン、ジメトキシプロパン、ジエトキシメタン、1,1−ジメトキシエタン、エチレングリコールメチルエチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールメチルエチルエーテル、ジエチレングリコールジエチルエーテル、トリエチレングリコールジメチルエーテル、トリエチレングリコールエチルメチルエーテル、トリエチレングリコールジエチルエーテル、テトラエチレングリコールジメチルエーテル、テトラエチレングリコールジエチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート及びジエチレングリコールモノエチルエーテルアセテートからなる群より選ばれる少なくとも1種であり、(3)ケトン類がアセトン、(4)酸無水物類が無水酢酸からなる群より選ばれる少なくとも1種である。
これらの中でもレジスト、反射防止膜および埋め込み材を含むエッチング残渣に有機物が多く含まれる場合、有機成分を溶かしやすい溶媒のほうがより好ましい。たとえば、アルコール類では、メタノールよりもエタノール、さらにはイソプロパノールのほうがより好ましい。
中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒のなかで、カルボン酸類、アルコール類、エステル類およびエーテル類が好ましい。さらにこれらの中でもモノカルボン酸類は酢酸が特に好ましく、アルコール類はイソプロパノール(IPA)、1−プロパノール、t−ブタノール、アリルアルコール、エチレングリコール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノイソプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノイソブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、ジエチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノイソブチルエーテル、トリエチレングリコールモノメチルエーテル、トリエチレングリコールモノエチルエーテル、トリエチレングリコールモノプロピルエーテル、トリエチレングリコールモノイソプロピルエーテル、トリエチレングリコールモノブチルエーテル、トリエチレングリコールモノイソブチルエーテル、ポリエチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノイソプロピルエーテル、プロピレングリコールモノイソブチルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノイソプロピルエーテル、トリプロピレングリコールモノメチルエーテル及びエチレングリコールモノアリルエーテルが特に好ましく、エステル類は酢酸メチル、酢酸エチル、酢酸ブチル、炭酸プロピレン、炭酸エチレンが特に好ましく、エーテル類は1、2−ジメトキシエタン、テトラヒドロフラン、ジオキサン、トリオキサン、ジグライム、エチレングリコールメチルエチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールメチルエチルエーテル、ジエチレングリコールジエチルエーテル、トリエチレングリコールジメチルエーテル、トリエチレングリコールエチルメチルエーテル、トリエチレングリコールジエチルエーテル、テトラエチレングリコールジメチルエーテル、テトラエチレングリコールジエチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート及びジエチレングリコールモノエチルエーテルアセテートが特に好ましい。
HF、有機溶媒及び/又は有機酸と水からなる剥離液において、絶縁膜バリアを選択的にエッチングして絶縁膜バリアやlow−k膜とエッチング残渣との界面を剥離して分離させ、エッチング残渣中のシリコン窒化物(SiN)などを選択的に溶解させる効果のある有機溶媒は、中性溶媒、プロトン供与性溶媒である両性溶媒およびドナー数が24以下の極性非プロトン性溶媒である非プロトン性溶媒である。中性溶媒、プロトン供与性溶媒の中では、アクセプター数が大きい方が、この効果は大きく、極性非プロトン性溶媒ではドナー数が小さい方が、この効果が大きい。また、HFの濃度を高くするとその効果は大きくなる。
配線材料である銅に注目すると、両性溶媒で自己プロトリシス定数が大きくドナー数が大きい溶媒、非プロトン性溶媒ではドナー数が小さい溶媒を用いた場合に銅の腐食が小さい。両性溶媒で自己プロトリシス定数が大きくドナー数が大きい溶媒とは、例えばアルコール類ではイソプロパノール(IPA)、1−プロパノール、t−ブタノールなどである。非プロトン性溶媒ではドナー数が小さい溶媒とは、ドナー数が24以下の極性非プロトン性溶媒であり、エステル類、エーテル類、ケトン類および酸無水物類などがこれに該当する。これに対して、自己プロトリシス定数が小さくドナー数が小さい両性溶媒やドナー数が24以上の極性親プロトン性溶媒は銅を腐食しやすい。自己プロトリシス定数が小さくドナー数が小さい両性溶媒は、例えばアルコール類ではメタノール、エタノールなどが挙げられる。ドナー数24以上の極性親プロトン性溶媒は、ジメチルホルムアミドなどのアミド類やジメチルスルホキシドなどの硫黄含有化合物などが挙げられる。
一方で銅の腐食量は銅の自然酸化膜の除去速度とも関係する。銅の自然酸化膜の除去速度が大きいと、保護膜としての酸化膜がなくなるため銅の腐食量は多くなる。銅の自然酸化膜は半導体デバイスを作製する上でいずれ取り除かなければならない。剥離液でレジストや反射防止膜、埋め込み材およびエッチング残渣を取り除くと同時に、これらの銅の自然酸化膜も取り除くことが望ましい。先ほどの銅の腐食と銅の自然酸化膜の除去との間には相関がある。銅を腐食しやすい溶媒ほど自然酸化膜も除去しやすい。したがって、銅を腐食しやすい溶媒を腐食しにくい溶媒に加えることにより、剥離処理時間内に、自然酸化膜の除去も可能になる。例えば、メタノールをイソプロパノールに添加するとその添加量により銅の自然酸化膜の除去速度をコントロールすることができる。剥離処理時間に銅の自然酸化膜をすべて除去できるように添加量を調整することにより、剥離液でレジストや反射防止膜、埋め込み材およびエッチング残渣を取り除くと同時に、これらの銅の自然酸化膜も取り除くことが可能となる。
自己プロトリシスとは、中性溶媒、プロトン供与性溶媒などの両性溶媒が、溶媒間でプロトンの授受が起こることをいう。すなわち、これらの溶媒は自己プロトリシス定数pKSHが小さい。
自己プロトリシス;SH+SH⇔SH2++S2−(SH;両性溶媒)
自己プロトリシス定数;pKSH=[SH2+[S2−]/[SH]
アクセプター数A、とは、Mayer−Gutmannが提案したアクセプタ性の尺度、すなわち溶媒のルイス塩基としての尺度である。n−ヘキサン中に溶かした(C2F5)3POの31P−NMR化学シフト値を0とし、1,2−ジクロロエタン中の(C2F5)3PO−SbCl錯体の31P−NMR化学シフト値を100としたとき、ある純溶媒中に溶かした(C2F5)3POの31P−NMR化学シフト値をAとする。A=100δ(溶媒)/[δ(1,2−ジクロロエタン中の(C2F5)3PO・SbCl)−δ(n−ヘキサン中に溶かした(C2F5)3PO)]である。
ドナー数DとはGutmannが提案したドナー性の尺度、すなわち溶媒のルイス酸としての尺度である。1,2−ジクロロエタン中のSbCl(10−3moldm−3)と溶媒(10−3moldm−3)とが反応する際のエンタルピーをkcal mol−1の単位で表した数値の絶対値をDとする。D=−ΔH(SbCl)/kcal mol−1である。
測定値として報告されていなくてもこれに準ずるアクセプター数、ドナー数をもつ有機溶媒は多数存在する。有機溶媒のアクセプター性、ドナー性はある程度推測することができる。例えばアルキル基が大きくなるにつれてアクセプター数は小さくなる傾向を持つ。A(HOH)=54.8、A(CHOH)=41.3、A(COH)=37.1、A(COH)=33.5となり、アルキル基の増加とともに順に小さくなる。アルキル基が大きいほうが電子供与性I効果(Inductive Effect)は大きく、水酸基−OHのHの電子密度が高くなり電子受容性が弱くなっているためであると考えることができる。C4H9OHのAの報告はないが、A(CHCl)=23.1であることから、Aは24以上であることが推測できる。このように、ドナー数、アクセプター数が既知の物質と比較することにより、ドナー性、アクセプター性を示す原子の電子密度の増減を考えるとその物質のドナー性、アクセプター性の度合いを知ることができ、必ずしも文献値などの測定値は必要ない。有機溶媒のアクセプター性が高いということは、溶媒のルイス塩基性が強いということである。
一般にアクセプター数20以上の溶媒は両性溶媒であり、両性溶媒は中性、プロトン供与性および親プロトン性溶媒として分類されている。プロトン、すなわち水素イオンの授受が頻繁におこることにより、水素イオンが関与したエッチングは進行しやすくなる。
有機溶媒のドナー性が高いということは溶媒のルイス酸性が強いということである。逆にいえば、ドナー数が小さいということはルイス酸性が弱いということであり、アクセプター数が大きい場合と同様に、水素イオンが関与したエッチングは進行しやすくなる。
以上の様なことから、絶縁膜バリアとして使用される窒化珪素(SiN),炭化珪素(SiC),炭化窒化珪素(SiCN)などのシリコン(Si)含有化合物のエッチングには水素イオンの関与が強いため、low−k膜として使用される酸化珪素(SiO)、リン(P),砒素(As),アンチモン(Sb)やボロン(B)などをドープしたBPSGと呼ばれるような酸化珪素(SiO2)、メチル基(−CH)などの有機成分や水素(H)などを含んだ低誘電率膜(low−k膜、SiOC,SiOC:Hなどの組成を示した形で表現されることもある)などのシリコン(Si)含有化合物に比べてSiN,SiC,SiCNなどのシリコン(Si)含有化合物はエッチングされやすい。したがって、エッチング残渣を除去する際に、low−k膜を必要以上にエッチングすることなく、low−k膜が絶縁膜バリアの陰になるような段差が少ない、ダメージの少ない残渣の剥離が可能となる。
さらに、以上の有機酸および有機溶媒の少なくとも1種類以上を含む場合、これらにドナー数が25以上の極性親プロトン溶媒、酸およびフッ素含有有機化合物などを添加する場合もある。
ドナー数が25以上の極性親プロトン溶媒を添加すると、反射防止膜および埋め込み材の除去能力は低下する。しかしながら、配線材料である銅に形成された酸化膜を除去する速度を大きくする効果を付与することができる。銅の酸化膜を残すと絶縁不良を起こす原因となる可能性がある。したがって反射防止膜および埋め込み材の除去と銅の酸化膜の除去を効果的に行うことが可能になる。ドナー数が25以上の極性親プロトン溶媒としては、ジメチルホルムアミド,ジメチルアセトアミド、ヘキサメチルリン酸トリアミド、N−メチル−2−ピロリドン、1,1,3,3−テトラメチル尿素、N−メチルプロピオンアミド、ジメチルイミダゾリジノンなどのアミド類やジメチルスルホキシド、スルホラン、ジメチルチオホルムアミド、N−メチルチオピロリドン、ジメチルスルホン、ジエチルスルホン、ビス(2−ヒドロキシエチル)スルホン、テトラメチレンスルホンなどの硫黄化合物類が挙げられる。
酸を加えた場合には、水素イオンの効果により、low−k膜やストッパー膜に対して、反射防止膜および埋め込み材をより選択的に除去することが可能になる。このような酸として塩化水素、臭化水素、ヨウ化水素およびこれらの水溶液、硫酸、硝酸、リン酸、カルボン酸などが挙げられる。
フッ素含有有機化合物を混合した場合は、反射防止膜および埋め込み材の除去液の浸透性を高める効果がある。反射防止膜や埋め込み材とlow−k膜やストッパー膜などのその他の材料との界面に浸透しやすくなり除去の効果が向上する。フッ素含有有機化合物としてCHF2CF2OCH2CF3、CHF2CF2OCH3などのハイドロフロロエーテル(HFE)類、CH3CCl2Fなどのハイドロクロロフルオロカーボン(HCFC)類などがある。
本発明の剥離液及び洗浄液において、エッチング種を発生させる源のひとつの形態としてフッ化水素あるいはフッ化水素とアンモニアおよび/またはアミンとの組み合わせたものを含むことが望ましい。ここでのエッチング種とは、絶縁膜バリアとlow−k膜をエッチングする活性種、エッチング残渣中にSi−N結合を有する化合物を溶解させるための活性種などエッチングに有効な活性種のことである。エッチング残渣に有機成分を含む場合は有機酸および有機溶媒を多く用い、残渣の溶解性を高めることが好ましい。フッ化水素はガスとして導入しても、100%フッ化水素液体あるいは水で希釈したフッ化水素酸として添加しても、いずれでもよい。アンモニア,アミンも同様にガスあるいは液体として加えることができる。
ただし、フッ化水素とアンモニア,アミンとを有機溶媒が多い組成の溶液に加える場合、フッ化水素とアンモニア,アミンを先に混合して塩を生成するのは好ましくない。有機溶媒に溶解しにくくなるからである。通常、フッ化水素かアンモニア,アミンかのいずれかを先に有機溶媒に添加し、十分混合した後に残りを加える。このような方法により、溶液中で塩を生成して結晶化する事を防ぐことができ、完全にイオンとして分離した状態の塩の電解溶液としてではなく、溶媒和したイオン対として溶解させることができる。このイオン対の一方がエッチング種となる。
本発明の剥離液及び洗浄液のフッ化水素の含有量は、絶縁膜バリアとlow−k膜のエッチング量およびSi−N結合を含むエッチング残渣である場合のエッチング量も考慮して、エッチング残渣の除去効果により決定される。フッ化水素の供給源としては、希フッ酸(50重量%水溶液)を通常用いるが、剥離液に水を含まない場合には、100%フッ化水素を用いることもできる。剥離液及び洗浄液全量に基づいて(以下、各成分の含有量については同様とする)フッ化水素の含有量は0重量%〜10重量%の範囲である。
プロトン供与性溶媒をだけを含む場合のフッ化水素の含有量は、0.05〜5重量%程度、好ましくは0.1〜3重量%程度、さらに好ましくは0.5〜3重量%程度である。
プロトン供与性溶媒とドナー数が24以下の極性非プロトン性溶媒および/又は中性溶媒を含むフッ化水素の含有量は、0.05〜10重量%程度、好ましくは0.1〜5重量%程度、さらに好ましくは0.5〜5重量%程度である。
中性溶媒および/又は極性非プロトン性溶媒を含む場合のフッ化水素の含有量は、0.1〜10重量%程度、好ましくは0.5〜7重量%程度、さらに好ましくは1〜5重量%程度である。
剥離液及び洗浄液が水を含有する場合の水の含有量は、90mass%以下程度、好ましくは10mass%以下程度、より好ましくは5mass%以下程度である。
中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性非プロトン性溶媒からなる群から選ばれる少なくとも1種の含有量は、25〜99.99mass%程度、好ましくは50〜99.99mass%程度、より好ましくは85〜99.99mass%程度、さらに好ましくは95〜99.99mass%程度である。
本発明の好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。
・HF:プロトン供与性溶媒:水:0.05〜5mass%:89.95〜99.95mass%:0〜10mass%
・HF:ドナー数が24以下の極性非プロトン性溶媒:水=0.5〜5mass%:89.5〜99.5mass%:0〜10mass%
・HF:中性溶媒:水=0.5〜5mass%:89.5〜99.5mass%:0〜10mass%
本発明のより好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。
・HF:酢酸:水=0.05〜5mass%:85〜99.95mass%:0〜10mass%
・HF:イソプロパノール:水=0.5〜5mass%:85〜99.5mass%:0〜10mass%
・HF:酢酸:IPA:水=0.1〜5mass%:1〜98.9mass%:1〜98.9mass%:0〜10mass%
・HF:メタノール:イソプロパノール:水=0.1〜5mass%:1〜80mass%:1〜98.9mass%:0〜10mass%
・HF:1,2−ジメトキシエタン:水=0.5〜5mass%:85〜99.5mass%:0〜10mass%
・HF:酢酸メチル、酢酸エチル、酢酸ブチルからなる群から選ばれる少なくとも1種:水=0.5〜5mass%:85〜99.5mass%:0〜10mass%
・HF:1,4−ジオキサン:水=0.5〜5mass%:85〜99.5mass%:0〜10mass%
・HF:炭酸プロピレン:水=0.5〜5mass%:85〜99.5mass%:0〜10mass%
・HF:1,4−ジオキサンと酢酸および無水酢酸から選ばれる少なくとも1種:水=0.1〜5mass%:85〜99.9mass%:0〜10mass%
・HF:エチレングリコールモノメチルエーテル:水=0.5〜5mass%:85〜99.5mass%:0〜10mass%
・HF:メタンスルホン酸:水=0.001〜5mass%:85〜99.999mass%:0〜10mass%
本発明のさらに好ましい剥離液及び洗浄液並びにその配合比の一例を以下に示す。
・HF:酢酸:水=0.1〜5mass%:85〜99.88mass%:0.02〜10mass%
・HF:IPA:水=1〜4mass%:88〜98.5mass%:0.5〜8mass%
・HF:酢酸:IPA:水=0.1〜5mass%:1〜98.85mass%:1〜98.85mass%:0.05〜10mass%
・HF:メタノール:IPA:水=0.1〜5mass%:1〜80mass%:1〜98.85mass%:0.05〜10mass%
・HF:1,2−ジメトキシエタン:水=0.5〜5mass%:85〜99.3mass%:0.2〜10mass%
・HF:酢酸メチル、酢酸エチル、酢酸ブチルからなる群から選ばれる少なくとも1種:水=0.5〜5mass%:85〜99.3mass%:0.2〜10mass%
・HF:1,4−ジオキサン:水=0.5〜5mass%:85〜99.3mass%:0.2〜10mass%
・HF:炭酸プロピレン:水=0.5〜5mass%:85〜99.3mass%:0.2〜10mass%
・HF:1,4−ジオキサンと酢酸および無水酢酸から選ばれる少なくとも1種:水=0.1〜5mass%:85〜99.9mass%:0〜10mass%
・HF:エチレングリコールモノメチルエーテル:水=0.5〜5mass%:85〜99.3mass%:0.2〜10mass%
・HF:メタンスルホン酸:水=0.001〜5mass%:85〜99.998mass%:0.001〜10mass%
さらに、これらに、アンモニア及び/又はアミン、ドナー数が25以上の極性親プロトン溶媒、酸、フッ素含有有機化合物などを添加する場合もある。HF(フッ化水素):アンモニア及び/又はアミン:有機酸および有機溶媒の少なくとも1種類:水:酸:ドナー数が25以上の極性親プロトン性溶媒:フッ素含有有機化合物の重量比は、0.05〜5mass%:0.05〜10mass%:50〜99.83mass%:0.02〜10mass%:0.05〜50mass%:0〜70mass%であることが好ましい。
さらに、ドライエッチングやその後の酸素、水素、窒素、希ガスなどを用いたプラズマによるアッシング(レジストやポリマーのプラズマプロセスによる除去)ダメージを受けたlow−k膜と反射防止膜および埋め込み材とを同時あるいは別々にエッチング残渣を除去することも可能である。また、薬液組成によってはダメージを受けたlow−k膜を除去せずに残して、反射防止膜および埋め込み材を除去することも可能である。
銅および銅の合金など配線材料では、剥離液中の溶存酸素量、水素イオン量などが多いと腐食が進行する。特に溶存酸素量は銅の腐食を制御する上で重要である。剥離液中の溶存酸素量を減らすことができると、銅の腐食は大幅に抑えることができる。このように、配線材料である銅などの金属が共存する場合には、不活性ガスを混合し酸素分圧が空気の酸素分圧以下である雰囲気(実質的に不活性ガス中)で、剥離液に不活性ガスを溶解させ、剥離液中の酸素分圧を飽和溶解した空気の酸素分圧以下にした剥離液で、レジスト、反射防止膜およびこれらを含むエッチング残渣を除去することにより、腐食を抑えることができる。この場合、さらに、不活性ガスを溶解させ、水中の酸素分圧を飽和溶解して空気の酸素分圧以下にした水を用いて除去液を取り除くリンスを行うとリンス段階での腐食も抑えることができ、さらに効果的である。不活性ガスとしては、窒素(N2),ヘリウム、ネオン、アルゴンなどの希ガスが例示される。さらに、亜硫酸、亜硫酸アンモニウムなどの亜硫酸塩のような脱酸素剤やピロガロール、フタル酸、ベンゾトリアゾール、D−ソルビトールなどの一般に使用される防食剤を添加してもよい。これらにより、銅の腐食を抑制する効果がある。
本発明によれば、low−k膜を実質的に損なうことなく、レジストを剥離し、除去できるレジスト剥離液を提供できる。また、ビアホール、キャパシタなどに残存するチタン化合物を洗浄する洗浄液、チタン化合物などを除去できるビアホール、キャパシタなどの洗浄液を提供することができる。
以下に実施例を示して本発明をより詳細に説明するが、本発明は下記の実施例に限定されるものではない。
下記組成物のエッチング量は、各組成物を用いて23℃で各膜をエッチングし、エッチング処理前の膜厚とエッチング処理後の膜厚の差を算出したものである。
なお、以下において、SiN膜のエッチングレートはナノメトリクスジャパン株式会社製ナノスペック3000AF−Tを用いてエッチング前後の膜厚を測定することで行った。また、金属[TiN膜]のエッチングレートは共和理研社 抵抗率測定器 K−705RSを用いてエッチング前後の抵抗率を測定し、抵抗率から膜厚を求めた。
また、レジストの剥離性、断面形状は、日立製作所社、走査型電子顕微鏡(S−5000)の写真撮影により観察した。
試験例1:レジスト剥離性
low−k膜(ポーラスMSQ)、SiN膜、反射防止膜(BARC)、レジスト(KrF)膜が形成されたSi基板について、エッチング処理を行い、SiN膜の表面上にレジスト(表面のレジストがエッチング処理により変質したものを含む)を有する被処理物を得た。
下記表1〜4に示す剥離液に、23℃にて、所定時間撹拌しながら浸漬した。用いた有機酸及び有機溶媒のSP値は以下に示すとおりである。
酢酸:10.1
ヘキサノール:10.7
ラウリルアルコール:9.8
プロピレングリコール:12.6
ジエチレングリコール:12.1
グリセリン:16.5
IPA:11.5
軽いOプラズマアッシングは通常よりも短い時間でOプラズマアッシングを行い、low−k膜に実質的にダメージを与えないようにして行った。
なお、以下の表中のレジスト剥離性において「A」は良好、「B」は良、「C」は不良を示す。
Figure 2004019134
Figure 2004019134
Figure 2004019134
Figure 2004019134
実施例1〜46の剥離液で処理した基板からは、レジストが完全に剥離されていた。また、反射防止膜も剥離されていた。さらに、反射防止膜の付着しているSiN膜のエッチング量はいずれも1Å以上であった。一方、比較例1〜3の液で処理した基板は、レジストを除去できなかった。
試験例2:断面形状
実施例1,5,6,7,11,12,14,15,19,20,24,25,29,30,33,34,36,37及び42について、基板を垂直方向に切断した断面図をSEMで観察し、剥離液での処理前の断面図と比べ、low−k膜への剥離液の影響を確認した。
実施例1,5,6,7,11,12,14,15,19,20,24,25,29,30,33,34,36,37及び42では断面形状は剥離液での処理の前後で実質的に変わっておらず、low−k膜がダメージを受けていないことが確認できた。
本発明の剥離液によれば、low−k膜にダメージを与えることなく、レジストを除去することが可能であることがわかった。
比較例4
HF/HO=15mass%/85mass%/(23℃、10分間、軽いO2プラズマアッシング有り、又は無し)
比較例4ではレジストは剥離できるがLow−k膜にダメージを与えてしまいLow−k膜ごと剥離した。
試験例3:ポリマー及びチタン化合物洗浄性
底部にTiN膜を有する酸化膜付きのSiウエハにレジストを形成してドライエッチングを行い、Oプラズマアッシングをしてビアホールを有する被処理物を得た。ビアホールの側面及び底面には、ポリマー及びチタン化合物が残存していた。
該被処理物を、下記の洗浄液(実施例44)に23℃にて、所定時間撹拌しながら浸漬した。
実施例44:HF/HO/酢酸=0.75mass%/0.75mass%/98.5mass%(10分間)
実施例44の洗浄液で処理すると、ビアホールに残存していたポリマー及びチタン化合物が除去され、ビアホールを洗浄することができた。また、TiN膜のエッチング量は2.4Åであった。
試験例4:レジスト残渣洗浄性試験
底部に酸化膜を有するTiN(上層)/Ta(下層)付のSiウエハにレジストを形成してTiN(上層)/Ta(下層)のドライエッチングを行い、Oプラズマアッシングをしてメタルキャパシタ電極を有する被処理物を得た。電極の表面には、レジスト残渣が残存していた。
該被処理物を、下記の洗浄液(実施例45及び46)に23℃にて、所定時間撹拌しながら浸漬した。
実施例45:HF/HO/酢酸=1.5mass%/1.5mass%/97mass%(10分間)
実施例46:HF/HO/酢酸=3mass%/3mass%/96mass%(10分間)
実施例45及び46の洗浄液で処理すると、メタルキャパシタ電極表面に残存していたレジスト残渣が除去され、キャパシタを洗浄することができた。またTiN膜のエッチング量は実施例45では6.2Å、実施例46では12Åであった。
キャパシタの電極表面のレジスト残渣が剥離でき、及びビアホールに残存するポリマー及びチタン化合物を除去できれば、キャパシタの電極表面のポリマーやチタン化合物も洗浄できることが予測される。
実施例47〜50
low−k膜[CVD系]及びレジスト膜[KrF]が形成されたSi基板について、エッチング処理を行ない、low−k膜の表面上にレジスト(レジストがエッチング処理により変質したものを含む)及び形成されたホールにポリマーを有する被処理物を得た。なお、レジストのアッシングは行っていない。
実施例47〜50の組成の剥離液を調製し、被処理物を浸漬し、超音波[950kHz、600W]を照射しながら表5に示す時間洗浄を行った。超音波洗浄機は、株式会社カイジョー社製高周波超音波洗浄機ハイ・メガソニック(発振器:型名6848、振動子:7857S型)を使用した。
実施例47〜50の剥離液で処理した基板からは、レジストが完全に剥離されていた。また、ポリマーも除去されていた。
下記表6に示す有機溶剤を溶媒として用い、フッ酸及びアミンを含む剥離液を調製し、超音波洗浄を行ったところ、レジスト及びポリマーを剥離することが可能であった。
表5及び表6に記載された組成物がビアホール内のポリマーを剥離することが上記試験例より確認できたので、これら組成物がビアホールに残存するチタン化合物を洗浄できることが予測できる。また、これら組成物がキャパシタの電極表面のレジスト残渣、ポリマー及びチタン化合物を洗浄できることが予測される。
Figure 2004019134
Figure 2004019134
試験例5:レジスト剥離性
ポーラスlow−k膜(ポーラスMSQ)、SiC膜、シリコンを含有する反射防止膜(BARC)、レジスト(KrF)膜が形成されたSi基板について、ビアエッチング処理を行い、SiC膜の表面上にレジスト(表面のレジストがエッチング処理により変質したものを含む)及び反射防止膜を有し、ビアホール内にはエッチング残渣が存在する銅配線形成前のダマシン構造の被処理物を得た。この被処理物を以下に示す表7に示す剥離液に、23℃にて、所定時間撹拌しながら浸漬した。
実施例67〜83
実施例67〜83では、上述の被処理物を作製後、レジスト、反射防止膜及びエッチング残渣を除去するための酸素アッシングなどのプラズマ処理を行っていない。表7に示した実施例67〜83に示した剥離液を用いた場合の処理時間内のSiCエッチング量は1Å以上、SiNエッチング量は12Å以上であり、これらの剥離液で処理した基板からは、レジスト、反射防止膜及びエッチング残渣のいずれもが完全に除去されていた。SiNをエッチングする効果、すなわち、SiNのようなシリコン窒化物を含むエッチング残渣を溶解させる効果のある溶媒は、ここに示したような中性溶媒(メタノール、エタノール、イソプロパノール)、プロトン供与性溶媒(トリフルオロ酢酸、酢酸、ギ酸)などの両性溶媒、ドナー数が24以下の極性非プロトン性溶媒(1,2−ジメトキオシエタン、テトラヒドロフラン、酢酸メチル、酢酸エチル、1,4−ジオキサン、炭酸プロピレン、アセトン)などの非プロトン性溶媒であり、これらの溶媒を用いた場合は、レジスト、反射防止膜及びエッチング残渣を除去する効果が高いことを示している。これらの実施例においては、HF濃度を高くするとレジスト、反射防止膜及びエッチング残渣の除去効果も高くなる事を確認している。また、SiCを1Å以上エッチングすることにより、レジスト、反射防止膜及びエッチング残渣の各界面を乖離させ、これらを除去する効果を増している。
実施例71に示すHF濃度以上のHFとエタノールとの組合せで用いた場合に銅の腐食が発生する。その他の表7に示した実施例では銅の腐食はほとんどなく、実質上問題にはならない。
一方、表8に示した比較例5〜9の液で処理した基板は、レジスト、反射防止膜及びエッチング残渣の除去を除去できなかった。SiCを1Å以上エッチングするだけでは、レジスト、反射防止膜及びエッチング残渣を除去する効果は小さく、SiNとSiCをともにエッチングすることが、これらを除去するためには必要である。比較例5〜9の液では銅も腐食しやすく、実施例に示したような単独の溶媒を用いた場合は剥離液として適さない。
実施例67〜83および比較例5〜9では、両性溶媒で自己プロトリシス定数が大きくドナー数が大きい溶媒、非プロトン性溶媒ではドナー数が小さい溶媒を用いた場合に銅の腐食が小さいことも実証している。両性溶媒で自己プロトリシス定数が大きくドナー数が大きい溶媒とは、例えばアルコール類ではイソプロパノール(IPA)、1−プロパノール、t−ブタノールなどである。非プロトン性溶媒ではドナー数が小さい溶媒とは、ドナー数が24以下の極性非プロトン性溶媒であり、エステル類、エーテル類、ケトン類および酸無水物類などがこれに該当する。これに対して、自己プロトリシス定数が小さくドナー数が小さい両性溶媒やドナー数が24以上の極性親プロトン性溶媒は銅を腐食しやすい。自己プロトリシス定数が小さくドナー数が小さい両性溶媒は、例えばアルコール類ではメタノール、エタノールなどが挙げられる。ドナー数25以上の極性親プロトン性溶媒は、ジメチルホルムアミドなどのアミド類やジメチルスルホキシドなどの硫黄含有化合物などが挙げられる。
比較例5〜9ではHFの濃度を大きくすると剥離性は多少改善されるが効果は小さい。また、銅配線が露出する部分では、銅の腐食を促進するために使用しにくい。しかし、比較例5〜9に示した有機溶媒は銅の自然酸化膜を除去する効果はある。銅の自然酸化膜は配線の抵抗を高くし接触不良を起こす可能性があるので除去することが望ましい。実施例67〜83に比較例5〜9に示した有機溶媒を混合して、銅の腐食が少ない処理時間で、レジスト、反射防止膜及びエッチング残渣を除去すると同時に銅の自然酸化膜だけを除去するために使用することができる。表9に示した比較例11、比較例12は、Al/SiO2多層配線構造を形成する際に、ポリマー剥離液として用いられる代表的な組成の薬液で処理した例である。この場合も、銅の腐食は多くないが、レジスト、反射防止膜及びエッチング残渣のいずれも除去できていない。処理時間を長くすると、これらの除去性は多少改善されるが、銅の腐食が進行することとlow−kのエッチング量が多くなり寸法どおりの加工が難しくなる。
酸素プラズマ、水素プラズマ及び水プラズマなどによるプラズマによるアッシング処理を行った結果に対しても、実施例、比較例ともに、ほぼ同様の効果であった。
Figure 2004019134
Figure 2004019134
Figure 2004019134
表10に示した比較例13〜43は、これまでに剥離液および洗浄液として出願された特許に記載された実施例の組成物などを用いて、本発明で使用した被処理物を処理した結果を示したものである。これらの比較例に示された剥離液および洗浄液は、Al/SiO2多層配線構造を作製するために開発されたものが大半を占める。
比較例13〜16は、特開平1−146331号公報の実施例で示された組成物で本発明の被処理物を処理した結果である。フッ化水素とイソプロパノールおよび水とからなる洗浄液であるが、これらのフッ化水素濃度は0.5重量%以下であり、絶縁膜バリアやシリコン窒化膜(SiN)を選択的にエッチングできないため、レジスト、反射防止膜およびエッチング残渣の除去性が悪い。また、水分が多いと、有機組成物であるレジストや反射防止膜(BARC)は除去できず、エッチング残渣の除去性も低下、銅の腐食も大きいことを示している。
比較例17〜19も特開平1−146331号公報の実施例で示された組成物で本発明の被処理物を処理した結果である。有機溶媒として酢酸を用いた場合で、水分量が多い場合とさらに多い場合の例である。HF濃度に対して水分量が多いため、レジスト、反射防止膜(BARC)およびエッチング残渣を除去できない。水分量が多くなるにつれてSiCのエッチング量は減り、SiNおよびlow−k膜のエッチング量が多く、設計寸法どおりの加工が困難になる。
比較例20、21は、特開平8−202052号公報の実施例で示された組成物で本発明の被処理物を処理した結果である。比較例20はSiCのエッチング量が少ないため、レジストおよび反射防止膜(BARC)の除去ができず、比較例21は、シリコン窒化物(SiN)をlow−k膜に対して選択的にエッチングする効果が小さいためエッチング残渣の除去ができていない。Low−k膜に対するSiNのエッチングの選択比が小さいため、レジスト、反射防止膜(BARC)及びエッチング残渣を除去するため処理時間を長くするとlow−k膜のエッチング量が多くなり、寸法どおりの加工が困難になる。特開平8−202052号公報では、有機溶媒として、スルホキシド類、アミド類、多価アルコールなどに効果があるとされている。スルホキシド類、アミド類は、銅の腐食が大きく、特に実施例としてあげられているジメチルスルホキシドは激しく銅を腐食させる。防食剤を添加しているが、これは、配線材料として銅を対象としていないことが明らかである。これに対して、防食剤を必要としない有機溶媒を選択したのが本発明である。すなわち、特願平8−202052号は、Cu/low−k多層配線構造を形成するために使用する剥離液には適さないことを示している。
比較例22は特開平10−50647号公報の実施例で示された組成物で本発明の被処理物を処理した結果である。この組成ではSiC,SiNのエッチングはともに少なく、レジスト、反射防止膜(BARC)およびエッチング残渣のいずれもが全く除去できていない。Low−k膜に対するSiNのエッチングの選択比が小さいため、レジスト、反射防止膜(BARC)及びエッチング残渣を除去するため処理時間を長くするとlow−k膜のエッチング量が多くなり、寸法どおりの加工が困難になる。
比較例23〜25はUSP6150282号の実施例、比較例26はUSP6150282号の実施例で示された組成物で本発明の被処理物を処理した結果である。
比較例23〜25は、炭酸プロピレンを溶媒に用いた場合は、銅の腐食は小さく、エッチング残渣の剥離性も悪くない。しかし、BARC,レジストの剥離性は悪く、これらを完全に除去できない。また、加工形状もよくない。
比較例26は、実質的に水分を含まず、金属の腐食が少ないことを特徴としている。水分がないとシリコン窒化物(SiN)をlow−k膜に対して選択的に除去することができないため、特にエッチング残渣の剥離性が悪くなる。この場合はレジストおよび反射防止膜(BARC)も除去できていない。
比較例26〜35は特開平11−340183号公報の実施例で示された組成物で本発明の被処理物を処理した結果である。HF濃度が低い場合は、SiCとSiNのエッチング速度が小さく、レジスト、反射防止膜(BARC)およびエッチング残渣を除去できない。HF濃度を高くすると、溶媒にメタノールを使用しているため銅の腐食が激しい。したがって、Cu/low−k多層配線構造を形成するために使用する剥離液としては適さない。
比較例36〜41は特開平11−340183号公報の実施例で示された組成物で有機溶媒をメタノールからイソプロパノール(IPA)に変えて、本発明の被処理物を処理した結果である。イソプロパノールを用いた場合でも、HF濃度が低い場合や、HF濃度が高くても水分量が少ない場合には、レジスト、反射防止膜(BARC)およびエッチング残渣を除去できない。
比較例42、比較例43は、有機溶媒として酢酸を用い、HF濃度が低い場合と高い場合を示したものである。HF濃度が低いとレジスト、反射防止膜およびエッチング残渣のいずれも除去することはできない。濃度が高い場合は、レジスト、反射防止膜およびエッチング残渣のいずれも除去できるが、絶縁膜バリアであるSiCとlow−k膜の界面が剥離し、low−k膜のサイドエッチングが進み設計寸法どおりの加工形状が得られない。
Figure 2004019134
試験例6:断面形状
実施例67〜83と比較例5〜43について、基板を垂直方向に切断した断面図をSEMで観察し、剥離液での処理前の断面図と比べ、low−k膜への剥離液の影響を確認した。実施例67〜83では断面形状は剥離液での処理の前後で実質的に変わっておらず、low−k膜がダメージを受けていないことが確認できた。
本発明の剥離液によれば、low−k膜にダメージを与えることなく、レジスト、反射防止膜およびこれらを含むエッチング残渣を除去することが可能であることがわかった。
比較例10
HF/HO=0.5mass%/99.5mass%
比較例10ではレジスト、反射防止膜は剥離できるがLow−k膜にダメージを与えてしまいLow−k膜とともに剥離した。
比較例5〜9、11、12はレジスト、反射防止膜およびこれらを含むエッチング残渣を除去できていないため,形状の評価もできなかった。これらを除去でするまで処理時間を長くすると、low−k膜のエッチング量が多くなり、設計寸法どおりの加工ができなくなり、加工形状は著しく悪くなる。
比較例13〜43でも、レジスト、反射防止膜およびこれらを含むエッチング残渣を除去できていないため,形状の評価できない場合や、レジスト、反射防止膜およびこれらを含むエッチング残渣のいずれかを除去できても加工形状がよくない場合ばかりであった。

Claims (73)

  1. 有機酸及び有機溶媒からなる群から選ばれる少なくとも1種、並びにフッ化水素(HF)を含むlow−k膜用のレジスト剥離液。
  2. さらに、アンモニア及びアミンからなる群より選ばれる少なくとも1種を含む請求項1に記載のレジスト剥離液。
  3. 超音波洗浄用である請求項1に記載の剥離液。
  4. low−k膜の比誘電率が、1より大きく、3以下である請求項1に記載の剥離液。
  5. SiN膜を1Å以上エッチングすることができる請求項1に記載の剥離液。
  6. 有機酸または有機溶媒のSP値が7〜17である請求項1に記載の剥離液。
  7. HFの濃度が0.01〜10mass%である請求項1に記載の剥離液。
  8. 有機酸及び有機溶媒からなる群から選ばれる少なくとも1種が有機酸、或いは有機酸及び有機溶媒の混合物であって、HFの濃度が0.01〜5mass%である請求項1に記載の剥離液。
  9. 有機酸及び有機溶媒からなる群から選ばれる少なくとも1種が有機溶媒であって、HFの濃度が0.01〜10mass%である請求項1に記載の剥離液。
  10. さらに水を含み、HF:有機酸:水の重量比が0.01〜5mass%:49〜99.9mass%:0〜50mass%であり、有機酸がモノカルボン酸、スルホン酸及びポリカルボン酸からなる群より選ばれる少なくとも1種である請求項1に記載の剥離液。
  11. モノカルボン酸が、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カプロン酸、カプリル酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、モノフルオロ酢酸、ジフルオロ酢酸、トリフルオロ酢酸、α−クロロ酪酸、β−クロロ酪酸、γ−クロロ酪酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少なくとも1種であり;
    スルホン酸が、メタンスルホン酸、ベンゼンスルホン酸、トリフルオロメタンスルホン酸及びトルエンスルホン酸からなる群より選ばれる少なくとも1種であり;
    ポリカルボン酸が、シュウ酸、コハク酸、アジピン酸、酒石酸及びクエン酸からなる群より選ばれる少なくとも1種である請求項10に記載の剥離液。
  12. さらに水を含み、HF:有機溶媒:水の重量比が0.01〜10mass%:49〜99.9mass%:0〜50mass%であり、有機溶媒が1価アルコール類、ポリオール類、ケトン類、アミド類、ニトリル類、アルデヒド類、アルキレングリコールモノアルキルエーテル、エーテル類、エステル類、炭化水素類、ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる群より選ばれる少なくとも1種である請求項1に記載の剥離液。
  13. HF:アンモニア及びアミンからなる群より選ばれる少なくとも1種:有機溶媒:水の重量比が0.01〜10mass%:0.01〜30mass%:49〜99.9mass%:0〜50mass%であり、有機溶媒が1価アルコール類、ポリオール類、ケトン類、アミド類、ニトリル類、アルデヒド類、アルキレングリコールモノアルキルエーテル、エーテル類、エステル類、炭化水素類、ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる群より選ばれる少なくとも1種である請求項2に記載の剥離液。
  14. 1価アルコール類が、メタノール、エタノール、イソプロパノール(IPA)、1−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、2−メチル−1−プロパノール、1−ペンタノール、1−ヘキサノール、1−ヘプタノール、4−ヘプタノール、1−オクタノール、1−ノニルアルコール、1−デカノール、1−ドデカノール、ラウリルアルコール及びシクロヘキサノールからなる群より選ばれる少なくとも1種であり(但し、メタノール、エタノールは他の有機溶媒または有機酸と併用される);
    ポリオール類が、エチレングリコール、ジエチレングリコール、1,2−プロパンジオール、プロピレングリコール、2,3−ブタンジオール及びグリセリンからなる群より選ばれる少なくとも1種であり;
    ケトン類が、アセトン、アセチルアセトン、メチルエチルケトン、メチルイソブチルケトン、シクロヘキサノン、ジエチルケトン及びジイソブチルケトンからなる群より選ばれる少なくとも1種であり;
    アミド類が、N−メチルホルムアミド、N,N−ジメチルホルムアミド、N−メチルアセトアミド及びN,N−ジメチルアセトアミドからなる群より選ばれる少なくとも1種であり;
    ニトリル類が、アセトニトリル、プロピオニトリル、ブチロニトリル、イソブチロニトリル及びベンゾニトリルからなる群より選ばれる少なくとも1種であり;
    アルデヒド類が、ホルムアルデヒド、アセトアルデヒド及びプロピオンアルデヒドからなる群より選ばれる少なくとも1種であり;
    アルキレングリコールモノアルキルエーテルが、エチレングリコールモノメチルエーテル及びエチレングリコールモノエチルエーテルからなる群より選ばれる少なくとも1種であり;
    エーテル類が、テトラヒドロフラン、ジオキサン、ジイソプロピルエーテル、ジブチルエーテル、テトラヒドロピラン、アニソール、1,2−ジメトキシエタン及びジエチレングリコールジメチルエーテルからなる群より選ばれる少なくとも1種であり;
    エステル類が、酢酸メチル、酢酸エチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチル、酢酸イソブチル、酢酸ペンチル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、プロピオン酸ペンチル、プロピオン酸ヘキシル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、酪酸ヘキシル、イソ酪酸メチル、イソ酪酸エチル、イソ酪酸プロピル、イソ酪酸イソプロピル、イソ酪酸ブチル、イソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸ヘキシル、吉草酸メチル、吉草酸エチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸ブチル、吉草酸イソブチル、吉草酸ペンチル、吉草酸ヘキシル、イソ吉草酸メチル、イソ吉草酸エチル、イソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸ブチル、イソ吉草酸イソブチル、イソ吉草酸ペンチル、イソ吉草酸ヘキシル、カプロン酸メチル、カプロン酸エチル、カプロン酸プロピル、カプロン酸イソプロピル、カプロン酸ブチル、カプロン酸イソブチル、カプロン酸ペンチル、カプロン酸ヘキシル、カプリル酸メチル、カプリル酸エチル、カプリル酸プロピル、カプリル酸イソプロピル、カプリル酸ブチル、カプリル酸イソブチル、カプリル酸ペンチル、カプリル酸ヘキシル、オクタン酸メチル、オクタン酸エチル、オクタン酸プロピル、オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、オクタン酸ヘキシル、ノナン酸メチル、ノナン酸エチル、ノナン酸プロピル、ノナン酸イソプロピル、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸ヘキシル、デカン酸メチル、デカン酸エチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチル、デカン酸イソブチル、デカン酸ペンチル、デカン酸ヘキシル、ドデカン酸メチル、ドデカン酸エチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカン酸イソブチル、ドデカン酸ペンチル、ドデカン酸ヘキシル、ラウリル酸メチル、ラウリル酸エチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブチル、ラウリル酸ペンチル、ラウリル酸ヘキシル、アクリル酸メチル、アクリル酸エチル、アクリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、アクリル酸ペンチル、アクリル酸ヘキシル、シュウ酸モノメチル、シュウ酸ジメチル、シュウ酸モノエチル、シュウ酸ジエチル、シュウ酸モノプロピル、シュウ酸ジプロピル、シュウ酸モノブチル、シュウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノエチル、コハク酸ジエチル、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチル、コハク酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピン酸ジエチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノエチル、酒石酸ジエチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、クエン酸モノメチル、クエン酸ジメチル、クエン酸モノエチル、クエン酸ジエチル、クエン酸モノプロピル、クエン酸ジプロピル、クエン酸モノブチル、クエン酸ジブチル、フタル酸ジメチル、フタル酸ジエチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、フタル酸ジヘキシル、フタル酸ジヘプチル、フタル酸ジオクチル、フタル酸ジノニル、フタル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジエチル、テレフタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジヘキシル、テレフタル酸ジヘプチル、テレフタル酸ジオクチル、テレフタル酸ジノニル、テレフタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン及びγ−ブチロラクトンからなる群より選ばれる少なくとも1種であり;
    炭化水素類が、ヘキサン、シクロヘキサン、オクタン、イソオクタン、ベンゼン及びトルエンからなる群より選ばれる少なくとも1種であり;
    ハロゲン化合物類が、クロロホルム、o−ジクロロベンゼン、パーフロロヘキサン及びパーフロロメチルシクロヘキサンからなる群より選ばれる少なくとも1種であり;
    フッ素アルコール類が、トリフルオロエタノール、ペンタフルオロプロパノール及び2,2,3,3−テトラフルオロプロパノールからなる群より選ばれる少なくとも1種であり;
    リン酸エステル類が、リン酸ジメチル、リン酸ジブチル、リン酸ジフェニル、リン酸ジベンジル、リン酸トリメチル、リン酸トリエチル、リン酸トリプロピル、リン酸トリブチル及びリン酸トリフェニルからなる群より選ばれる少なくとも1種であり;
    含窒素化合物類が、テトラメチル尿素及びN−メチル−2−ピロリドンからなる群より選ばれる少なくとも1種である;
    請求項12に記載の剥離液。
  15. low−k膜の表面上或いはlow−k膜上の反射防止膜を介してレジストを有する被処理物を、レジストを剥離するがlow−k膜に実質的にダメージを与えないような温度及び時間で請求項1に記載の剥離液を用いて処理することを特徴とするレジスト剥離方法。
  16. 剥離液で処理する前に、レジストを、low−k膜に実質的にダメージを与えない程度にアッシング処理することを特徴とする請求項15に記載の方法。
  17. low−k膜に実質的にダメージを与えないことが、実質的にlow−k膜をエッチングしないこと及び/又は処理前後のlow−k膜の比誘電率が実質的に変化しないことである請求項15に記載の方法。
  18. 被処理物の処理を、超音波洗浄しながら行うことを特徴とする請求項15に記載の方法。
  19. 請求項15に記載の方法により得ることができるレジスト剥離処理物。
  20. 有機酸及び有機溶媒からなる群から選ばれる少なくとも1種、並びにフッ化水素(HF)を含むビアホール又はキャパシタ洗浄液。
  21. さらに、アンモニア及びアミンからなる群より選ばれる少なくとも1種を含む請求項20に記載の洗浄液。
  22. 超音波洗浄用である請求項20に記載の洗浄液。
  23. TiN膜を0.01Å以上エッチングすることができる請求項20に記載の洗浄液。
  24. さらに水を含み、HF:有機酸:水の重量比が0.01〜5mass%:49〜99.9mass%:0〜50mass%であり、有機酸がモノカルボン酸、スルホン酸及びポリカルボン酸からなる群より選ばれる少なくとも1種である請求項20に記載の洗浄液。
  25. モノカルボン酸が、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、カプロン酸、カプリル酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、モノフルオロ酢酸、ジフルオロ酢酸、トリフルオロ酢酸、α−クロロ酪酸、β−クロロ酪酸、γ−クロロ酪酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、メタクリル酸及びアクリル酸からなる群より選ばれる少なくとも1種であり、
    スルホン酸が、メタンスルホン酸、ベンゼンスルホン酸、トリフルオロメタンスルホン酸及びトルエンスルホン酸からなる群より選ばれる少なくとも1種であり、
    ポリカルボン酸が、シュウ酸、コハク酸、アジピン酸、酒石酸及びクエン酸からなる群より選ばれる少なくとも1種である請求項24に記載の洗浄液。
  26. さらに水を含み、HF:有機溶媒:水の重量比が0.01〜10mass%:49〜99.9mass%:0〜50mass%であり、有機溶媒が1価アルコール類;ポリオール類;ケトン類;アミド類;ニトリル類;アルデヒド類;アルキレングリコールモノアルキルエーテル;エーテル類;エステル類;炭化水素類;ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる群より選ばれる少なくとも1種である請求項20に記載の洗浄液。
  27. HF:アンモニア及びアミンからなる群より選ばれる少なくとも1種:有機溶媒:水の重量比が0.01〜10mass%:0.01〜30mass%:49〜99.9mass%:0〜50mass%であり、有機溶媒が1価アルコール類;ポリオール類;ケトン類;アミド類;ニトリル類;アルデヒド類;アルキレングリコールモノアルキルエーテル;エーテル類;エステル類;炭化水素類;ハロゲン化合物類、フッ素アルコール、リン酸エステル類及び含窒素化合物類からなる群より選ばれる少なくとも1種である請求項21に記載の洗浄液。
  28. 1価アルコール類が、メタノール、エタノール、イソプロパノール(IPA)、1−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、2−メチル−1−プロパノール、1−ペンタノール、1−ヘキサノール、1−ヘプタノール、4−ヘプタノール、1−オクタノール、1−ノニルアルコール、1−デカノール、1−ドデカノール、ラウリルアルコール及びシクロヘキサノールからなる群より選ばれる少なくとも1種であり;
    ポリオール類が、エチレングリコール、ジエチレングリコール、1,2−プロパンジオール、プロピレングリコール、2,3−ブタンジオール及びグリセリンからなる群より選ばれる少なくとも1種であり;
    ケトン類が、アセトン、アセチルアセトン、メチルエチルケトン、メチルイソブチルケトン、シクロヘキサノン、ジエチルケトン及びジイソブチルケトンからなる群より選ばれる少なくとも1種であり;
    アミド類が、N−メチルホルムアミド、N,N−ジメチルホルムアミド、N−メチルアセトアミド及びN,N−ジメチルアセトアミドからなる群より選ばれる少なくとも1種であり;
    ニトリル類が、アセトニトリル、プロピオニトリル、ブチロニトリル、イソブチロニトリル及びベンゾニトリルからなる群より選ばれる少なくとも1種であり;
    アルデヒド類が、ホルムアルデヒド、アセトアルデヒド及びプロピオンアルデヒドからなる群より選ばれる少なくとも1種であり;
    アルキレングリコールモノアルキルエーテルが、エチレングリコールモノメチルエーテル及びエチレングリコールモノエチルエーテルからなる群より選ばれる少なくとも1種であり;
    エーテル類;テトラヒドロフラン、ジオキサン、ジイソプロピルエーテル、ジブチルエーテル、テトラヒドロピラン、アニソール、1,2−ジメトキシエタン及びジエチレングリコールジメチルエーテルからなる群より選ばれる少なくとも1種であり;
    エステル類が、酢酸メチル、酢酸エチル、酢酸プロピル、酢酸イソプロピル、酢酸ブチル、酢酸イソブチル、酢酸ペンチル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、プロピオン酸ペンチル、プロピオン酸ヘキシル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、酪酸ペンチル、酪酸ヘキシル、イソ酪酸メチル、イソ酪酸エチル、イソ酪酸プロピル、イソ酪酸イソプロピル、イソ酪酸ブチル、イソ酪酸イソブチル、イソ酪酸ペンチル、イソ酪酸ヘキシル、吉草酸メチル、吉草酸エチル、吉草酸プロピル、吉草酸イソプロピル、吉草酸ブチル、吉草酸イソブチル、吉草酸ペンチル、吉草酸ヘキシル、イソ吉草酸メチル、イソ吉草酸エチル、イソ吉草酸プロピル、イソ吉草酸イソプロピル、イソ吉草酸ブチル、イソ吉草酸イソブチル、イソ吉草酸ペンチル、イソ吉草酸ヘキシル、カプロン酸メチル、カプロン酸エチル、カプロン酸プロピル、カプロン酸イソプロピル、カプロン酸ブチル、カプロン酸イソブチル、カプロン酸ペンチル、カプロン酸ヘキシル、カプリル酸メチル、カプリル酸エチル、カプリル酸プロピル、カプリル酸イソプロピル、カプリル酸ブチル、カプリル酸イソブチル、カプリル酸ペンチル、カプリル酸ヘキシル、オクタン酸メチル、オクタン酸エチル、オクタン酸プロピル、オクタン酸イソプロピル、オクタン酸ブチル、オクタン酸イソブチル、オクタン酸ペンチル、オクタン酸ヘキシル、ノナン酸メチル、ノナン酸エチル、ノナン酸プロピル、ノナン酸イソプロピル、ノナン酸ブチル、ノナン酸イソブチル、ノナン酸ペンチル、ノナン酸ヘキシル、デカン酸メチル、デカン酸エチル、デカン酸プロピル、デカン酸イソプロピル、デカン酸ブチル、デカン酸イソブチル、デカン酸ペンチル、デカン酸ヘキシル、ドデカン酸メチル、ドデカン酸エチル、ドデカン酸プロピル、ドデカン酸イソプロピル、ドデカン酸ブチル、ドデカン酸イソブチル、ドデカン酸ペンチル、ドデカン酸ヘキシル、ラウリル酸メチル、ラウリル酸エチル、ラウリル酸プロピル、ラウリル酸イソプロピル、ラウリル酸ブチル、ラウリル酸イソブチル、ラウリル酸ペンチル、ラウリル酸ヘキシル、アクリル酸メチル、アクリル酸エチル、アクリル酸プロピル、アクリル酸イソプロピル、アクリル酸ブチル、アクリル酸イソブチル、アクリル酸ペンチル、アクリル酸ヘキシル、シュウ酸モノメチル、シュウ酸ジメチル、シュウ酸モノエチル、シュウ酸ジエチル、シュウ酸モノプロピル、シュウ酸ジプロピル、シュウ酸モノブチル、シュウ酸ジブチル、コハク酸モノメチル、コハク酸ジメチル、コハク酸モノエチル、コハク酸ジエチル、コハク酸モノプロピル、コハク酸ジプロピル、コハク酸モノブチル、コハク酸ジブチル、アジピン酸モノメチル、アジピン酸ジメチル、アジピン酸モノエチル、アジピン酸ジエチル、アジピン酸モノプロピル、アジピン酸ジプロピル、アジピン酸モノブチル、アジピン酸ジブチル、酒石酸モノメチル、酒石酸ジメチル、酒石酸モノエチル、酒石酸ジエチル、酒石酸モノプロピル、酒石酸ジプロピル、酒石酸モノブチル、酒石酸ジブチル、クエン酸モノメチル、クエン酸ジメチル、クエン酸モノエチル、クエン酸ジエチル、クエン酸モノプロピル、クエン酸ジプロピル、クエン酸モノブチル、クエン酸ジブチル、フタル酸ジメチル、フタル酸ジエチル、フタル酸ジプロピル、フタル酸ジブチル、フタル酸ジペンチル、フタル酸ジヘキシル、フタル酸ジヘプチル、フタル酸ジオクチル、フタル酸ジノニル、フタル酸ジデシル、フタル酸ジドデシル、テレフタル酸ジメチル、テレフタル酸ジエチル、テレフタル酸ジプロピル、テレフタル酸ジブチル、テレフタル酸ジペンチル、テレフタル酸ジヘキシル、テレフタル酸ジヘプチル、テレフタル酸ジオクチル、テレフタル酸ジノニル、テレフタル酸ジデシル、テレフタル酸ジドデシル、炭酸プロピレン及びγ−ブチロラクトンからなる群より選ばれる少なくとも1種であり;
    炭化水素類が、ヘキサン、シクロヘキサン、オクタン、イソオクタン、ベンゼン及びトルエンからなる群より選ばれる少なくとも1種であり;
    ハロゲン化合物類が、クロロホルム、o−ジクロロベンゼン、パーフロロヘキサン及びパーフロロメチルシクロヘキサンからなる群より選ばれる少なくとも1種であり;
    フッ素アルコールが、トリフルオロエタノール、ペンタフルオロプロパノール及び2,2,3,3−テトラフルオロプロパノールからなる群より選ばれる少なくとも1種であり;
    リン酸エステル類が、リン酸ジメチル、リン酸ジブチル、リン酸ジフェニル、リン酸ジベンジル、リン酸トリメチル、リン酸トリエチル、リン酸トリプロピル、リン酸トリブチル及びリン酸トリフェニルからなる群より選ばれる少なくとも1種であり;
    含窒素化合物類が、テトラメチル尿素及びN−メチル−2−ピロリドンからなる群より選ばれる少なくとも1種である;
    請求項26に記載の洗浄液。
  29. チタン化合物及びポリマーからなる群より選ばれる少なくとも1種が側壁及び底面からなる群より選ばれる少なくとも1種に付着したビアホールを有する被処理物を、請求項20に記載の洗浄液を用いて洗浄することを特徴とするビアホール洗浄方法。
  30. 被処理物の処理を、超音波洗浄しながら行うことを特徴とする請求項29に記載の方法。
  31. 請求項29に記載の方法により得ることができる洗浄処理物。
  32. メタルキャパシタの上部又は下部電極を有する被処理物であって、該電極の側壁、底面及び表面からなる群より選ばれる少なくとも1種にレジスト残渣、ポリマー及びチタン化合物からなる群より選ばれる少なくとも1種が付着した被処理物を、請求項20に記載の洗浄液を用いて洗浄することを特徴とするキャパシタ洗浄方法。
  33. 被処理物の処理を、超音波洗浄しながら行うことを特徴とする請求項32に記載の方法。
  34. 請求項32の方法により得ることができる洗浄処理物。
  35. 剥離液による処理時間0.1分〜120分の間の(1)絶縁膜バリアのエッチング量が1Å以上200Å以下、(2)low−k膜のエッチング量が1Å以上200Å以下、(3)Cuのエッチング速度が10Å/min以下であり、Cu/low−k多層配線構造におけるダマシンおよびデュアルダマシン構造を形成する際のドライエッチング後のレジスト、反射防止膜およびこれらを含むエッチング残渣などを除去する請求項1に記載のレジスト剥離液。
  36. 絶縁膜バリアおよびlow−k膜がSiN,SiC,SiCN,SiOC,SiO2などSi含有化合物である、請求項35に記載の剥離液。
  37. 窒素を含むエッチングガスを使用してエッチングした際に生じる残渣をプラズマによるアッシング処理の有無にかかわらず除去する請求項35に記載の剥離液。
  38. 窒素を含むガスを使用してアッシングした後の残渣を除去する請求項35に記載の剥離液。
  39. 不活性ガスを溶解させ、剥離液中の酸素分圧を飽和溶解した空気の酸素分圧以下にした請求項35に記載の剥離液。
  40. (i)HFおよび(ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物とを含み、(i)HF(フッ化水素):(ii)プロトン供与性溶媒:(iii)中性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物の重量比が(i)0.05〜5mass%:(ii)1〜98.95mass%:(iii)1〜98.95mass%である請求項35に記載の剥離液。
  41. (i)HFおよび(ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物とを含む剥離液にさらに(iv)水を含み、(i)HF(フッ化水素):(ii)プロトン供与性溶媒:(iii)中性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物:(iv)水の重量比が(i)0.05〜5mass%:(ii)1〜98.93mass%:(iii)1〜98.93mass%:(iv)0.02〜90mass%である請求項35に記載の剥離液。
  42. (i)HFおよび(ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物と(iv)水とを含む剥離液にさらに、(v)酸、(vi)ドナー数が25以上の極性親プロトン性溶媒、(vii)フッ素含有有機化合物の少なくとも1つを含み、(i)HF:(ii)プロトン供与性溶媒:(iii)中性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒の少なくとも1種の有機化合物:(iv)水:(v)酸:(vi)ドナー数が25以上の極性親プロトン性溶媒:(vii)フッ素含有有機化合物の重量比が、(i)0.05〜5mass%:(ii)1〜98.83mass%:(iii)1〜98.83mass%:(iv)0.02〜90mass%:(v)0〜10mass%:(vi)0〜50mass%:(vii)0〜70mass%である請求項35に記載の剥離液(但し、酸、ドナー数が25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の合計量は0.1〜74.93mass%である)。
  43. (i)HFおよび(ii)プロトン供与性溶媒と、(iii)中性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物と(iv)水と(v)酸、(vi)ドナー数が25以上の極性親プロトン性溶媒及び(vii)フッ素含有有機化合物からなる群から選ばれる少なくとも1種を含む剥離液にさらに、アンモニアおよび/又はアミンを含み、(i)HF:(ii)プロトン供与性溶媒:(iii)中性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒の少なくとも1種の有機化合物:(iv)水:(v)酸:(vi)ドナー数が25以上の極性親プロトン性溶媒:(vii)フッ素含有有機化合物:(viii)アンモニアおよび/又はアミンの重量比が(i)0.05〜5mass%:(ii)1〜98.73mass%:(iii)1〜98.73mass%:(iv)0.02〜90mass%:(v)0〜10mass%:(vi)0〜50mass%:(vii)0〜70mass%:(viii)0.05〜10mass%である請求項35に記載の剥離液(但し、酸、ドナー数が25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の合計量は0.1〜74.83mass%である)。
  44. (i)HFと(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物および(iii)水を含み、(i)HF(フッ化水素):(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物:(iii)水の重量比が0.05〜5mass%:85〜99.93mass%:0.02〜10mass%である請求項35に記載の剥離液。
  45. (i)HFと(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物および(iii)水を含む剥離液にさらに、酸、ドナー数が25以上の極性親プロトン性溶媒及びフッ素含有有機化合物からなる群から選ばれる少なくとも1つを含み、(i)HF:(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物:(iii)水:(iv)酸:(v)ドナー数が25以上の極性親プロトン性溶媒:(vi)フッ素含有有機化合物の重量比が(i)0.05〜5mass%:(ii)25〜99.83mass%:(iii)0.02〜10mass%:(iv)0〜10mass%:(v)0〜50mass%:(vi)0〜70mass%である請求項35に記載の剥離液(但し、酸、ドナー数が25以上の極性親プロトン性溶媒およびフッ素含有有機化合物の合計量は0.1〜74.93mass%である)。
  46. (i)HFと(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物と(iii)水と、(iv)酸、(v)ドナー数が25以上の極性親プロトン性溶媒及び(vi)フッ素含有有機化合物からなる群から選ばれる少なくとも1種を含む剥離液にさらに、(vii)アンモニアおよび/又はアミンを含み、(i)HF:(ii)中性溶媒、プロトン供与性溶媒、ドナー数が24以下の極性親プロトン性溶媒および極性疎プロトン性溶媒からなる群から選ばれる少なくとも1種の有機化合物:(iii)水:(iv)酸:(v)ドナー数が25以上の極性親プロトン性溶媒:(vi)フッ素含有有機化合物:(vii)アンモニアおよび/又はアミンの重量比が(i)0.05〜5mass%:(ii)25〜99.78mass%:(iii)0.02〜10mass%:(iv)0〜10mass%:(v)0〜10mass%:(vi)0〜70mass%:(vii)0.05〜10mass%である請求項35に記載の剥離液(但し、酸、ドナー数が25以上の極性親プロトン性溶媒およびフッ素含有有機化合物からなる群から選ばれる少なくとも1種の合計量は0.1〜74.88mass%である)。
  47. 中性溶媒がアルコール類であり、プロトン供与性溶媒がモノカルボン酸類、ポリカルボン酸類およびスルホン酸類であり、ドナー数が24以下の極性非プロトン性溶媒がエステル類及びエーテル類であり、ドナー数が25以上の極性親プロトン性溶媒が、エステル類、エーテル類ケトン類および酸無水物類である項40に記載の剥離液。
  48. (I)中性溶媒のアルコール類がメチルアルコール、エチルアルコール、プロパノール、イソプロパノール,t−ブタノール、アリルアルコール及びエチレングリコールであり、(II)プロトン供与性溶媒のモノカルボン酸類がギ酸、酢酸、プロピオン酸、酪酸、イソ酪酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、モノフルオロ酢酸、ジフルオロ酢酸、トリフルオロ酢酸、α−クロロ酪酸、β−クロロ酪酸、γ−クロロ酪酸、乳酸、グリコール酸、ピルビン酸、グリオキサル酸、メタクリル酸及びアクリル酸であり;ポリカルボン酸が、シュウ酸、コハク酸、アジピン酸及びクエン酸であり;スルホン酸類がメタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸及びトリフルオロメタンスルホン酸であり;
    (III)ドナー数が24以下の極性親プロトン性溶媒のエステル類がリン酸トリブチル、リン酸トリメチルであり;エーテル類が1,2−ジメトキシエタン、テトラヒドロフラン、ジエチルエーテル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ジメトキシメタン、ジメトキシプロパン、ジエトキシメタン及び1,1−ジメトキシエタンであり、(IV)極性疎プロトン性溶媒のエステル類が酢酸メチル、酢酸エチル、酢酸ブチル、炭酸プロピレン、炭酸エチレン、亜硫酸エチレン及びラクトンであり;エーテル類がジオキサン、トリオキサン及びジグライムであり;ケトン類がアセトンであり;酸無水物類が無水酢酸である請求項47に記載の剥離液。
  49. 酸が塩化水素、臭化水素、ヨウ化水素およびこれらの水溶液、硫酸、硝酸、リン酸、カルボン酸からなる群より選ばれる少なくとも1種である請求項42、43、45または46に記載の剥離液。
  50. フッ素含有有機化合物がCHF2CF2OCH2CF3、CHF2CF2OCH4などのフッ素含有エーテル類、CH3CCl2F、CClF2CF2CHClFなどのハイドロクロロフルオロカーボン(HCFC)類である請求項42、43、45または46に記載の剥離液
  51. ドナー数が25以上の極性親プロトン性溶媒が、ジメチルホルムアミド、ジメチルアセトアミド、ヘキサメチルリン酸トリアミド、N−メチル−2−ピロリドン、1,1,3,3−テトラメチル尿素、N−メチルプロピオンアミド、ジメチルイミダゾリジノンなどのアミド類であり、ジメチルスルホキシド、スルホラン、ジメチルチオホルムアミド、N−メチルチオピロリドンなどの硫黄化合物からなる群から選ばれる少なくとも1種である請求項42、43、45または46に記載の剥離液。
  52. プロトン供与性溶媒であるカルボン酸類と、中性溶媒であるアルコール類、ドナー数が24以下の極性非プロトン性溶媒であるエステル類およびエーテル類の群の中から選ばれる少なくとも1種とを含む請求項40〜46のいずれかに記載の剥離液。
  53. カルボン酸が酢酸である請求項52に記載の剥離液。
  54. 中性溶媒であるアルコール類とドナー数が24以下の極性非プロトン性溶媒であるエステル類およびエーテル類の群の中から選ばれる少なくとも1種とを含む請求項47に記載の剥離液。
  55. アルコールがプロパノール、イソプロパノール、t−ブタノール、アリルアルコール及びエチレングリコールからなる群から選ばれる少なくとも1種である請求項47に記載の剥離液。
  56. ドナー数が24以下の極性非プロトン性溶媒であるエステル類およびエーテル類の中から選ばれる少なくとも1種とを含む請求項40〜46のいずれかに記載の剥離液。
  57. エステル類が酢酸メチル、酢酸エチル、酢酸ブチル、炭酸プロピレンおよび炭酸エチレンであり、エーテル類が1,2−ジメトキシエタン、テトラヒドロフラン、ジオキサン、トリオキサン、ジグライム、エチレングリコールモノメチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールメチルエチルエーテル、テトラエチレングリコールジメチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノアリルエーテル、ジエチレングリコールモノブチルエーテル、エチレングリコールブチルエーテル、トリエチレングリコールモノブチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、トリエチレングリコールジメチルエーテル、ジエチレングリコールモノイソブチルエーテル、エチレングリコールモノイソブチルエーテル、エチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、トリプロピレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ポリエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、プロピレングリコールモノプロピルエーテル及びエチレングリコールメチルエチルエーテルからなる群より選ばれる少なくとも1種である請求項40〜46のいずれかに記載の剥離液。
  58. HF、酢酸、水を含み、HF:酢酸:水の重量比が0.05〜5mass%:85〜99.93mass%:0.02〜10mass%である請求項44に記載の剥離液。
  59. HF、イソプロパノール、水を含み、HF:イソプロパノール:水の重量比が1〜7mass%:88〜98.5mass%:0.5〜5mass%である請求項44に記載の剥離液。
  60. HF、酢酸、イソプロパノール、水を含み、HF:酢酸:イソプロパノール:水の重量比が0.05〜6mass%:1〜98.93mass%:1〜98.85mass%:0.02〜12mass%である請求項44に記載の剥離液。
  61. HF、1,2−ジメトキシエタン、水を含み、HF:1,2−ジメトキシエタン:水の重量比が0.50〜5mass%:85.00〜99.3mass%:0.20〜10mass%である請求項44に記載の剥離液。
  62. HF、酢酸メチル、酢酸エチル、酢酸ブチルの少なくとも1種、水を含み、HF:酢酸メチル、酢酸エチル、酢酸ブチルの少なくとも1種:水の重量比が0.50〜5mass%:85.00〜99.30mass%:0.20〜10mass%である請求項44に記載の剥離液。
  63. HF、1,4−ジオキサン、水を含み、HF:1,4−ジオキサン:水の重量比が0.50〜5mass%:85.00〜99.3mass%:0.2〜10mass%である請求項44に記載の剥離液。
  64. HF、1,4−ジオキサンと無水酢酸および酢酸の少なくとも1種、水を含み、HF:1,4−ジオキサンと無水酢酸および酢酸の少なくとも1種:水の重量比が0.50〜6mass%:82.00〜99.30mass%:0.2〜12mass%である請求項44に記載の剥離液。
  65. HF、エチレングリコールモノメチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールメチルエチルエーテル、テトラエチレングリコールジメチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノアリルエーテル、ジエチレングリコールモノブチルエーテル、エチレングリコールブチルエーテル、トリエチレングリコールモノブチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、トリエチレングリコールジメチルエーテル、ジエチレングリコールモノイソブチルエーテル、エチレングリコールモノイソブチルエーテル、エチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、トリプロピレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ポリエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、プロピレングリコールモノプロピルエーテル及びエチレングリコールメチルエチルエーテルの少なくとも1種、水を含み、HF:エチレングリコールモノメチルエーテル、エチレングリコールジエチルエーテル、ジエチレングリコールメチルエチルエーテル、テトラエチレングリコールジメチルエーテル、ポリエチレングリコールジメチルエーテル、エチレングリコールモノアリルエーテル、ジエチレングリコールモノブチルエーテル、エチレングリコールブチルエーテル、トリエチレングリコールモノブチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、トリエチレングリコールジメチルエーテル、ジエチレングリコールモノイソブチルエーテル、エチレングリコールモノイソブチルエーテル、エチレングリコールモノイソプロピルエーテル、ジエチレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル、トリプロピレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ポリエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、プロピレングリコールモノプロピルエーテル及びエチレングリコールメチルエチルエーテルの少なくとも1種:水の重量比が0.50〜5mass%:85.00〜99.30mass%:0.20〜10mass%である請求項44に記載の剥離液。
  66. HF、メタンスルホン酸、水を含み、HF:メタンスルホン酸:水の重量比が0mass%を超えて5mass%以下、:45mass%以上100mass%未満:0mass%を超えて50mass%以下である請求項35に記載の剥離液。
  67. 請求項1または請求項35に記載の剥離液を用いてプラズマプロセスによるダメージを受けたlow−k膜を残してエッチング残渣を除去することを特徴とする剥離方法。
  68. 不活性ガスを混合し、酸素分圧が空気の酸素分圧以下である雰囲気(実質的に不活性ガス中)で剥離処理をする請求項15または67に記載の方法。
  69. 請求項15または67に記載の剥離処理をする方法を施した剥離処理物に対して、不活性ガスを混合し酸素分圧が空気の酸素分圧以下である雰囲気(実質的に不活性ガス中)で、不活性ガスを溶解させ、水中の酸素分圧を飽和溶解した空気の酸素分圧以下にした水を用いて剥離液を取り除くリンス処理をする方法。
  70. 請求項67または68に記載の剥離方法および請求項69に記載のリンス処理方法によって処理をすることにより得ることができる剥離処理物。
  71. 請求項35に記載の剥離液をビアホール又はキャパシタの洗浄のために使用する請求項20に記載のビアホール又はキャパシタの洗浄液。
  72. 請求項71のビアホール又はキャパシタの洗浄液を用いて洗浄する請求項29のビアホール洗浄方法または請求項32に記載のキャパシタ洗浄方法。
  73. 請求項71のビアホール又はキャパシタの洗浄液で洗浄処理することにより得ることができる洗浄処理物。
JP2004530588A 2002-08-22 2003-08-21 剥離液 Expired - Fee Related JP4434950B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2002242392 2002-08-22
JP2002242392 2002-08-22
JP2002299657 2002-10-11
JP2002299657 2002-10-11
PCT/JP2003/010547 WO2004019134A1 (ja) 2002-08-22 2003-08-21 剥離液

Publications (2)

Publication Number Publication Date
JPWO2004019134A1 true JPWO2004019134A1 (ja) 2005-12-15
JP4434950B2 JP4434950B2 (ja) 2010-03-17

Family

ID=31949564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004530588A Expired - Fee Related JP4434950B2 (ja) 2002-08-22 2003-08-21 剥離液

Country Status (8)

Country Link
US (1) US7833957B2 (ja)
EP (1) EP1536291A4 (ja)
JP (1) JP4434950B2 (ja)
KR (1) KR100649418B1 (ja)
CN (1) CN1678961B (ja)
AU (1) AU2003257636A1 (ja)
TW (1) TWI266969B (ja)
WO (1) WO2004019134A1 (ja)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP2005203429A (ja) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP2006072083A (ja) * 2004-09-03 2006-03-16 Mitsubishi Electric Corp レジスト除去用組成物
US7658288B2 (en) * 2004-11-08 2010-02-09 Applied Biosystems, Llc Bisulfite conversion reagent
KR100669866B1 (ko) 2004-12-06 2007-01-16 삼성전자주식회사 포토레지스트 제거용 조성물, 이를 이용한 포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US7713885B2 (en) * 2005-05-11 2010-05-11 Micron Technology, Inc. Methods of etching oxide, reducing roughness, and forming capacitor constructions
US8129281B1 (en) * 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
US20070043290A1 (en) * 2005-08-03 2007-02-22 Goepp Julius G Method and apparatus for the detection of a bone fracture
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
JP4588590B2 (ja) * 2005-09-09 2010-12-01 ダイセル化学工業株式会社 リソグラフィー用洗浄剤又はリンス剤
JP4758187B2 (ja) * 2005-09-26 2011-08-24 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液
WO2007045269A1 (en) * 2005-10-21 2007-04-26 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
EP1946358A4 (en) 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
JP2007142335A (ja) * 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
KR100778851B1 (ko) * 2005-12-28 2007-11-22 동부일렉트로닉스 주식회사 반도체 소자의 mim 커패시터 형성방법
JP4828451B2 (ja) * 2006-03-27 2011-11-30 東京エレクトロン株式会社 基板処理方法、半導体装置の製造方法および基板処理装置
US7585782B2 (en) * 2006-04-11 2009-09-08 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of selectively removing metal-containing materials relative to oxide
KR100753038B1 (ko) * 2006-06-29 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 컨택 플러그 형성방법
EP1903400A1 (en) * 2006-09-20 2008-03-26 Interuniversitair Microelektronica Centrum A method to remove resist layers from a substrate
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080096785A1 (en) * 2006-10-19 2008-04-24 Air Products And Chemicals, Inc. Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
US20100104824A1 (en) * 2006-10-23 2010-04-29 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
US8021490B2 (en) * 2007-01-04 2011-09-20 Eastman Chemical Company Substrate cleaning processes through the use of solvents and systems
FR2912151B1 (fr) * 2007-02-05 2009-05-08 Arkema France Formulation de dimethylsulfoxyde en melange avec un additif permettant d'abaisser le point de cristallisation de ce dernier, et applications de ce melange
KR101294019B1 (ko) * 2007-02-20 2013-08-16 주식회사 동진쎄미켐 포토레지스트 제거 조성물 및 이를 이용한 포토레지스트제거방법
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
KR101403827B1 (ko) * 2007-08-10 2014-06-09 동우 화인켐 주식회사 포토레지스트 잔류물 제거용 박리액 조성물 및 이를 이용한박리 방법
US7851374B2 (en) * 2007-10-31 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon wafer reclamation process
KR101359919B1 (ko) * 2007-11-01 2014-02-11 주식회사 동진쎄미켐 포토레지스트 박리 조성물, 이를 사용한 포토레지스트 박리방법 및 표시 장치의 제조 방법
SG188848A1 (en) * 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
TWI460557B (zh) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
US20090291562A1 (en) * 2008-05-20 2009-11-26 Lam Research Corporation Helium descumming
US8183156B2 (en) * 2008-06-06 2012-05-22 Infineon Technologies Ag Method of etching a material surface
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
WO2010039936A2 (en) * 2008-10-02 2010-04-08 Advanced Technology Materials, Inc. Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP4903242B2 (ja) * 2008-10-28 2012-03-28 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 多金属デバイス処理のためのグルコン酸含有フォトレジスト洗浄組成物
KR101022671B1 (ko) * 2008-11-20 2011-03-22 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성 방법
US8052800B2 (en) * 2008-12-04 2011-11-08 General Electric Company Method for the removal of an insulative coating using an aqueous solution comprising dimethyl formamide
MX2011008789A (es) 2009-02-25 2011-09-29 Avantor Performance Mat Inc Composiciones removedoras para limpiar polimero fotosensible implantado ionicamente de obleas de dispositivos semiconductores.
KR101092317B1 (ko) * 2009-04-10 2011-12-09 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20120065116A1 (en) * 2009-05-21 2012-03-15 Stella Chemifa Corporation Cleaning liquid and cleaning method
JP5535583B2 (ja) * 2009-05-25 2014-07-02 AzエレクトロニックマテリアルズIp株式会社 トレンチ・アイソレーション構造の形成方法
JP2011039339A (ja) * 2009-08-13 2011-02-24 Canon Inc 剥離液の再生方法
WO2011027772A1 (ja) * 2009-09-02 2011-03-10 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
CN102024562B (zh) * 2009-09-17 2016-03-09 大赛璐化学工业株式会社 用于制造叠层陶瓷部件的溶剂或溶剂组合物
WO2011037008A1 (en) 2009-09-24 2011-03-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing oxide semiconductor film and method for manufacturing semiconductor device
WO2011043163A1 (en) * 2009-10-05 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR20120102653A (ko) 2009-10-30 2012-09-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작방법
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US8128755B2 (en) * 2010-03-03 2012-03-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cleaning solvent and cleaning method for metallic compound
CN102782113A (zh) * 2010-03-05 2012-11-14 朗姆研究公司 用于镶嵌工艺侧壁聚合物的清洗溶液
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
JP5519402B2 (ja) * 2010-05-24 2014-06-11 日本電信電話株式会社 微細構造体の製造方法
JP5719698B2 (ja) 2010-06-30 2015-05-20 富士フイルム株式会社 パターン形成方法及び該パターン形成方法に用いられる現像液
JP2012058273A (ja) * 2010-09-03 2012-03-22 Kanto Chem Co Inc フォトレジスト残渣およびポリマー残渣除去液組成物
US20120090648A1 (en) * 2010-10-15 2012-04-19 United Microelectronics Corp. Cleaning method for semiconductor wafer and cleaning device for semiconductor wafer
CN102468223A (zh) * 2010-11-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
CN102569202B (zh) * 2010-12-16 2014-07-30 中芯国际集成电路制造(北京)有限公司 静态随机存储器的制造方法
JP2012252070A (ja) * 2011-06-01 2012-12-20 Panasonic Corp 剥離剤組成物
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
WO2013033071A1 (en) * 2011-08-29 2013-03-07 Rohm And Haas Company Biorenewable solvents and cleaning methods
US8530356B2 (en) 2011-10-07 2013-09-10 Applied Materials, Inc. Method of BARC removal in semiconductor device manufacturing
US20130220159A1 (en) * 2012-02-28 2013-08-29 Sony Corporation Offset printing blanket cleaning liquid, method of cleaning offset printing blanket, method of manufacturing display unit, method of manufacturing printed material, and ink composition and printing method using the same
JP5952613B2 (ja) * 2012-03-30 2016-07-13 富士フイルム株式会社 レジストの現像方法、レジストパターンの形成方法およびモールドの製造方法並びにそれらに使用される現像液
JP6151484B2 (ja) 2012-06-11 2017-06-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
CN102880017B (zh) * 2012-09-28 2014-07-23 京东方科技集团股份有限公司 光刻胶用剥离液组合物及其制备和应用
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
WO2014104192A1 (ja) * 2012-12-27 2014-07-03 富士フイルム株式会社 レジスト除去液およびレジスト剥離方法
US9263348B2 (en) 2013-01-10 2016-02-16 International Business Machines Corporation Film thickness metrology
WO2014178426A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
JP2015005660A (ja) * 2013-06-21 2015-01-08 東京エレクトロン株式会社 酸化タンタル膜の除去方法および除去装置
CN103396695B (zh) * 2013-07-01 2014-07-30 华南理工大学 一种电泳漆去除液及其制备方法与应用
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
US10934408B2 (en) * 2014-04-09 2021-03-02 Shanghai Institute Of Ceramics, Chinese Academy Of Sciences Surface modification method for polyether-ether-ketone material
BR112016024205B1 (pt) * 2014-04-16 2023-10-17 Ecolab Inc. Composições de limpeza e método para remover um material polimérico à base de acrílico de revestimentos de comprimido
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US20160020246A1 (en) * 2014-07-15 2016-01-21 United Microelectronics Corporation Method for fabricating cmos image sensors and surface treating process thereof
KR102392062B1 (ko) * 2014-09-11 2022-04-29 동우 화인켐 주식회사 레지스트 박리액 조성물
KR102392027B1 (ko) * 2014-09-17 2022-04-29 동우 화인켐 주식회사 레지스트 박리액 조성물, 상기 조성물을 사용하는 플랫 패널 디스플레이 기판의 제조방법, 및 상기 제조방법으로 제조된 플랫 패널 디스플레이 기판
CN104614954A (zh) * 2015-01-09 2015-05-13 苏州瑞红电子化学品有限公司 一种去除光刻胶的水系剥离液组合物
US10538718B2 (en) 2015-04-13 2020-01-21 Mitsubishi Gas Chemical Company, Inc. Cleaning solution and cleaning method for material comprising carbon-incorporated silicon oxide for use in recycling wafer
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
CN106298441B (zh) * 2015-05-18 2020-03-27 盛美半导体设备(上海)股份有限公司 半导体工艺中去除残余物质的方法
TWI819694B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
JP6552931B2 (ja) * 2015-09-18 2019-07-31 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN105218364B (zh) * 2015-10-27 2017-09-01 诺泰生物科技(合肥)有限公司 一种脂肪酸异丙酯的合成方法及其在特种油中的应用
FR3046179A1 (fr) * 2015-12-23 2017-06-30 Oleon Nv Composition dispersante
US9613808B1 (en) 2016-01-19 2017-04-04 United Microelectronics Corp. Method of forming multilayer hard mask with treatment for removing impurities and forming dangling bonds
CN106519783A (zh) * 2016-11-11 2017-03-22 太原市塑料研究所 一种环保水性脱漆剂及其制备方法
US11186771B2 (en) * 2017-06-05 2021-11-30 Versum Materials Us, Llc Etching solution for selectively removing silicon nitride during manufacture of a semiconductor device
KR102311328B1 (ko) * 2017-08-18 2021-10-14 오씨아이 주식회사 실리콘 질화막 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
CN107653098A (zh) * 2017-10-30 2018-02-02 苏州林恩色谱科技有限公司 蒸发光检测器用清洗剂
JP7177344B2 (ja) * 2017-11-14 2022-11-24 セントラル硝子株式会社 ドライエッチング方法
JP2018121077A (ja) * 2018-04-19 2018-08-02 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
CN108803262A (zh) * 2018-07-03 2018-11-13 昆山欣谷微电子材料有限公司 一种酸性光刻胶剥离液
US11094527B2 (en) * 2018-10-10 2021-08-17 International Business Machines Corporation Wet clean solutions to prevent pattern collapse
KR102195007B1 (ko) 2018-10-11 2020-12-29 세메스 주식회사 기판 세정 조성물, 이를 이용한 기판 처리 방법 및 기판 처리 장치
CN110047735A (zh) * 2019-04-02 2019-07-23 深圳市华星光电技术有限公司 金属结构湿制程处理方法、tft制备方法、tft及显示装置
US11164780B2 (en) * 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
KR20210026307A (ko) * 2019-08-29 2021-03-10 에스케이이노베이션 주식회사 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
KR20210069469A (ko) * 2019-12-03 2021-06-11 삼성전자주식회사 알루미늄 가공물의 표면 무늬 형성 방법
CN111050478B (zh) * 2019-12-25 2021-03-02 浙江振有电子股份有限公司 一种环氧基板微米级细孔去渣方法
CN112495916A (zh) * 2020-11-04 2021-03-16 上海江丰平芯电子科技有限公司 一种化学机械抛光保持环的清洗方法
CN115820257B (zh) * 2021-09-16 2024-04-30 苏州阿特斯阳光电力科技有限公司 一种单晶硅太阳能电池片单面去除磷硅玻璃的槽液及方法
JP7407324B1 (ja) * 2023-06-15 2023-12-28 東京応化工業株式会社 半導体デバイス用処理液、基板の処理方法、及び半導体デバイスの製造方法

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07302744A (ja) * 1994-05-09 1995-11-14 Toshiba Corp 半導体ウエーハの薬液処理方法及びその薬液処理装置
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
JPH11323394A (ja) * 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
WO2001001474A1 (en) * 1999-06-29 2001-01-04 Micron Technology, Inc. Acid blend for removing etch residue on semiconductor substrates
WO2001033613A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
JP2001517863A (ja) * 1997-09-23 2001-10-09 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 半導体基板から残留物を除去する方法
JP2002025967A (ja) * 2000-06-27 2002-01-25 Samsung Electronics Co Ltd 強誘電体膜の損傷層を除去するための清浄液及びこれを利用した清浄方法
JP2002169305A (ja) * 2000-12-04 2002-06-14 Dainippon Screen Mfg Co Ltd ポリマー除去液およびポリマー除去装置
JP2003115479A (ja) * 2001-10-03 2003-04-18 Toshiba Corp 半導体装置の製造方法およびウエット処理装置
JP2004045774A (ja) * 2002-07-11 2004-02-12 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01146331A (ja) 1987-12-03 1989-06-08 Matsushita Electric Ind Co Ltd 板状被処理物の表面処理方法
JPH07216392A (ja) * 1994-01-26 1995-08-15 Daikin Ind Ltd 洗浄剤及び洗浄方法
JPH08195369A (ja) * 1995-01-13 1996-07-30 Daikin Ind Ltd 基板の洗浄方法
JP3255551B2 (ja) 1995-01-31 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
EP0871209A4 (en) * 1995-11-15 2006-02-08 Daikin Ind Ltd PLATELET CLEANING SOLUTION AND METHOD FOR PRODUCING THE SAME
JPH1050647A (ja) 1996-05-14 1998-02-20 Samsung Electron Co Ltd 洗浄溶液およびそれを用いた洗浄方法
US6296714B1 (en) 1997-01-16 2001-10-02 Mitsubishi Materials Silicon Corporation Washing solution of semiconductor substrate and washing method using the same
JPH10219156A (ja) * 1997-02-12 1998-08-18 Kansai Paint Co Ltd 塗膜の除去方法
US6815151B2 (en) * 1997-09-05 2004-11-09 Tokyo Ohika Kogyo Co., Ltd. Rinsing solution for lithography and method for processing substrate with the use of the same
US6150282A (en) 1997-11-13 2000-11-21 International Business Machines Corporation Selective removal of etching residues
JPH11340183A (ja) 1998-05-27 1999-12-10 Morita Kagaku Kogyo Kk 半導体装置用洗浄液およびそれを用いた半導体装置の製 造方法
JP2000056479A (ja) * 1998-08-07 2000-02-25 Showa Denko Kk サイドウォールの除去方法
JP2000164586A (ja) * 1998-11-24 2000-06-16 Daikin Ind Ltd エッチング液
JP3903215B2 (ja) * 1998-11-24 2007-04-11 ダイキン工業株式会社 エッチング液
KR100319881B1 (ko) * 1999-02-03 2002-01-10 윤종용 집적 회로 기판 표면의 불순물을 제거하기 위한 세정 수용액 및 이를 이용한 세정 방법
US6361712B1 (en) 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
KR100677782B1 (ko) * 2000-01-17 2007-02-05 제이에스알 가부시끼가이샤 절연막 형성용 재료의 제조 방법
MY129673A (en) 2000-03-20 2007-04-30 Avantor Performance Mat Inc Method and composition for removing sodium-containing material from microcircuit substrates
US6372700B1 (en) * 2000-03-31 2002-04-16 3M Innovative Properties Company Fluorinated solvent compositions containing ozone
US6831048B2 (en) * 2000-04-26 2004-12-14 Daikin Industries, Ltd. Detergent composition
JP2001330970A (ja) * 2000-05-23 2001-11-30 Daikin Ind Ltd 微細パターン用ポリマー剥離液組成物
JP4415480B2 (ja) * 2000-09-26 2010-02-17 ソニー株式会社 構造基板および半導体装置の製造方法
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
JP2003129089A (ja) * 2001-10-24 2003-05-08 Daikin Ind Ltd 洗浄用組成物
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07302744A (ja) * 1994-05-09 1995-11-14 Toshiba Corp 半導体ウエーハの薬液処理方法及びその薬液処理装置
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
JP2001517863A (ja) * 1997-09-23 2001-10-09 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 半導体基板から残留物を除去する方法
JPH11323394A (ja) * 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
WO2001001474A1 (en) * 1999-06-29 2001-01-04 Micron Technology, Inc. Acid blend for removing etch residue on semiconductor substrates
WO2001033613A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
JP2002025967A (ja) * 2000-06-27 2002-01-25 Samsung Electronics Co Ltd 強誘電体膜の損傷層を除去するための清浄液及びこれを利用した清浄方法
JP2002169305A (ja) * 2000-12-04 2002-06-14 Dainippon Screen Mfg Co Ltd ポリマー除去液およびポリマー除去装置
JP2003115479A (ja) * 2001-10-03 2003-04-18 Toshiba Corp 半導体装置の製造方法およびウエット処理装置
JP2004045774A (ja) * 2002-07-11 2004-02-12 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物

Also Published As

Publication number Publication date
JP4434950B2 (ja) 2010-03-17
EP1536291A4 (en) 2008-08-06
CN1678961A (zh) 2005-10-05
CN1678961B (zh) 2010-05-05
WO2004019134A1 (ja) 2004-03-04
US7833957B2 (en) 2010-11-16
EP1536291A1 (en) 2005-06-01
AU2003257636A1 (en) 2004-03-11
KR20050058448A (ko) 2005-06-16
TWI266969B (en) 2006-11-21
KR100649418B1 (ko) 2006-11-27
US20060138399A1 (en) 2006-06-29
TW200411326A (en) 2004-07-01

Similar Documents

Publication Publication Date Title
JP4434950B2 (ja) 剥離液
JP6503102B2 (ja) 窒化チタンハードマスク及びエッチ残留物除去
JP4959095B2 (ja) 半導体デバイスの有機及びプラズマエッチング残さの洗浄用組成物
KR101382935B1 (ko) 반도체 드라이 프로세스 후의 잔사 제거액 및 이를 이용한 잔사 제거 방법
TWI416282B (zh) 用以移除殘餘光阻及聚合物的組合物及使用該組合物的殘餘物移除製程
US20090131295A1 (en) Compositions for Removal of Metal Hard Mask Etching Residues from a Semiconductor Substrate
CN110997643B (zh) 清洁组合物
JP2007044660A (ja) ポリマー除去組成物
JPWO2005019499A1 (ja) 金属変質層の除去液及び金属変質層の除去方法
TWI534261B (zh) 金屬鑲嵌製程之側壁聚合物用之清洗溶液及其使用方法
WO2004112115A1 (ja) シリコンを含有する反射防止膜および埋め込み材の除去液と除去方法
CN109642159B (zh) 非水性钨相容性金属氮化物选择性蚀刻剂和清洁剂
KR101354419B1 (ko) 반도체 드라이 프로세스 후의 잔사 제거액 및 그것을 이용한 잔사 제거 방법
JP4758187B2 (ja) フォトレジスト残渣及びポリマー残渣除去液
US20120172272A1 (en) Cleaning composition for semiconductor device and method of cleaning semiconductor device using the same
JP2006059831A (ja) エッチング液およびエッチング方法
JP4472369B2 (ja) 半導体基板又は半導体素子の洗浄方法
KR20230056740A (ko) 세정 조성물
KR20150096126A (ko) 반도체 소자 세정용 조성물

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091222

R151 Written notification of patent or utility model registration

Ref document number: 4434950

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130108

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130108

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees