TWI723074B - 紫外光可流動介電質用設備 - Google Patents

紫外光可流動介電質用設備 Download PDF

Info

Publication number
TWI723074B
TWI723074B TW105137199A TW105137199A TWI723074B TW I723074 B TWI723074 B TW I723074B TW 105137199 A TW105137199 A TW 105137199A TW 105137199 A TW105137199 A TW 105137199A TW I723074 B TWI723074 B TW I723074B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
patent application
station
dielectric film
Prior art date
Application number
TW105137199A
Other languages
English (en)
Other versions
TW201734258A (zh
Inventor
強納森 D 莫恩
尼可拉斯 穆加 恩迪居
克林帕 派崔克 A 凡
方偉 陳
梁文博
尚恩 M 荷謬頓
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201734258A publication Critical patent/TW201734258A/zh
Application granted granted Critical
Publication of TWI723074B publication Critical patent/TWI723074B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70166Capillary or channel elements, e.g. nested extreme ultraviolet [EUV] mirrors or shells, optical fibers or light guides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Robotics (AREA)

Abstract

本文中提供用於進行紫外光(UV)輔助毛細凝結作用以形成介電材料之方法及設備。在一些實施例中,UV驅動的反應促進液相可流動材料之光聚合作用。應用包括高深寬比結構中的高品質間隙填充、及孔洞性固體介電膜的封孔。根據各種實施例,提供配置用於進行毛細凝結作用及UV暴露的單站及多站腔室。

Description

紫外光可流動介電質用設備
本發明係關於紫外光可流動介電質用設備。
在半導體處理中經常需要以絕緣材料填充高深寬比之間隙。對於淺溝槽隔離(STI)、金屬間介電(IMD)層、層間介電(ILD)層、金屬前介電(PMD)層、鈍化層等而言情況係如此。在元件幾何形狀微型化且熱預算減少的同時,寬度狹窄、高深寬比(AR)之特徵部(例如AR>6:1)的無間隙填充因既有沉積製程的限制而變得愈發困難。
本發明之一態樣可實行於一設備中,該設備包含:一多站腔室,包括腔室壁、及至少局部地位於該腔室壁之內的第一站及第二站;該第一站,具有第一基板固持器及位於該第一基板固持器之上方的一噴淋頭;一氣體配送系統,配置以經由該噴淋頭將反應物輸送到該第一站;該第二站,具有第二基板固持器及配置以照射該第二基板固持器上的一UV暴露面積的一紫外光燈;以及一機制,用以將基板從該第一站傳送到該第二站。
在一些實施例中,該設備更包含一加熱系統及一冷卻系統,其中該加熱系統配置以加熱該腔室壁之內側表面,而該冷卻系統配置以冷卻該第一基板固持器。在一些實施例中,該紫外光燈係位在該第二基板固持器之上方。
在一些實施例中,該設備更包含一控制器,其具有用以進行下列動作之機器可讀取指令:當一基板存在於該第一站中時,配送包含介電前驅物的氣體至該第一站;當該介電前驅物在該第一站中時,將該第一基板固持器維持在介於-20 ℃及100 ℃之間的溫度;在配送該氣體至該第一站之後,將該基板傳送到該第二站;並且將該基板暴露到UV輻射。該指令更包含用以進行下列動作之指令:當該基板在該第二站中時,將該第二基板固持器維持在介於-20 ℃及100 ℃之間的溫度。
本發明之一態樣可實行於一設備中,該設備包含:一腔室,包括一基板固持器;一紫外光輻射來源;一噴淋頭,配置以將反應物配送至該腔室;一控制器,包含用以進行下列動作之機器可讀取指令:在介於約-20 ℃及100 ℃之間的固持溫度下經由該噴淋頭將介電前驅物引入該腔室中,藉此形成可流動膜;並且將該可流動膜暴露到UV輻射。
在一些實施例中,該腔室為一單站腔室。在一些實施例中,該腔室為一多站腔室。在一些實施例中,該紫外光輻射來源係嵌入該噴淋頭中或安裝在該噴淋頭上。在一些實施例中,該設備具有均勻地分布於整個該噴淋頭上的複數紫外光輻射來源。在一些實施例中,紫外光輻射來源係連接至該腔室的第二腔室的一部分。該基板固持器可旋轉。
該等及其他態樣參照圖式於下文中進一步描述。
引言 本發明的態樣係關於在基板及相關的設備上形成可流動介電膜。若干實施例包括以絕緣材料填充高深寬比間隙。若干實施例包括以絕緣材料填充小孔洞。為討論的簡便性,以下描述主要係涉及可流動矽氧化物膜,然而本文中所描述的製程亦可與其他類型的可流動介電膜一起使用。例如,介電膜可主要為矽氮化物(具有Si-N及 N-H鍵)、主要為矽氧氮化物、主要為矽碳化物、或主要為矽氧碳化物薄膜。
在半導體處理中經常需要以絕緣材料填充高深寬比之間隙。對於淺溝槽隔離(STI)、金屬間介電(IMD)層、層間介電(ILD)層、金屬前介電(PMD)層、鈍化層等而言情況係如此。在元件幾何形狀微型化且熱預算減少的同時,寬度狹窄、高深寬比(AR)之特徵部(例如AR>6:1)的無孔隙填充因既有沉積製程的限制而變得愈發困難。在某些實施例中,方法係關於填充高深寬比(AR)(一般而言至少6:1,例如7:1或更高)、狹窄寬度(例如50nm以下)的間隙。在某些實施例中,方法係關於填充低AR的間隙(例如寬溝渠)。此外在某些實施例中,基板上可存在具有不同AR的間隙,其中實施例係針對低AR及高AR的間隙。
在一特定範例中,在部分已製成積體電路的內連線階層中之第一層金屬與裝置階層之間設置PMD層。本文中所描述的方法包括介電質沉積,其中使用介電材料填充間隙(例如,閘極導體疊層之間的間隙)。在另一範例中,方法用於淺溝渠隔離處理,其中在半導體基板中形成溝渠以隔離裝置。本文中所描述的方法包括這些溝渠中的介電質沉積。除了前段製程(FEOL)應用以外,方法亦可用於後段製程(BEOL)應用。這可包括在內連線階層填充間隙。
再者,在某些實施例中,方法係關於使用可流動介電材料的孔洞性介電膜的封孔。例如,方法可涉及半導體裝置之BEOL處理中孔洞性極低k(ULK)膜的封孔。
本文中所描述的方法可用於任何類型的可流動介電質處理,包括未摻雜矽玻璃(USG)、低k與極低k(ULK) 可流動氧化物。
如本文中使用的「半導體裝置」這個用語係指涉在半導體基板上形成的任何裝置或擁有半導體材料的任何裝置。在許多情況下,半導體裝置參與電子邏輯或記憶體,或參與能量轉換。「半導體裝置」這個用語包含部分已製成裝置(如部分已製成積體電路)以及可供出售或安裝於特定設備中的完整裝置。簡而言之,半導體裝置可在利用本文揭露之申請標的的方法或擁有本文揭露之申請標的的結構之任何製造狀態下存在。
將蒸氣相反應物引入沉積腔室以沉積可流動介電膜。在初沉積時,可流動介電膜通常具有流動特性,而可提供至少一孔洞之開口的一致性填充,「初沉積可流動介電膜」這個用語指涉在任何沉積後處理、緻密化、固化、或退火之前的可流動介電膜。可將初沉積可流動介電膜描述成軟質的類凝膠膜、凝膠、溶膠、或可流動膜。在一些實施例中,初沉積膜可為固體、非液體膜,其僅在沉積處理期間為液體且可流動;一旦沉積處理停止,則為固體膜。
圖1為說明用以形成可流動介電膜之一製程範例的流程圖。該製程可用於半導體裝置、顯示器、LEDs、光伏面板等的製造。如前文指出,在半導體裝置製造中,該製程可用於BEOL應用及FEOL應用。在一些實施例中,該製程可用於以絕緣材料填充高深寬比間隙的應用。範例包含淺溝槽隔離(STI)、形成金屬間介電(IMD)層、層間介電(ILD)層、金屬前介電(PMD)層、及鈍化層、及在內連線階層填充間隙。在一些實施例中,該製程可用於封孔。進一步的範例包含形成用於氣隙(air gap)形成或剝離(lift-off)層之犧牲層。
首先,將包含間隙之基板提供至沉積腔室(方塊 101)。基板之範例包含半導體基板(例如矽、矽覆絕緣體(SOI)、砷化鎵等)、以及玻璃及塑膠基板。基板包含至少一個(且一般來說,多於一個)待填充間隙,而該一或更多間隙為溝渠、洞、穿孔、孔洞、或基板上的其他未填充特徵部。
圖2A–2D圖示包含間隙203之基板201的示意剖面圖範例。首先看圖2A,間隙203可藉由側壁205及底部207來界定。取決於特定整合製程,其可由不同技術形成,包含:圖案化並蝕刻基板上的毯覆(即平坦)層或藉由在基板上建構其間具有間隙的結構。在某些實施例中,可將間隙203的頂部界定為平坦表面209之位準。圖2B及2C中提供間隙之具體範例。在圖2B中,間隙203係圖示於基板201上的兩個閘極結構202之間。基板201可為半導體基板並可包含n-摻雜及p-摻雜區域(未圖示)。閘極結構202包含閘極204及矽氮化物或矽氧氮化物層211。在某些實施例中,間隙203為內凹狀(re-entrant),亦即,側壁在其自間隙之底部207向上延伸時朝內漸縮;圖2B中的間隙203為內凹狀間隙之範例。
圖2C圖示待填充間隙之另一範例。在此範例中,間隙203為形成在矽基板201中的溝渠。間隙之側壁及底部係藉由內襯層216(例如矽氮化物或矽氧氮化物層)來界定。結構亦包含襯墊矽氧化物層215及襯墊矽氮化物層213。圖2C為在STI製程期間可被填充的間隙的範例。在某些情況中,不存在內襯層216。在某些實施例中,矽基板201之側壁經氧化。
圖2B及2C提供在半導體製造製程中可被填充介電材料之間隙的範例。本文中所描述之製程可用以填充需要介電質填充的任何間隙。在某些實施例中,間隙臨界尺寸大約為1–50 nm,在一些情況中,介於約2–30 nm或4–20 nm之間(例如13 nm)。臨界尺寸指涉間隙開口在其最狹窄位置的寬度。在某些實施例中,間隙之深寬比介於3:1及60:1之間。根據各種實施例,間隙之臨界尺寸為32 nm或32 nm以下,且/或深寬比為至少約6:1。
如前文指出,間隙通常係藉由底部表面及側壁來界定。側壁(或複數側壁)這個用語可互換地使用以指涉具有任何形狀(包含圓孔、狹長溝渠等)之間隙的側壁(或複數側壁)。在一些實施例中,除了在間隙中以外、或取代在間隙中,本文中所描述之製程可用以在平坦的表面上形成可流動膜。
此外,在一些實施例中,間隙可為孔洞。圖2D圖示包括在第一介電層253中之內嵌金屬線路251的結構之範例。經蝕刻之孔洞性介電層255上覆於第一介電層253、及(選擇性地)蝕刻停止層261 (例如矽碳化物、矽氧碳化物、矽氮化物、或矽氧氮化物蝕刻停止層) 。經蝕刻之孔洞性介電層255在先前的處理中經蝕刻以界定凹陷部257並且暴露金屬線路251。經蝕刻之孔洞性介電層255的暴露表面262包括凹陷部257的表面。
經蝕刻之孔洞性介電層255為具有連接的孔隙結構的孔洞性介電質。描繪經蝕刻之孔洞性介電層255的一部分的剖面放大示意圖。經蝕刻的第二介電層包含間隙203,間隙203為連接(剖面之平面的內部或外部)的孔洞且因此在表面262處曝露於周圍條件。
經蝕刻之孔洞性介電層255的一部分265包括藉由可流動介電質沉積製程而沉積的密封材料266。描繪被密封的經蝕刻之孔洞性介電層255的一部分的剖面放大示意圖。先前對周圍開放的間隙203被以密封材料266 (由可流動介電質沉積製程沉積)加以密封。取決於經蝕刻之孔洞性介電層255的場區是否被另一材料(例如,蝕刻停止或硬遮罩層)覆蓋,除了對凹陷部257開放的孔洞以外,對場區開放的孔洞(未圖示)亦可被密封。後續的操作可選擇性地包含清潔或處理金屬線路251的表面、沉積阻障層、並以傳導性材料填充凹陷部257。若孔洞未被密封,則任何的這些操作均可能導致前驅物及/或金屬滲入間隙203中,而造成崩潰電壓較低及失效。
孔洞性介電膜可為(例如)具有2.4或2.4以下之介電常數的ULK膜。ULK膜之範例包含碳摻雜氧化物(CDO)膜、沸石膜、及聚合物膜。
介電膜的孔洞結構可經連接,且可包含藉由從介電基質移除成孔劑而引入的孔洞、及/或介電基質所固有的孔洞。例如,CDO基質可具有因摻入甲基或其他有機基團而產生的孔洞結構。孔洞性介電膜可包含中孔洞結構及/或微孔洞結構。中孔洞結構通常指涉2 nm-50 nm的孔洞尺寸,而微孔洞結構指涉小於2 nm的孔洞尺寸。在具有連接的孔洞結構之介電質中,至少若干的連接孔洞之尺寸可在一連續範圍,其中微孔洞(具有大約埃至奈米的尺寸)連接至中孔洞(具有大約數奈米至數十奈米的尺寸)。雖然亦可使用該等方法來密封未連接的孔洞並提供平滑沉積表面,但在密封連接的孔洞時可發現特定用途,其中未被密封之連接的孔洞提供通過膜的擴散路徑。在曝露的表面之孔洞結構特徵可取決於蝕刻製程、及取決於沉積的方法與特定的膜。
回到圖1,沉積表面可為、或包含一或複數材料。舉例來說,界定間隙的側壁及底部表面可為一材料或包含複數材料。參照圖2C,舉例來說,若存在內襯層216,其可為唯一的沉積表面。然而,若內襯層216不存在,則沉積表面可包含矽基板201、襯墊矽氧化物層215及襯墊矽氮化物層213。間隙表面之材料(包括側壁及/或底部之材料)之範例包含矽氮化物、矽氧化物、矽碳化物、矽氧氮化物、矽氧碳化物、矽化物、矽鍺、以及裸矽或其它半導體材料。特定範例包含SiN、SiO2 、SiC、SiON、NiSi、及多晶矽。BEOL處理中所使用的間隙材料之進一步範例包含銅、鉭、鉭氮化物、鈦、鈦氮化物、釕及鈷。在某些實施例中,在可流動介電質沉積之前,間隙係設置有形成在該間隙中的內襯、阻障物或其它類型之保形層,使得沉積表面包含保形層。在一些實施例中,基板之沉積表面曝露至處理。預沉積處理之範例係進一步提供如下。
回到圖1,將包含介電前驅物之處理氣體流入沉積腔室中(方塊 103)。如下所述,處理氣體可包括選擇性的共反應物。將可流動介電膜沉積在間隙中(方塊 105)。
在一些實施例中,將可流動介電膜選擇性地沉積在間隙中。選擇性沉積指涉在不沉積於其他位置上的情況下、或在沉積於其他位置上之前,優先地沉積在一位置上的製程。在方塊105中,可流動介電材料優先地沉積在間隙的內側(而非間隙的外側)。在封孔的背景之下,介電質至少優先地沉積在孔洞性介電材料之孔洞的開口之內(而非孔洞性介電材料的孔洞之外),例如,沉積在孔洞性介電質之不連續的外部表面上、及沉積在圖2D中曝露的金屬表面上。因此,可流動介電材料在其他的曝露表面上(例如在場區上)之沉積可為不存在或實質上不存在,其中所屬技術領域中具有通常知識者理解在這些表面上可能有若干小面積的膜成核。
根據各種實施例,方塊105可包含以下機制:在最小的特徵部(無論是穿孔、溝渠、或在孔洞性介電質中孔洞的小開口)之外側未形成連續膜的情況下、或在該等特徵部之外側形成連續的膜之前,優先地沉積在該等特徵部中。
在一些實施例中,方塊105利用熱力學效應,其中可流動介電材料在間隙中保持選擇性地凝結,該等間隙係作為可用於形成可流動介電材料的最小空間。因此,可流動介電材料選擇性地沉積在這些間隙中。在一些封孔應用中,可使用的最小空間為孔洞的開口,使得可流動介電材料係沉積在開口但不完全地填充孔洞。(在一些實施例中,可利用熱力學效應以使沉積在孔洞外側的可流動介電材料汽化,同時在孔洞內的可流動材料保持凝結。)
沉積可流動氧化物膜,舉例而言,可包括將基板曝露至包含介電前驅物之氣態反應物,而使凝結的可流動膜形成於間隙中。沉積通常在非電漿條件中發生,但在某些實施例中,可應用電漿增強條件。在其他實施例中,即使基板未直接地暴露到電漿,仍可存在來自下游電漿的反應性物種。
介電前驅物為含矽化合物。在一些實施例中,介電前驅物為進行光致聚合作用的化合物,且可為環狀矽氧烷、環狀矽氮烷、或包含未飽和碳氫基團的線狀或環狀含矽化合物。
可選擇性地流動氧化劑,例如過氧化物、臭氧、氧、水等。在一些實施例中,氧化劑可為不形成羥基(non-hydroxyl-forming) 的氧化劑,例如臭氧或氧。
在一些實施例中,使用(例如)包括一或更多Si-C鍵的介電前驅物來形成SiCOH膜。在一些實施例中,可流動介電膜為在使得蒸氣相反應物反應而形成可流動膜的條件下,透過將該蒸氣相反應物引入沉積腔室中而沉積的含矽及氮的膜,例如矽氮化物或矽氧氮化物。摻入膜中的氮可來自一或更多來源,例如含矽及氮的前驅物、氮前驅物(例如氨 (NH3 ) 或聯胺 (N2 H4 ))、或含氮氣體(例如 N2 、NH3 、NO、 NO2 、或 N2 O)。
沉積化學品的進一步討論提供如下。
可將處理氣體同時地引入反應器中,或一或更多組成氣體可在他者之前引入。加入本文中之參考資料的美國專利案第8,278,224號提供可依據某些實施例使用之反應物氣體順序之描述。
方塊105可包含毛細凝結機制,其中可流動介電材料優先地沉積在最小的特徵部中。由於毛細凝結作用,可流動的製程反應物可在最小的特徵部中凝結,即使其分壓低於飽和蒸氣壓。這是由於在毛細管(亦即間隙)的侷限空間內部的蒸氣相分子之間凡得瓦交互作用的增加數量。在封孔應用中,此允許在表面上無連續膜沉積的情況下進行封孔、及由下至上的間隙填充。
在一些實施例中,方塊105包含提供蒸氣相且分壓低於其飽和氣壓的前驅物。液體在低於飽和壓力的壓力之下於小空間內保持凝結(亦即毛細凝結作用)的傾向,允許間隙中的選擇性沉積。在一些實施例中,分壓可逐漸地提高,直到其接近材料開始在間隙中凝結為液體、或前驅物可在此壓力下引入的點為止。
設定反應條件以適當地控制反應物相對於其飽和蒸氣壓之分壓,通常為在相對上較低的溫度下(例如:-20 ℃到100 ℃)。間隙內的毛細凝結作用可為自限制的,當間隙被填充時、或當孔洞或其他間隙開口被密封時停止。
可改變壓力與溫度以調整沉積時間;高壓與低溫通常有利於快速沉積。高溫與低壓將導致較慢的沉積時間。因此,提高溫度可包含提高壓力。在一實施例中,溫度約5 ℃且壓力約10 Torr。曝露時間取決於反應條件以及孔洞或其他間隙的尺寸。根據各種實施例,沉積速率從約100 埃/min至1 微米/min。基板在這些條件下曝露於反應物達足夠長的時期,以在孔洞或其他間隙中沉積可流動膜。在某些實施例中,沉積時間為0.1-5秒。
透過反應物相對於其飽和蒸氣壓(針對給定的一沉積溫度為常數)之分壓來控制凝結作用的量。可藉由改變分壓來調節填充速率對於臨界尺寸的相依性。以此方式,可調節選擇性,增進剛好在孔洞、其他間隙內或以其他期望方式沉積的能力。此相依性定性地描繪於圖3中,圖3圖示分壓-臨界尺寸的沉積曲線。在介電前驅物之分壓足夠低的情況下,在任何尺寸的特徵部中均無凝結作用或沉積作用。當分壓提高時,介電前驅物在小特徵部中凝結,其中沉積作用隨著分壓提高而在更大的特徵部尺寸中發生。因此,例如,為了避免在ULK膜之20 nm的蝕刻溝渠中的沉積作用並同時允許在該ULK膜的孔洞中的沉積作用,將介電前驅物的分壓維持在曲線的交叉陰影區域之內。
回到圖1,在方塊107,將基板暴露到UV輻射。因此,在一些實施例中發生光致聚合作用及緻密化作用。根據各種實施例,UV暴露可相對於沉積腔室為原位或異位。圖4A及4B圖示原位及異位處理之範例中的操作。首先在圖4A中,可執行選擇性預處理以活化基板並改善可溼性(方塊401)。預處理之範例提供如下。若執行,可在與後續沉積作用相同或不同的站或腔室中進行預處理。接下來,凝結介電前驅物以產生液態介電膜(方塊403)。如前文所討論,方塊403可包括毛細凝結作用,以優先地沉積在孔洞或其他間隙中。然後將包括沉積介電膜的基板傳送到UV站(方塊405)。可在真空下進行轉移,例如,其中沉積腔室與UV站經由一真空傳送腔室連接。UV站可為單站或多站式UV模組。如下所述,在一些實施例中,UV暴露可在與沉積作用相同的模組中發生,例如,其中沉積作用在多站式模組的一或更多站中發生,而UV暴露在該多站式模組的其他的一或更多站中發生。然後執行UV暴露,而產生緻密固態介電膜(方塊407)。
在一些實施例中,可重複執行方塊403到方塊407以積累具有期望厚度之膜。例如,可在每當沉積500奈米的可流動介電膜之後執行UV暴露。
在各種實施例中,使用具有相對上較高沸點的介電前驅物,使得基板在製程期間可被維持在低於沸點的溫度之下。這允許介電前驅物被凝結然後傳送到UV站。UV暴露期間之溫度亦應維持顯著低於前驅物或其中之凝結產物之沸點。在一些實施例中,UV暴露期間之基板溫度可至少比前驅物之沸點低25℃。各種前驅物之範例的沸點提供如下。
在圖4B中,如上所述般執行方塊401。接下來,在同時UV暴露之情況下凝結介電前驅物以產生緻密固態介電膜(方塊406)。在一些實施例中,可在介電質沉積之後原位地於沉積腔室中執行UV暴露。
圖4A及4B中的UV暴露不同於沉積後UV固化操作,沉積後UV固化操作可執行作為熱退火之替代,例如,用以緻密化溶膠凝膠沉積膜、或移除反應副產物。此種UV固化操作一般係在更高的溫度下發生。 積化學品與反應機制 電前驅物
介電前驅物為能夠進行光致聚合作用的含矽化合物。此類化合物的範例包括環狀矽氧烷類、環狀矽氮烷類、及包含乙烯基或其他未飽和碳氫基團的線狀或環狀含矽前驅物。
環狀矽氧烷類的範例包括八甲基環四矽氧烷(OMCTS)、四乙烯基四甲基環四矽氧烷(TVTMCTS)、四甲基環四矽氧烷(TMCTS)、五甲基環五矽氧烷、及六甲基環三矽氧烷。在一些實施例中,環狀矽氧烷類可用於本文中所描述的方法中以進行無催化劑沉積製程。在一些實施例中,環狀矽氮烷類可用於本文中所描述的方法中以進行無催化劑沉積製程。
在一些實施例中,應用具有相對上較高沸點的介電前驅物。例如,TMCTS 具有135℃的沸點; TVTMCTS 具有 224℃的沸點;且 OMCTS 具有175℃的沸點。在一些實施例中,應用具有至少100℃、至少125℃、至少150℃、至少175℃、或至少200℃之沸點的介電前驅物 。給定在大氣壓力下的沸點。
在封孔應用中,可使前驅物之尺寸合適於孔洞性介電膜之孔洞尺寸:其應足夠小以配適於孔洞中,但足夠大使其不滲入孔洞性介電質中太深。此描繪於圖5中,其中相對上較大的環狀分子501(例如凡得瓦半徑為1.2nm) 配適於孔洞性介電質500的孔洞中以密封孔洞,但未滲入孔洞中太深。相較之下,較小的線狀分子503(例如凡得瓦半徑為0.5nm) 滲入孔洞性介電質中,而可能導致介電常數不樂見的增加。在一些實施例中,分子的凡得瓦半徑以大約與平均孔洞尺寸相等為目標。作為範例,CVD ULK膜的平均孔洞尺寸可為1.0 ± 0.5nm。可使用具有至少0.8nm的凡得瓦半徑的環狀分子。在一些實施例中,其可具有至少1.0 nm或1.2 nm的凡得瓦半徑。
根據各種實施例,初沉積的膜為矽氧化物膜或矽氮化物膜,包括含碳矽氧化物或矽氮化物膜。根據各種實施例,可使用含Si-C 或 Si-N的介電前驅物(無論作為主要介電質前驅物或摻雜前驅物),以將碳或氮引入膜中。此種膜的範例包括碳摻雜矽氧化物及矽氧氮化物。在一些實施例中,矽氮化物膜主要包括Si-N鍵與N-H鍵。共反應物
針對矽氧化物沉積作用,在一些實施例中可應用氧化劑。在一些其他的實施例中,例如可僅藉由環狀矽氧烷前驅物而供應氧,使得沉積作用為無共反應物的單反應物沉積作用。然而,取決於所應用之特定前驅物的氧含量,可供應氧化劑。
若應用,合適的氧化劑之範例包含(但不限於):臭氧(O3 )、過氧化物(包括過氧化氫(H2 O2 ))、氧氣(O2 )、水(H2 O)、醇類(諸如甲醇、乙醇及異丙醇)、一氧化氮(NO)、二氧化氮(NO2 )、一氧化二氮(N2 O)、一氧化碳(CO)及二氧化碳(CO2 )。在某些實施例中,遠程電漿產生器可供應活化的氧化劑物種。
針對矽氮化物沉積作用,在一些實施例中可應用氮共反應物。在一些其他的實施例中,例如可僅藉由環狀矽氮烷前驅物而供應氮,使得沉積作用為無共反應物的單反應物沉積作用。若應用,合適的氮共反應物之範例包含(但不限於):氨 (NH3 )、聯胺(N2 H4 )、氮 (N2 )、NO、NO2 、及N2 O。摻雜物
可供應一或更多摻雜前驅物,例如含碳、含氮、含氟、含磷、及/或含硼的氣體。有時,儘管非必要,存在惰性載氣。在某些實施例中,使用液體注射系統引入氣體。在某些實施例中,使用碳摻雜矽前驅物,無論伴隨另一前驅物 (例如作為摻雜物)或單獨使用。碳摻雜前驅物可包括至少一Si-C鍵。在某些實施例中,使用氨基矽烷前驅物。催化劑
在一些實施例中,沉積作用可為無催化劑之沉積作用,其未應用下述催化劑中任一者。然而,在某些實施例中可應用催化劑。在某些實施例中,可應用質子予體催化劑。質子予體催化劑的範例包含 1) 酸類,包含硝酸、氫氟酸、磷酸、硫酸、氫氯酸及溴酸;2) 羧酸衍生物,包含R-COOH及R-C(=O)X,其中R係取代或未取代的烷基、芳香基、乙醯基、或酚,且X係鹵化物,以及羧酸酐類R-COOC-R;3) Six Xy Hz ,其中x = 1-2,y = 1-3,z = 1-3,且X係鹵化物;4) Rx Si-Xy ,其中 x = 1-3 且 y = 1-3;R係烷基、烷氧基、烷氧基烷基、芳香基、乙醯基、或酚,且X係鹵化物;以及 5) 氨及衍生物,包含氫氧化銨、聯胺、羥胺及R-NH2 ,其中R係取代或未取代的烷基、芳香基、乙醯基、或酚。
除了上面提供之催化劑的範例以外,可使用之含鹵素化合物包含鹵化的分子、包含鹵化的有機分子,諸如二氯矽烷(SiCl2 H2 )、三氯矽烷(SiCl3 H)、甲基氯矽烷(SiCH3 ClH2 )、氯基三乙氧基矽烷、氯基三甲氧基矽烷、氯甲基二乙氧基矽烷、氯甲基二甲氧基矽烷、乙烯基三氯矽烷、二乙氧基二氯矽烷、及六氯二矽氧烷。可使用的酸類可為:無機酸,諸如氫氯酸(HCl)、硫酸(H2 SO4 )、及磷酸(H3 PO4 );有機酸,諸如甲酸(HCOOH)、乙酸(CH3 COOH)、及三氟乙酸(CF3 COOH)。可使用的鹼類包含氨(NH3 )或氫氧化銨(NH4 OH)、膦(PH3 );以及其他含氮或含磷的有機化合物。催化劑的其他範例係氯基二乙氧基矽烷、甲磺酸(CH3 SO3 H)、三氟甲磺酸(CF3 SO3 H)、氯基二甲氧基矽烷、砒啶、氯化乙醯、氯乙酸(CH2 ClCO2 H)、二氯乙酸(CHCl2 CO2 H)、三氯乙酸(CCl3 CO2 H)、草酸(HO2 CCO2 H)、苯甲酸(C6 H5 CO2 H)、及三乙胺。
其他催化劑的範例包含氫氯酸(HCl)、氫氟酸(HF)、乙酸、三氟乙酸、甲酸、二氯矽烷、三氯矽烷、甲基三氯矽烷、乙基三氯矽烷、三甲氧基氯矽烷、及三乙氧基氯矽烷。
除了上述催化劑以外,在一些實施例中,可使用制定用於BEOL處理應用的催化劑。此類催化劑揭露於美國專利申請案第14/464,196號(代理人編號:LAMRP109/3408-1US),案名為“LOW-K OXIDE DEPOSITION BY HYDROLYSIS AND CONDENSATION”,申請日為2014年8月20日,該案加入本案之參考資料。
在一些實施例中,可應用無鹵素的酸性催化劑,其中範例包含 1) 酸類,包含硝酸、磷酸、硫酸;及 2) 羧酸衍生物,包含R–COOH,其中R係取代的或未取代的烷基、芳香基、乙醯基、或酚,以及羧酸酐類R–COOC–R。
此外,在一些實施例中,可使用包含胺基矽烷類的自催化矽烷介電前驅物。可使用的胺基矽烷類包含(但不限於)下列各者:(1) Hx –Si–(NR)y ,其中x = 0-3,x + y = 4,且R係有機氫化物基團。自催化介電前驅物之進一步的範例提供於美國專利申請案第14/464,196號中,該案加入本案之參考資料。介面活性劑
可使用界面活性劑以減輕表面張力並增加基板表面上反應物的濕潤。界面活性劑亦可提高介電前驅物與其他反應物的互溶性,尤其當凝結為液相時。界面活性劑的範例包含溶劑、醇類、乙二醇及聚乙二醇。針對碳摻雜的矽前驅物可使用不同的界面活性劑,因為含碳的部分通常使前驅物較疏水性。
溶劑可為非極性或極性、及質子性或非質子性。溶劑可與介電前驅物的選擇相匹配,以改善與氧化劑的互溶性。非極性的溶劑包含烷烴類及烯烴類;極性的非質子性溶劑包含酮類及乙酸酯類;而極性的質子性溶劑包含醇類及羧酸化合物類。
可引入的溶劑之範例包含醇類(例如異丙醇、乙醇及甲醇)、或與反應物互溶的其他化合物(諸如醚類、羰類、腈類)。溶劑係選擇性的,且在某些實施例中,可個別地引入、或與氧化劑或其他處理氣體一起引入。溶劑的範例包含(但不限於):甲醇、乙醇、異丙醇、丙酮、乙醚、乙腈、二甲基甲醯胺及二甲亞碸、四氫呋喃(THF)、二氯甲烷、己烷、苯、甲苯、及異庚烷。在某些實施例中,溶劑可在其他反應物之前引入,無論藉由吹噴或一般輸送。在一些實施例中,溶劑可藉由吹噴引入反應器以促進水解,尤其在前驅物及氧化劑具有低互溶性的情況下。載氣
有時,儘管非必要,存在惰性載氣。例如,氦氣及/或氬氣可與上述化合物的其中一者一起引入腔室。
該等處理氣體(含矽前驅物、氧化劑或其他共反應物、溶劑、催化劑等)之任一者,無論在單獨或與一或更多其他反應物結合之情況下,可在其餘的反應物之前引入。此外,在某些實施例中,一或更多反應物可在其餘的反應物流量已關閉之後繼續流進反應腔室中。反應機制
業已發現當使用某些介電前驅物時,即使在缺乏催化劑之情況下,仍可使用參考圖4A及4B所述之製程而達到極佳的填充。尤其,業已發現環狀矽氧烷類即使在缺乏催化劑的情況下仍可提供極佳的填充。一般認為環狀矽氮烷類會表現出類似的結果。
不侷限於特定理論,一般認為反應可透過一或更多下列反應機制而發生。
在一些實施例中,反應可透過自由基鏈機制來進行。自由基起始的機制可能(但不限於)為以被吸附物為基礎的自由基(adsorbate based radical),其橫跨矽氧烷環上之如未飽和碳氫鍵的可氧化相鄰物而添加(例如末端乙烯基、氫化物、鹵化物),而構成凝結前驅物。自由基傳播進行而從凝結的液體中產生聚合物膜並釋出H自由基,H自由基再結合而釋出H2 氣體或反應器的表面上之末端氫化物。最終產物為無未飽和碳氫化合物的緻密低k氧化物膜。
在一些實施例中,開環與聚合作用可包括少量水的光解離作用: H2 O + UV (波長短於 242.5nm) à  H+ + OH-
開環與聚合反應可如圖6的範例(其針對一般的環狀矽氧烷環601)所示般進行。(R代表有基基團,而M代表圖6所示之機制中任何帶正電的部分(例如H+ 或NH4 + ))。因光解離產生的氫氧根負離子攻擊矽氧烷環的矽原子,而造成開環。然後可進行聚合作用,藉由SiO- 攻擊另一矽氧烷環,而造成該環打開並聚合化。
上述機制不同於溶膠凝膠沉積反應,其中前驅物與氧化劑被引入並凝結在基板上,其中允許該者經由水解與聚縮合作用進行反應而形成氧化物膜、及作為副產物的水及醇。某些所述實施例的優點包括降低或消除對於沉積後膜處理(例如熱或UV固化)的依賴,其中沉積後膜處理係為得到期望的物理及電氣特性而用於膜之緻密化及移除反應副產物、過多的反應物、及吸附的殘餘氫氧根基團。如前文指出,在一些實施例中,所述方法允許不使用催化劑且使用無鹵化物的化學品之可流動介電質沉積作用。相較之下,水解與聚縮合沉積作用一般包括使用催化劑,而可能使整合結構的金屬成分氧化。在整合/進一步處理/更長的時間期間,構成催化劑的鹵素陰離子亦可能被留在沉積材料中並從低k層中瀝濾出來(leach out)而進入整合結構的其他部分中而導致腐蝕。殘餘的鹵素陰離子亦可能在介電層中產生移動電荷,而使其電氣絕緣性質降解。雖然有機酸催化劑可解決關於鹵化物催化劑的若干問題,但其使用受限於相對上較低的沉積速率及對於長等候時間(queue time)的需求。再者,從有機酸催化沉積作用中衍生出來的未固化膜的光敏性亦造成沉積後處理的重大困難。經分子接枝為前驅物之一部分、或摻入作為添加物的鹼性催化劑產生明顯孔洞性的膜。本文中所描述的方法可避免這些關於鹵化物、有機酸、及鹼性催化劑的問題。
在經由水解與聚縮合沉積作用沉積於小尺寸中的材料中一般存在孔洞與孔隙。在移除副產物及未反應材料之後便會產生這些孔洞與孔隙。不依賴水解與聚縮合作用的方法的實施例可不具有這些孔隙。所產生的反應副產物為H 自由基與 H2 氣體,該者容易被除去而不留下孔洞與孔隙。尤其,單反應物系統(無共反應物)產生明顯較少的副產物,且在沉積作用結束時未留下未反應材料。
根據各種實施例,可將膜沉積到數微米的厚度,同時仍保持極佳的品質。相較之下,溶膠凝膠衍生膜一般表現出低的硬度及模數,且具有限制最大厚度為約1微米的拉應力(在膜開始破裂之前)。相較之下,本文的方法可用以沉積上達2微米的膜(在觀察到裂痕之前)。
自由基鏈反應機制亦具有比水解-聚縮合反應明顯更快的沉積速率。反應條件
反應條件可使得介電前驅物、或其反應的蒸氣相產物在基板表面上凝結而形成可流動膜。腔室壓力可介於約1及200 Torr之間,在某些實施例中,其係介於10及75 Torr之間。在特定實施例中,腔室壓力為約10 Torr。
在某些實施例中,基板溫度介於約-20℃及100℃之間。在某些實施例中,溫度介於約-20℃及30℃之間,例如介於-10℃及10℃之間。可改變壓力與溫度以調整沉積時間;高壓與低溫通常有利於快速沉積。高溫與低壓將導致較慢的沉積時間。因此,提高溫度可包含提高壓力。在一實施例中,溫度約5 ℃且壓力約10 Torr。曝露時間取決於反應條件以及孔洞或其他間隙的尺寸。根據各種實施例,沉積速率從約100 Å/min至1 µm/min。基板在這些條件下曝露於反應物達足夠長的時期,以在孔洞或其他間隙中沉積可流動膜。在某些實施例中,沉積時間為0.1-5秒。
如上所述,可透過反應物相對於其飽和蒸氣壓(針對一給定的沉積溫度為常數)之分壓來控制凝結的量。
在整個沉積作用的過程中、及同時或接續的UV暴露的過程中,將基板溫度維持在低於介電前驅物及其反應產物的沸點的位準。在整個沉積作用的過程中、及同時或接續的UV暴露的過程中,壓力可低於大氣壓力(sub-atmospheric)。
例示性UV強度包括253.7 nm UV(來自寬頻(190 nm 到290 nm)來源)的50 W到500 W。預處理
根據各種實施例,預處理操作包括曝露至含氧、氮、氦或該者之若干組合的電漿。電漿可為下游式或原位式,且可藉由遠端電漿產生器(例如Astron®遠端電漿來源)、感應耦合電漿產生器或電容耦合電漿產生器而產生。預處理氣體之範例O2 、O3 、H2 O、NO、NO2 、N2 O、H2 、N2 、He、Ar、及其中之組合,無論單獨或與其它化合物結合。化學品之範例包含O2 、O2 /N2 、O2 /He、O2 /Ar、O2 /H2 及H2 /He。具體製程條件可取決於實施例而改變。在替代實施例中,預處理操作包括在非電漿環境中將基板曝露至O2 、O2 /N2 、O2 /He、O2 /Ar或其它預處理化學品。具體製程條件可取決於實施例而改變。在這些實施例中,可於存在來自另一能量來源(包含熱能量來源、紫外光來源、微波來源等)之能量的情況下將基板曝露至預處理化學品。在某些實施例中,除了上述預處理操作以外或取代上述預處理操作,以對催化劑、界面活性劑、或吸附促進化學物質的曝露而預處理基板。預處理操作(若執行)可在沉積腔室中發生、或可在將基板傳送至沉積腔室之前於另一腔室中發生。一旦在沉積腔室中、且在選擇性預處理操作之後,便引入處理氣體。
用以產生在沉積期間可被濡濕並均勻成核之親水性表面的表面處理記載於美國專利申請案第14/519,400號,案名為「Treatment For Flowable Dielectric Deposition On Substrate Surfaces」,該案加入本案中之參考資料。如其中所述,表面處理可包括曝露到遠端電漿。實驗
圖7圖示使用原位UV暴露(如參考圖4A所述)所形成之均勻且經緻密化的可流動膜的影像701。TVTMCTS為介電前驅物,且未應用氧化劑。 腔室壓力為25 Torr且基板溫度為25o C。在沉積期間使用在35% 功率下的12 KW UV 來源(4.2 KW)輻射腔室內部。值得注意的係,膜具有均勻的密度(由影像中填充物的均勻陰影部分顯示)且未觀察到線路彎曲( line bending) 。這顯示了:在無線路彎曲之情況下保持膜的流動性。無論是否固化,影像701中呈現的結果實質上優於使用三乙氧矽烷(TES)沉積的膜(如影像 703、705、及 707中呈現)。影像 703中的可流動氧化物被暴露到250℃的 UV固化;影像 705中的可流動氧化物被暴露到545℃的熱固化達10分鐘;而影像 707中的可流動氧化物在UV固化之後被暴露到545℃的熱固化達10分鐘。在各個情況中,存在密度梯度(由影像中漸變的、不均勻的陰影部分可見),顯示在環形區域中。
圖8圖示在沉積期間由TVTMCTS(其中未應用氧化劑)及原位UV暴露而沉積之膜的傅里葉轉換紅外光譜儀(FTIR)光譜。光譜顯示所沉積之膜擁有Si-CH3 基團。觀察到籠狀及網狀氧化物相(cage and network oxide phases)。在更厚的膜中觀察到殘餘的乙烯基團。設備
本發明之方法可在各種模組上執行。該等方法可在經裝備以供介電膜之沉積用的任何設備(包含HDP-CVD反應器、PECVD反應器、次大氣壓(sub-atmospheric)CVD反應器)、經裝備以供CVD反應之任何腔室、及用於 PDL(脈衝沉積層)的腔室上實施。
如此設備可採取許多不同形式。一般而言,設備將包含一或更多模組,而每一模組包含腔室或反應器(有時包含複數站),該等腔室或反應器容納一或更多晶圓且適於晶圓處理。每一腔室可容納供處理之一或更多晶圓。一或更多腔室將晶圓維持在定義之位置或複數位置(在該位置內有或無運動,例如轉動、振動、或其它擾動)。在進行處理時,每一晶圓係藉由支座、晶圓卡盤及/或其它晶圓固持設備而固持在位置上。對於其中要加熱晶圓之某些操作,設備可包含例如加熱板之加熱器。合適反應器的範例為SequelTM 反應器、VectorTM 反應器、SpeedTM 反應器、以及GammaTM 反應器,其全部皆可得自美國加州佛蒙特(California, Fremont)的蘭姆研究公司(Lam Research)。
如以上所討論,根據各種實施例,表面處理可在與可流動介電質沉積反應相同或不同的模組中發生。圖9圖示包含晶圓傳送系統995及負載鎖室990、可流動沉積模組970、及UV模組980之例示性工具配置960。在模組975亦可包含額外的模組,例如預沉積處理模組、及/或一或更多額外的沉積模組970或UV模組980。
可用於預處理的模組包含SPEED或SPEED Max、INOVA Reactive Preclean Module(RPM)、Altus ExtremeFill (EFx) Module、Vector Extreme Pre-treatment Module (用於電漿、紫外線或紅外線預處理)、及Vector或Vector Extreme 模組。SOLA模組可用於UV暴露。所有工具皆可得自美國加州佛蒙特的蘭姆研究公司。此等模組可附接至與可流動沉積模組者相同的骨幹。又,此等模組之任一者可在不同骨幹上。控制器可連接至工具元件之任一或所有者;其定位及連接性可基於特定實施例而變化。
在某些實施例中,應用控制器922來控制沉積期間及/或預處理或處理後之製程條件。控制器的進一步描述提供如下。
圖10圖示用於可流動介電質沉積之沉積腔室的範例。沉積腔室1000(亦稱做反應器、或反應器腔室)包含腔室外殼1002、頂板1004、裙部1006、噴淋頭1008、支座支柱1024、及密封件1026,其提供用於可流動介電質沉積的密封容積。晶圓1010係由夾頭1012及絕緣環1014所支撐。夾頭1012包含RF電極1016及電阻式加熱元件1018。夾頭1012及絕緣環1014係由支座1020所支撐,支座1020包含平台1022及支座支柱1024。支座支柱1024穿過密封件1026而與支座驅動部(未圖示)介接。支座支柱1024包含平台冷卻管線1028及支座吹掃管線1030。噴淋頭1008包含分別藉由共反應物氣體管線1036及前驅物氣體管線1038加以饋送之共反應物充氣部1032及前驅物充氣部1034。共反應物氣體管線1036及前驅物氣體管線1038可在到達噴淋頭1008之前於區域1040中被加熱。儘管在此描述雙重流充氣部,然而可使用單一流充氣部來將氣體導入腔室。舉例來說,可將反應物供應至噴淋頭並可使該等反應物在引入反應器之前於單一充氣部內混合。1020'及1020代表支座,但處於降下(1020)及升起(1020')的位置。
腔室係裝備有、或連接至用以將反應物輸送至反應器腔室1000的氣體輸送系統。氣體輸送系統可對腔室1000供應一或更多共反應物(例如包含水、氧、臭氧、過氧化物、醇類等之氧化劑),該一或更多共反應物可單獨供應或與惰性載氣混合。氣體輸送系統亦可對腔室供應一或更多介電前驅物(例如三乙氧基矽烷(TES)),該一或更多介電前驅物可單獨供應或與惰性載送氣體混合。氣體輸送系統亦配置用以輸送一或更多處理試劑,以供在此所述之電漿處理、或反應器清洗。舉例來說,針對電漿處理,可輸送氫、氬、氮、氧或其它氣體。
沉積腔室1000做為可流動介電質沉積可在其中發生的密封環境。在許多實施例中,沉積腔室1000以徑向對稱之內部為特徵。減少或去除自徑向對稱內部的偏離有助於確保反應物流量以徑向平衡的方式在整個晶圓1010上發生。由徑向非對稱性所造成之對反應物流量的干擾可能在晶圓1010之一些面積造成比在其它面積更多或更少的沉積反應,其可能在晶圓均勻度方面產生所不欲的變化。
沉積腔室1000包含若干主要元件。在結構上,沉積腔室1000可包含腔室外殼1002及頂板1004。頂板1004係配置成附接至腔室外殼1002並在腔室外殼1002及氣體配送歧管/噴淋頭、電極、或其它模組設備之間提供密封介面。取決於製程之特定設備需求,不同的頂板1004可與相同的腔室外殼1002一起使用。
腔室外殼1002及頂板1004可由鋁(例如6061-T6)機械加工,然而亦可使用其它材料,包含其它等級的鋁、氧化鋁、及其它非鋁材料。鋁的使用容許簡單的機械加工及處理且使鋁之提高的熱傳導性質可供利用。
頂板1004可裝備有電阻式加熱包覆件以將頂板1004維持在期望溫度。舉例來說,頂板1004可裝備有用以將頂板1004維持在介於-20℃及100℃之間的溫度之電阻式加熱包覆件。除了電阻式加熱包覆件以外或做為其替代方案,可使用替代性的加熱來源,例如將加熱之液體循環穿過頂板1004或對頂板1004提供電阻式加熱器匣。
腔室外殼1002可裝備有用以將腔室外殼1002維持在期望溫度之電阻式加熱器匣。亦可使用其它溫度控制系統,例如將加熱之流體循環穿過腔室壁中的孔口。
可在可流動介電質沉積期間將腔室內壁溫控至介於-20℃及100℃之間的溫度。在一些實施例中,頂板1004可不包含加熱元件而是可仰賴熱自腔室電阻式加熱器匣的熱傳導而維持期望溫度。各種實施例可加以配置成將腔室內壁及不希望在其上發生沉積反應的其它表面(例如支座、裙部、及噴淋頭)溫控至比目標沉積製程溫度高約10℃到40℃的溫度。在一些實施例中,可將此等元件維持在此範圍以上的溫度。
透過在處理期間主動加熱並維持沉積腔室1000的溫度,可將內部反應器壁保持在相對於晶圓1010所維持的溫度之提高的溫度。相對於晶圓溫度而提高內部反應器壁溫度可將反應物在可流動膜沉積期間於沉積腔室1000之內壁上的凝聚最小化。若在沉積腔室1000的內壁上發生反應物的凝聚,則凝聚物可能在內壁上形成沉積層,而這係不樂見的。
除了將腔室外殼1002及/或頂板1004加熱以外,或取代將腔室外殼1002及/或頂板1004加熱,可將疏水塗層施加至沉積腔室1000及其它具有濡濕表面的元件(例如支座1020、絕緣環1014、或平台1022)之濡濕表面的一些或所有者以避免凝聚。此類疏水塗層可耐受製程化學及處理溫度範圍,例如-20℃至100℃的處理溫度範圍。一些矽基及氟碳化物基的疏水塗層(例如聚乙烯)可能與氧化性(例如電漿)環境不相容而可能不適用。可使用具有超疏水性質之以奈米科技為基礎的塗層;如此塗層可為超薄且在疏水性質之外亦可具備抗油性質,其可容許此類塗層防止許多用於可流動膜沉積中的反應物之凝聚以及沉積。合適的超疏水塗層之一範例為二氧化鈦(TiO2 )。
各種熱阻斷(thermal breaks)可將腔室1000的各種元件分離。如本文中使用的熱阻斷,指涉部件之間的實體分離(亦即間隙),其夠大而足以實質上避免部件之間經由被卡在熱阻斷中的任何氣體的傳導性熱傳送;但其亦夠小而足以避免部件之間經由氣體的實質對流性熱傳送。直接接觸的部件或部件的部分;或由間隙分離但仍靠得夠近,而得以經歷經由被卡在間隙中的任何氣體的跨間隙的顯著傳導性熱傳送的部件或部件的部分,可稱作彼此「熱接觸」。 熱阻斷更完整地描述於美國專利申請案第13/329,078號,該案併入本案之參考資料。
沉積腔室1000亦可包括可用於原位UV暴露的一或更多UV來源。參考圖12進一步描述如下。
圖11A及11B圖示用於可流動介電材料之UV暴露的UV腔室之範例。腔室1101包括複數站1103、1105、1107、及1109,各站均可容納一基板。站1103包括傳遞銷1119。圖11B為腔室的側視圖,圖示站1103與1105、及位在支座1123與1125上方的基板1113與1115。基板與支座之間存在間隙1104。基板可透過一附屬裝置(例如銷)而固持於支座上方,或浮於氣體上。拋物面或平面冷鏡1153及1155設置在UV泛光燈組1133及1135上方。來自燈組1133及1135的UV光通過窗口1143及1145。然後基板1113及1115被暴露到輻射。在替代實施例中,基板可被支座1123與1125固持。燈可裝備或不裝備有冷鏡。在一些實施例中,在足夠高的壓力(一般為50與760 Torr之間)下,可透過使用傳導性氣體(例如氦或氦與氬之混合物) 而維持基板的溫度。
在操作中,一基板可依序地暴露到各個UV光來源,其中複數基板同步地暴露到一UV光來源。替代地,各個基板可僅暴露到UV光來源的其中一者或子集。
在一些情況下,不同站以不同波長或波長範圍輻射晶圓。以上範例使用UV泛光燈,其產生寬光譜的輻射。在輻射來源中可使用光學元件以調變寬光譜到達晶圓的部分。例如,可使用反射器、濾波器、或反射器與濾波器兩者之組合來將一部分的光譜從輻射中消除。一此種濾波器為帶通濾波器。
光學帶通濾波器經設計以傳送特定波段。其由許多介電材料之薄層構成,該等介電材料具有不同的折射率以產生被傳送光的建設性與破壞性干涉。依此方式,光學帶通濾波器可經設計以僅傳送特定波段。範圍的限制通常取決於干涉濾波器透鏡、以及薄膜濾波器材料之組成。入射光通過兩個塗層反射表面。反射塗層之間的距離決定破壞性干涉的波長、以及被允許通過塗層表面的波長。在反射光束同相之情況下,光將通過兩個反射表面。然而,若波長為不同相,則破壞性干涉將妨礙大部分的反射,而幾乎不允許任何光通過。依此方式,干涉濾波器能夠使波長高於或低於期望範圍之被傳送光之強度衰減。
可使到達晶圓之輻射的波長衰減的另一濾波器為窗口1143,通常為石英製成。藉由改變金屬雜質與水含量的等級,石英窗可製作以阻擋不需要之波長的輻射。具有非常少金屬雜質的高質量二氧化矽(石英)對於紫外線更具通透性。作為範例,厚度1cm的石英在170nm之波長具有約50%之穿透率,穿透率在160nm降至僅若干百分比。提高石英中的雜質等級導致較低波長之UV透射被減少。電熔石英存在許多的金屬雜質,而將其UV穿透波長限制在大約200nm。另一方面,合成二氧化矽具有高得多的純度,且穿透限制降至170 nm 。就遠紅外線輻射而言,通過石英之穿透率由水含量決定。石英中有更多水代表遠紅外線更可能被吸收。可透過製程處理來控制石英中的水含量。因此,可控制通過石英窗的輻射透射光譜,以截止或減少波長較短之UV透射,及/或用以減少波長較長之紅外線透射。
另一類型的濾波器為UV截止濾波器。這些濾波器不允許一設定數值(例如280nm)以下的UV透射。這些濾波器作用以吸收截止數值以下之波長。這有助於最佳化期望之固化效果。
亦可藉由修改光產生器之性質來控制輻射波長。UV泛光燈可產生寬光譜的輻射(從UV到遠紅外線),但可使用其他光產生器來發射較窄的光譜或用以提高較窄光譜之強度。其他光產生器可為汞蒸氣燈、摻雜汞蒸氣燈、電極燈、準分子燈、準分子雷射、脈衝氙燈、摻雜氙燈。雷射(例如準分子雷射)可發射單一波長的輻射。當將摻雜物加入汞蒸氣或氙燈時,可使窄波長段的輻射更高強度。常見的摻雜物為鐵、鎳、鈷、錫、鋅、銦、鎵、鉈、銻、鉍、或其中之組合。例如,摻雜銦的汞蒸氣燈在可見光光譜與450nm左右強地發射;鐵,在360nm;以及鎵,在320nm。亦可藉由改變燈的填充壓力來控制輻射波長。例如,高壓汞蒸氣燈可製作以發射250 到440 nm的波長,尤其 310 到 350 nm更為強烈。低壓汞蒸氣燈在較短波長發射。
除了改變光產生器的性質以及使用濾波器之外,可使用反光器,其優先地輸送燈的光譜輸出之一或更多片段。常見的反光器為冷鏡,其允許遠紅外線通過但反射其他光。可使用優先地反射一光譜帶的光的其他反光器。因此,基板可在不同站被暴露到不同波長的輻射。當然,在一些站中,輻射波長可相同。
在圖11B中,支座1123及1125為固定的。指向器1111在各暴露週期期間中將各基板升降並從一支座移動到另一支座。指向器1111包括附接至運動機制1131(具有旋轉式與軸式運動)的指向器板1121。給予指向器板1121向上的軸式運動以將基板從各支座上抬起。旋轉式運動作用以將基板從一站向另一站推進。然後該運動機制給予該板向下的軸式運動以將基板向下放置在站上。
支座1123及1125被電性加熱並且被維持在期望之處理溫度之下。如前文指出,在一些實施例中,將基板溫度維持在介電前驅物的沸點以下。因此,支座1123及1125亦可裝備有冷卻管線。各個支座可具有其自身的加熱或冷卻系統。在一替代實施例中,可使用巨大加熱器組件來固持晶圓,而非個別的支座。使用熱傳導性氣體(例如氦)來產生支座與晶圓之間的良好熱耦合。在一些實施例中,可使用具有同軸換熱器的鑄造支座。這些描述記載於美國專利案第7,327,948號,該案併入本案之參考資料。
圖11A及11B僅圖示適當設備之範例,可使用其他的設備。例如,在使用泛光燈的另一實施例中,基板固持器可為旋轉料架。與固定的支座基板固持器不同的係,基板不相對於旋轉料架移動。在基板裝載於旋轉料架上之後,旋轉料架可視所需旋轉,以將晶圓暴露到來自UV燈組的光。旋轉料架在暴露週期期間靜止。在暴露週期之後,旋轉料架旋轉以將各基板推進到下一個燈組進行暴露。加熱及冷卻元件可嵌入旋轉的旋轉料架中。替代地,旋轉料架與加熱器板接觸,或固持基板使得基板被停置在加熱器板上方。
在一些實施例中,將基板暴露到來自聚焦的(而非泛光的)燈的UV輻射。與基板在暴露期間靜止(如圖11A及11B)的泛光燈實施例不同的係,在基板被掃描而暴露到聚焦光之期間,晶圓與光源之間具有相對移動。
圖11A及11B圖示多站UV暴露工具之範例,其可在真空下連接至可流動介電質沉積工具,以允許可流動介電質沉積工具及UV暴露工具之間在受控制的壓力及溫度下的傳送。多站UV暴露工具之一範例為可得自美國加州佛蒙特的蘭姆研究公司的SOLA工具。可應用單站UV暴露工具。
在某些實施例中,可應用多站工具,其中介電質沉積在第一站或站之子集發生;而UV暴露在第二站或站之子集發生。此類設備的示意範例提供於圖12A中,多站腔室1200包括:沉積站1202,配置用於介電前驅物及其中之產物的冷凝作用;及UV站1204,配置用於UV暴露。可如圖10之範例中般配置一或更多沉積站1202。可如圖11B之範例中的站1103般配置一或更多UV暴露站1204。
可使用圖9-12A中描繪的一或更多設備來執行如前文中參考圖4A所討論的異位UV暴露。為執行原位UV暴露,可應用如圖11A及11B所示之UV暴露工具,其中沉積氣體被引入腔室中,例如通過側壁或頂部氣體入口。在替代實施例中,如圖10所示之沉積腔室可裝備有一或更多UV來源。此類腔室的示意範例圖示於圖12B中。腔室1201包括噴淋頭1203;與圖10之範例中的噴淋頭1008類似,噴淋頭1203具有一或更多充氣部1205,用於引入反應物氣體以形成可流動膜。再者,UV來源1207嵌入噴淋頭中或安裝在噴淋頭上,以提供UV輻射。各個UV來源1207可透過窗口1209而與腔室1201之內部分離。窗口之範例參考圖11A及11B描述如上。噴淋頭1203可經設計使得UV來源1207與氣體開口以一規則圖形排列,使得氣體輸送及UV輻射在腔室中的整個基板上方係相當均勻的。例如,UV來源及/或噴淋頭孔洞可以六角形圖案排列。圖12亦圖示掃氣1211(例如Ar),其可用以保持窗口1209潔淨。支座1213配置以固持基板,在一些實施例中,支座1213或其上方的固持件可旋轉,使得基板在沉積期間可視情況旋轉,以增進沉積及UV暴露均勻性。
如前文中參考圖9所指出,在某些實施例中,可應用控制器922來控制沉積期間及/或預處理或處理後之製程條件。此類控制器可用以控制圖9-12B中所描繪的任何設備中的操作。
控制器922通常將包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。通常會存在與控制器922相關聯之使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件的圖形化軟體顯示器、以及例如指向裝置、鍵盤、觸控螢幕、麥克風等之使用者輸入裝置。
在某些實施例中,控制器922可在製程期間控制所有活動,包含氣體流率、腔室壓力、產生器製程參數。控制器922執行系統控制軟體,該系統控制軟體包含用以控制特定製程的時序、氣體混合物、腔室壓力、支座(及基板)溫度、UV功率、及其它參數的指令組。控制器922亦可藉由調節輸送系統中的閥、液體輸送控制器及MFCs以及流限制閥及排放管線而控制腔室中之不同處理氣體的濃度。控制器922執行系統控制軟體,該系統控制軟體包含用以控制特定製程之時序、氣體及液體的流率、腔室壓力、基板溫度、UV功率、及其它參數的指令組。在一些實施例中可運用儲存在與控制器相關聯之記憶體裝置的其它電腦程式。在某些實施例中,控制器922控制基板進出設備之不同元件的傳送。
用以控制製程順序中的製程之電腦程式碼可以任何習知的電腦可讀程式化語言加以撰寫,例如組合語言、C、C++、Pascal、Fortran或其它者。藉由處理器執行經編譯之目標碼或腳本以執行程式中所識別之任務。系統軟體可以許多不同方式加以設計或配置。舉例來說,可撰寫不同腔室元件副程式(subroutines)或控制物件以控制實行所述製程而需要的腔室元件之操作。針對此目的之程式或程式片段範例包含製程氣體控制碼及壓力控制碼。
在若干實施例中,控制器922為系統的一部分,系統可為上述範例之一部分。此類系統包含半導體處理設備,半導體處理設備包含處理工具或複數工具、腔室或複數腔室、處理平臺或複數處理平臺、及/或特定的處理元件(晶圓支座、氣流系統等)。此些系統係與電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於處理需求及/或系統類型,控制器922可被程式化以控制本文所揭露的任何處理,包括:處理氣體的輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、UV功率及工作週期設定、位置與操作設定、進出工具與連接至特定系統或與特定系統介接的其他傳送工具及/或負載鎖室的晶圓傳送。
廣泛而言,可將控制器922定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器922的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在某些實施例中,控制器922可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器922係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,控制器922接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器922係配置成與該工具介接或控制該工具)的類型。因此,如上所述,控制器922可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例包括腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、UV暴露腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟或複數製程步驟,控制器922可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
控制器參數與製程條件有關,例如,舉例來說,各個操作的時序、腔室內部的壓力、基板的溫度、及處理氣體的流率。可以配方的形式將該等參數提供給使用者,並可利用使用者介面來輸入該等參數。可藉由控制器922之類比及/或數位輸入連接件提供用以監視製程的信號。可於設備之類比及數位輸出連接件上輸出用以控制製程之信號。
所揭露之方法及設備亦可在包含供半導體製造用之微影及/或圖案化硬體的系統中實施。此外,所揭露之方法可在微影及/或圖案化製程先行於或後接於所揭露方法的製程中實施。前述設備/製程可搭配例如用於半導體裝置、顯示器、LEDs、光伏面板等的製造或生產之微影圖案化工具或製程而使用。一般而言(儘管非必要),此類工具/製程將在共同的製造設施中一起使用或執行。膜的微影圖案化通常包含以下步驟之一些或所有者,每一步驟係以若干可能的工具加以實現:(1) 使用旋塗或噴塗工具將光阻施加在工件(亦即基板)上;(2) 使用熱板或爐或UV固化工具使光阻固化;(3) 以像是晶圓步進機之工具將光阻曝露至可見或UV或X射線光;(4) 使用例如溼檯之工具將光阻顯影以選擇性地移除光阻並藉以將其圖案化;(5) 藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉印至下方的膜或工件中;以及(6) 使用像是RF或微波電漿光阻剝除機的工具移除光阻。
儘管前述發明已為了理解明晰之目的而以一定的詳細程度加以描述,但顯然地,在隨附申請專利範圍之範疇內可實行某些改變及修改。應注意存在許多實施本發明之製程、系統及設備的替代方式。因此,應將本案之實施例視為例示性(而非限制性),且本發明不限於本文中提出之細節。
101‧‧‧方塊103‧‧‧方塊105‧‧‧方塊107‧‧‧方塊201‧‧‧基板202‧‧‧閘極結構203‧‧‧間隙204‧‧‧閘極205‧‧‧側壁207‧‧‧底部209‧‧‧表面211‧‧‧矽氮化物或矽氧氮化物層213‧‧‧襯墊矽氮化物層215‧‧‧襯墊矽氧化物層216‧‧‧內襯層251‧‧‧金屬線路253‧‧‧第一介電層255‧‧‧經蝕刻之孔洞性介電層257‧‧‧凹陷部261‧‧‧蝕刻停止層262‧‧‧表面265‧‧‧部分266‧‧‧密封材料401‧‧‧步驟403‧‧‧步驟405‧‧‧步驟406‧‧‧步驟407‧‧‧步驟500‧‧‧孔洞性介電質501‧‧‧環狀分子503‧‧‧線狀分子601‧‧‧環狀矽氧烷環701‧‧‧影像703‧‧‧影像705‧‧‧影像707‧‧‧影像960‧‧‧工具配置970‧‧‧可流動沉積模組975‧‧‧模組980‧‧‧UV模組990‧‧‧負載鎖室995‧‧‧晶圓傳送系統1000‧‧‧腔室1002‧‧‧腔室外殼1004‧‧‧頂板1006‧‧‧裙部1008‧‧‧噴淋頭1010‧‧‧晶圓1012‧‧‧夾頭1014‧‧‧絕緣環1016‧‧‧RF電極1018‧‧‧電阻式加熱元件1020‧‧‧支座1020'‧‧‧支座1022‧‧‧平台1024‧‧‧支座支柱1026‧‧‧密封件1028‧‧‧平台冷卻管線1030‧‧‧支座吹掃管線1032‧‧‧共反應物充氣部1034‧‧‧前驅物充氣部1036‧‧‧共反應物氣體管線1038‧‧‧前驅物氣體管線1040‧‧‧區域1101‧‧‧腔室1103‧‧‧站1104‧‧‧間隙1105‧‧‧站1107‧‧‧站1109‧‧‧站1111‧‧‧指向器1113‧‧‧基板1115‧‧‧基板1119‧‧‧傳送銷1121‧‧‧指向器板1123‧‧‧支座1125‧‧‧支座1131‧‧‧運動機制1133‧‧‧燈組1135‧‧‧燈組1143‧‧‧窗口1145‧‧‧窗口1153‧‧‧拋物面或平面冷鏡1155‧‧‧拋物面或平面冷鏡1200‧‧‧多站腔室1201‧‧‧腔室1202‧‧‧沉積站1203‧‧‧噴淋頭1204‧‧‧UV(暴露)站1205‧‧‧充氣部1207‧‧‧UV來源1209‧‧‧窗口1211‧‧‧掃氣1213‧‧‧支座
圖1為說明用以形成可流動介電膜之一製程範例的流程圖。
圖2A-2D圖示基板的示意剖面圖範例,基板包含可被填充可流動介電膜的間隙。
圖3為一作圖的示意圖,其圖示臨界尺寸-分壓的沉積曲線的範例。
圖4A及4B為流程圖,說明用以形成可流動介電膜的範例操作。
圖5根據某些實施例,為封孔之示意圖。
圖6為可應用於某些實施例中的反應機制的範例。
圖7圖示使用原位UV暴露而形成之均勻且經緻密化的可流動膜的影像701。
圖8圖示在沉積期間由TVTMCTS(其中未應用氧化劑)及原位UV暴露而沉積之膜的傅里葉轉換紅外光譜儀(FTIR)光譜。
圖9、10、11A、11B、12A、及12B為適合實施本文中所描述之方法的設備的示意圖。
1201‧‧‧腔室
1203‧‧‧噴淋頭
1205‧‧‧充氣部
1207‧‧‧UV來源
1209‧‧‧窗口
1211‧‧‧掃氣
1213‧‧‧支座

Claims (30)

  1. 一種用於半導體處理的設備,包含:一多站腔室,包括腔室壁、及至少局部地位於該腔室壁之內的第一站及第二站;該第一站,具有第一基板固持器及位於該第一基板固持器之上方的一噴淋頭;一氣體配送系統,配置以經由該噴淋頭將反應物輸送到該第一站;該第二站,具有第二基板固持器及配置以照射該第二基板固持器上的一UV暴露面積的一紫外光燈;一機制,用以將基板從該第一站傳送到該第二站;以及一控制器,其包含用以進行下列動作之指令:當一基板存在於該第一站中時,配送包含介電前驅物的氣體至該第一站;當該介電前驅物在該第一站中時,將該第一基板固持器維持在介於-20℃及100℃之間的溫度;在配送該氣體至該第一站之後,將該基板傳送到該第二站;並且將該基板暴露到UV輻射。
  2. 如申請專利範圍第1項之用於半導體處理的設備,更包含一加熱系統及一冷卻系統,其中該加熱系統配置以加熱該腔室壁之內側表面,而該冷卻系統配置以冷卻該第一基板固持器。
  3. 如申請專利範圍第1項之用於半導體處理的設備,其中該紫外光燈係位在該第二基板固持器之上方。
  4. 如申請專利範圍第1項之用於半導體處理的設備,其中該指令更包含用以進行下列動作之指令:當該基板在該第二站中時,將該第二基板固持器維持在介於-20℃及100℃之間的溫度。
  5. 如申請專利範圍第1項之用於半導體處理的設備,其中該指令更包含用以進行下列動作之指令:當該基板在該第二站中時,將該第二基板固持器維持在低於一環狀矽前驅物之沸點的溫度。
  6. 一種用於半導體處理的設備,包含:一腔室,包括一基板固持器;一紫外光輻射來源;一噴淋頭,配置以將反應物配送至該腔室;以及一控制器,包含用以進行下列動作之機器可讀取指令:在介於約-20℃及100℃之間的固持溫度下經由該噴淋頭將介電前驅物引入該腔室中,藉此形成可流動膜;並且將該可流動膜暴露到UV輻射。
  7. 如申請專利範圍第6項之用於半導體處理的設備,其中該腔室為一單站腔室。
  8. 如申請專利範圍第6項之用於半導體處理的設備,其中該腔室為一多站腔室。
  9. 如申請專利範圍第6項之用於半導體處理的設備,其中該紫外光輻射來源係嵌入該噴淋頭中或安裝在該噴淋頭上。
  10. 如申請專利範圍第9項之用於半導體處理的設備,更包含均勻地分布於整個該噴淋頭上的複數紫外光輻射來源。
  11. 如申請專利範圍第9項之用於半導體處理的設備,其中該基板固持器可旋轉。
  12. 如申請專利範圍第6項之用於半導體處理的設備,其中紫外光輻射來源係連接至該腔室的第二腔室的一部分。
  13. 如申請專利範圍第6項之用於半導體處理的設備,其中該介電前驅物為蒸氣相的環狀矽前驅物,且該固持溫度低於該環狀矽前驅物的沸點。
  14. 如申請專利範圍第13項之用於半導體處理的設備,其中該等機器可讀取指令包含:在暴露到UV輻射之該步驟期間,使該基板固持器維持在低於該環狀矽前驅物之沸點的溫度。
  15. 一種用以形成介電膜的方法,包含:將蒸氣相的環狀矽前驅物引入至容置基板的腔室;使該前驅物或其反應產物凝結而在該基板上形成一可流動介電膜;以及使該可流動介電膜暴露於紫外光輻射,以使凝結的該前驅物聚合並形成一固體介電膜,其中在進行暴露之該步驟期間將基板溫度維持在低於該環狀矽前驅物之沸點的溫度。
  16. 如申請專利範圍第15項之用以形成介電膜的方法,其中凝結作用及聚合作用係未經催化的。
  17. 如申請專利範圍第15項之用以形成介電膜的方法,其中該環狀矽前驅物為環狀矽氮烷或環狀矽氧烷。
  18. 如申請專利範圍第15項之用以形成介電膜的方法,其中該環狀矽前驅物為八甲基環四矽氧烷、四乙烯基四甲基環四矽氧烷、四甲基環四矽氧烷、五甲基環五矽氧烷、及六甲基環三矽氧烷。
  19. 如申請專利範圍第15項之用以形成介電膜的方法,其中該環狀矽前驅物具有至少125℃的沸點。
  20. 如申請專利範圍第15項之用以形成介電膜的方法,其中在沒有共反應物之情況下引入該蒸氣環狀前驅物。
  21. 如申請專利範圍第15項之用以形成介電膜的方法,其中該可流動介電膜係在該腔室中暴露於該紫外光輻射。
  22. 如申請專利範圍第15項之用以形成介電膜的方法,其中該可流動介電膜係在該腔室之與形成該可流動介電膜相同的站中暴露於該紫外光輻射。
  23. 如申請專利範圍第15項之用以形成介電膜的方法,更包含:在使該前驅物或其反應產物在該基板上凝結之後,將該基板傳送至該腔室中的不同站,以進行紫外光暴露。
  24. 如申請專利範圍第15項之用以形成介電膜的方法,更包含:在使該前驅物或其反應產物在該基板上凝結之後,將該基板傳送至不同的腔室以進行紫外光暴露。
  25. 如申請專利範圍第15項之用以形成介電膜的方法,其中該介電前驅物的分壓低於該介電前驅物的飽和壓力。
  26. 如申請專利範圍第15項之用以形成介電膜的方法,其中該可流動介電膜將該基板上之孔洞性介電材料的孔洞密封。
  27. 如申請專利範圍第15項之用以形成介電膜的方法,其中形成沒有裂痕且厚度大於1微米的固體介電膜。
  28. 如申請專利範圍第15項之用以形成介電膜的方法,其中將該基板溫度維持在介於約-20℃至100℃之間。
  29. 如申請專利範圍第15項之用以形成介電膜的方法,其中聚合作用係透過自由基鏈機制而進行。
  30. 如申請專利範圍第15項之用以形成介電膜的方法,其中聚合作用包含水的光解離作用。
TW105137199A 2015-11-16 2016-11-15 紫外光可流動介電質用設備 TWI723074B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/942,703 2015-11-16
US14/942,703 US10388546B2 (en) 2015-11-16 2015-11-16 Apparatus for UV flowable dielectric

Publications (2)

Publication Number Publication Date
TW201734258A TW201734258A (zh) 2017-10-01
TWI723074B true TWI723074B (zh) 2021-04-01

Family

ID=58691471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137199A TWI723074B (zh) 2015-11-16 2016-11-15 紫外光可流動介電質用設備

Country Status (2)

Country Link
US (2) US10388546B2 (zh)
TW (1) TWI723074B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10115586B2 (en) * 2016-05-08 2018-10-30 Tokyo Electron Limited Method for depositing a planarization layer using polymerization chemical vapor deposition
US20190069496A1 (en) * 2017-09-07 2019-03-07 Joseph Wofford Robotic irrigation system and devices
US10607832B2 (en) 2018-01-15 2020-03-31 Samsung Electronics Co., Ltd. Method and apparatus for forming a thin layer
KR102695659B1 (ko) * 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
CN108417640B (zh) * 2018-02-25 2021-05-11 青岛大学 一种基于毛细凝聚现象的纳米纤维焊接方法
US20190362965A1 (en) * 2018-05-24 2019-11-28 Applied Materials, Inc. Methods of patterning a wafer substrate
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
JP2022511822A (ja) * 2018-12-04 2022-02-01 アプライド マテリアルズ インコーポレイテッド Si-ヒドロキシル結合を架橋するための硬化方法
US11473191B2 (en) * 2019-02-27 2022-10-18 Applied Materials, Inc. Method for creating a dielectric filled nanostructured silica substrate for flat optical devices
EP3875633A1 (en) * 2020-03-03 2021-09-08 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Method and apparatus for forming a patterned layer of material

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US20070235660A1 (en) * 2006-03-31 2007-10-11 Lam Research Corporation Tunable uniformity in a plasma processing system
TW200807510A (en) * 2006-05-30 2008-02-01 Applied Materials Inc Process chamber for dielectric gapfill
TW200809927A (en) * 2006-05-30 2008-02-16 Applied Materials Inc Process chamber for dielectric gapfill
TW200809965A (en) * 2006-05-30 2008-02-16 Applied Materials Inc Process chamber for dielectric gapfill
TW201447019A (zh) * 2013-05-02 2014-12-16 Applied Materials Inc 用於應力裝置之低溫可流動固化

Family Cites Families (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3504181A (en) 1966-10-06 1970-03-31 Westinghouse Electric Corp Silicon carbide solid state ultraviolet radiation detector
US3704219A (en) 1971-04-07 1972-11-28 Mcdowell Electronics Inc Impedance matching network for use with sputtering apparatus
GB1483144A (en) 1975-04-07 1977-08-17 British Petroleum Co Protective films
DE3111367A1 (de) 1981-03-23 1982-11-04 Hoechst Ag, 6000 Frankfurt Verfahren zur herstellung von cardenoliden
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
US4527620A (en) 1984-05-02 1985-07-09 Varian Associates, Inc. Apparatus for controlling thermal transfer in a cyclic vacuum processing system
JPS618945A (ja) 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
US4654226A (en) 1986-03-03 1987-03-31 The University Of Delaware Apparatus and method for photochemical vapor deposition
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JPS63307740A (ja) 1987-06-09 1988-12-15 Semiconductor Energy Lab Co Ltd 光化学反応処理装置
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US4832777A (en) 1987-07-16 1989-05-23 Texas Instruments Incorporated Processing apparatus and method
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
ES2043640T3 (es) 1987-12-21 1994-01-01 Union Carbide Corp Fluidos supercriticos como diluyentes en la aplicacion por rociada liquida de revestimientos.
US4956582A (en) 1988-04-19 1990-09-11 The Boeing Company Low temperature plasma generator with minimal RF emissions
US5174881A (en) 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
JPH01296611A (ja) 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JP2765890B2 (ja) 1988-12-09 1998-06-18 株式会社日立製作所 プラズマイオン源微量元素質量分析装置
US5166101A (en) 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
CA2009518C (en) 1990-02-07 2000-10-17 Luc Ouellet Spin-on glass processing technique for the fabrication of semiconductor device
US5005519A (en) 1990-03-14 1991-04-09 Fusion Systems Corporation Reaction chamber having non-clouded window
JPH03277774A (ja) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
EP0456479B1 (en) 1990-05-09 2001-01-31 Canon Kabushiki Kaisha Pattern forming process and process for preparing semiconductor device utilizing said pattern forming process
JPH0675200B2 (ja) 1990-05-18 1994-09-21 株式会社オーク製作所 露光装置用冷却構造
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5962085A (en) 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5240746A (en) 1991-02-25 1993-08-31 Delco Electronics Corporation System for performing related operations on workpieces
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0812847B2 (ja) 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5932289A (en) 1991-05-28 1999-08-03 Trikon Technologies Limited Method for filling substrate recesses using pressure and heat treatment
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JPH0531735A (ja) 1991-08-02 1993-02-09 Canon Inc 光学素子の成形装置
US5298939A (en) 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
JP2934084B2 (ja) 1991-11-25 1999-08-16 キヤノン株式会社 成形装置
US5387546A (en) 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
US5339198A (en) 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JP3165938B2 (ja) 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
US5407524A (en) 1993-08-13 1995-04-18 Lsi Logic Corporation End-point detection in plasma etching by monitoring radio frequency matching network
CA2131668C (en) 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US6015503A (en) 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5534731A (en) 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
JPH08153784A (ja) 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5960300A (en) 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5518959A (en) 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5795448A (en) 1995-12-08 1998-08-18 Sony Corporation Magnetic device for rotating a substrate
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US5747381A (en) 1996-02-12 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Technique for the removal of residual spin-on-glass (SOG) after full SOG etchback
US6143063A (en) 1996-03-04 2000-11-07 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5674783A (en) 1996-04-01 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
KR0183886B1 (ko) 1996-06-17 1999-04-15 김광호 반도체장치의 트렌치 소자분리 방법
US5775808A (en) 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
GB2331626B (en) 1996-08-24 2001-06-13 Trikon Equip Ltd Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5879574A (en) 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5911833A (en) 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6114224A (en) 1997-01-21 2000-09-05 Advanced Micro Devices System and method for using N2 O plasma treatment to eliminate defects at an interface between a stop layer and an integral layered dielectric
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US5994678A (en) 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5743581A (en) 1997-03-18 1998-04-28 Applied Materials Incorporated Semiconductor process chamber exhaust port quartz removal tool
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
EP1189493A3 (en) 1997-05-22 2004-06-23 Canon Kabushiki Kaisha Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
US6044329A (en) 1997-06-19 2000-03-28 Kware Software Systems Inc. Laser gas analyzer and a method of operating the laser to reduce non-linearity errors
US8075789B1 (en) 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
JPH1197446A (ja) 1997-09-18 1999-04-09 Tokyo Electron Ltd 縦型熱処理装置
US6080965A (en) 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5866945A (en) 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
TW354417B (en) 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
US6086679A (en) 1997-10-24 2000-07-11 Quester Technology, Inc. Deposition systems and processes for transport polymerization and chemical vapor deposition
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
JPH11209876A (ja) 1998-01-26 1999-08-03 Nippon Asm Kk 薄膜形成装置及び方法
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
JPH11214364A (ja) 1998-01-28 1999-08-06 Matsushita Electron Corp 半導体ウェハ処理装置
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6291800B1 (en) 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
JP3530021B2 (ja) 1998-05-25 2004-05-24 株式会社日立製作所 真空処理装置及びその処理台
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
TW405223B (en) 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6900413B2 (en) 1998-08-12 2005-05-31 Aviza Technology, Inc. Hot wall rapid thermal processor
US6316052B1 (en) 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
KR20000043888A (ko) 1998-12-29 2000-07-15 김영환 플래쉬 메모리 소자의 제조 방법
US6239018B1 (en) 1999-02-01 2001-05-29 United Microelectronics Corp. Method for forming dielectric layers
US6583638B2 (en) 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
JP2002538604A (ja) 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
JP2001104776A (ja) 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
US6529274B1 (en) 1999-05-11 2003-03-04 Micron Technology, Inc. System for processing semiconductor products
KR100613674B1 (ko) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6524389B1 (en) 1999-05-24 2003-02-25 Tokyo Electron Limited Substrate processing apparatus
EP1202719B1 (en) 1999-06-21 2006-05-31 Kuhnil Pharmaceutical Co., Ltd. Anesthetic composition for intravenous injection comprising propofol
GB2354528B (en) 1999-09-25 2004-03-10 Trikon Holdings Ltd Delivery of liquid precursors to semiconductor processing reactors
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
KR100338057B1 (ko) 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6242717B1 (en) 1999-08-30 2001-06-05 Lucent Technologies Inc. Removable reflector rack for an ultraviolet curing oven
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6530380B1 (en) 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US6629012B1 (en) 2000-01-06 2003-09-30 Advanced Micro Devices Inc. Wafer-less qualification of a processing tool
TW439194B (en) 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
US6776875B2 (en) 2000-02-28 2004-08-17 Applied Materials Inc. Semiconductor substrate support assembly having lobed o-rings therein
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US6554905B1 (en) 2000-04-17 2003-04-29 Asm America, Inc. Rotating semiconductor processing apparatus
KR100427423B1 (ko) 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6309933B1 (en) 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
AU2001271904A1 (en) 2000-07-10 2002-02-05 Temptronic Corporation Wafer chuck having with interleaved heating and cooling elements
JP4654544B2 (ja) 2000-07-12 2011-03-23 日産化学工業株式会社 リソグラフィー用ギャップフィル材形成組成物
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6323123B1 (en) 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
US6439244B1 (en) 2000-10-13 2002-08-27 Promos Technologies, Inc. Pedestal design for a sputter clean chamber to improve aluminum gap filling ability
FR2816520B1 (fr) 2000-11-15 2003-02-14 Joint Industrial Processors For Electronics Dispositif d'injection multi-zones dans un reacteur rtp ou cvd a chauffage par lampes a rayonnement electromagnetique
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
KR100354442B1 (ko) 2000-12-11 2002-09-28 삼성전자 주식회사 반도체 장치의 스핀 온 글래스 절연막 형성 방법
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US7001778B2 (en) 2001-03-09 2006-02-21 Symetrix Corporation Method of making layered superlattice material with improved microstructure
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG125069A1 (en) 2001-05-17 2006-09-29 Sumitomo Chemical Co Method and system for manufacturing III-V group compound semiconductor and III-V group compound semiconductor
JP4682456B2 (ja) 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ 基板処理方法及び基板処理装置
US6828162B1 (en) 2001-06-28 2004-12-07 Advanced Micro Devices, Inc. System and method for active control of BPSG deposition
US6869579B2 (en) 2001-07-09 2005-03-22 Nippon Sanso Corporation Process for treating exhaust gas
US6597003B2 (en) 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
WO2003009346A2 (en) 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6620745B2 (en) 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
GB2395493B (en) 2001-11-16 2005-03-09 Trikon Holdings Ltd Forming low K dielectric layers
US20030121898A1 (en) 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
WO2003072268A1 (en) 2002-02-22 2003-09-04 Terrasimco Inc. Bladder-based apparatus and method for dispensing coatings
TW538461B (en) 2002-02-26 2003-06-21 Silicon Integrated Sys Corp Device for depositing an insulating layer in a trench
JP2003273111A (ja) 2002-03-14 2003-09-26 Seiko Epson Corp 成膜方法及びその方法を用いて製造したデバイス、並びにデバイスの製造方法
DE10211544C1 (de) 2002-03-15 2003-11-27 Infineon Technologies Ag Verfahren zur Herstellung einer Kondensatorelektrode eines Grabenkondensators aus flüssiger Phase
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP4959921B2 (ja) 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
US20030199603A1 (en) 2002-04-04 2003-10-23 3M Innovative Properties Company Cured compositions transparent to ultraviolet radiation
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
DE10392519T5 (de) 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6909300B2 (en) 2002-05-09 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for fabricating microelectronic fabrication electrical test apparatus electrical probe tip having pointed tips
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US6812135B2 (en) 2002-10-30 2004-11-02 Taiwan Semiconductor Manufacturing Company, Ltd Adhesion enhancement between CVD dielectric and spin-on low-k silicate films
US7160813B1 (en) 2002-11-12 2007-01-09 Novellus Systems, Inc. Etch back process approach in dual source plasma reactors
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
AU2003296988A1 (en) 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7767056B2 (en) 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
WO2004066377A1 (ja) 2003-01-24 2004-08-05 Tokyo Electron Limited 被処理基板上にシリコン窒化膜を形成するcvd方法
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
KR20040074348A (ko) 2003-02-17 2004-08-25 삼성전자주식회사 박막 형성 방법 및 이를 이용한 트렌치 소자 분리막의형성 방법
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
JP2004292636A (ja) 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
JP4222086B2 (ja) 2003-04-07 2009-02-12 東京エレクトロン株式会社 熱処理装置
WO2004090960A1 (ja) 2003-04-07 2004-10-21 Tokyo Electron Limited 載置台構造及びこの載置台構造を有する熱処理装置
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
US7301148B2 (en) 2003-04-23 2007-11-27 Battelle Memorial Institute Methods and systems for remote detection of gases
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040221871A1 (en) 2003-05-07 2004-11-11 Fletcher Matthew F. Semiconductor wafer processing apparatus and method therefor
US20040229452A1 (en) 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
WO2004105103A1 (ja) 2003-05-23 2004-12-02 Eagle Industry Co., Ltd. 半導体製造装置及びその加熱ユニット
JP3929939B2 (ja) 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7074727B2 (en) 2003-07-09 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving dielectric properties in low-k organosilicate dielectric material
KR100513801B1 (ko) 2003-07-24 2005-09-13 주식회사 하이닉스반도체 갭필을 위한 유동성 절연막을 구비하는 반도체 소자의제조 방법
US7122481B2 (en) 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
JP4095566B2 (ja) 2003-09-05 2008-06-04 キヤノン株式会社 光学素子を評価する方法
US7264676B2 (en) 2003-09-11 2007-09-04 United Microelectronics Corp. Plasma apparatus and method capable of adaptive impedance matching
US7250370B2 (en) 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
KR100673884B1 (ko) 2003-09-22 2007-01-25 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100645458B1 (ko) 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
US6897162B2 (en) 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
US7045746B2 (en) 2003-11-12 2006-05-16 Mattson Technology, Inc. Shadow-free shutter arrangement and method
KR100571658B1 (ko) 2003-11-21 2006-04-17 주식회사 하이닉스반도체 반도체소자 제조 방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7256111B2 (en) 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
CN100452306C (zh) 2004-01-30 2009-01-14 东京毅力科创株式会社 具有流体间隙的衬底保持器和制造衬底保持器的方法
US20050191863A1 (en) 2004-02-05 2005-09-01 Olmer Leonard J. Semiconductor device contamination reduction in a fluorinated oxide deposition process
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
JP2005229075A (ja) 2004-02-16 2005-08-25 Tokyo Electron Ltd 積層膜の改質方法及び積層膜
US7510277B2 (en) 2004-03-01 2009-03-31 Fujifilm Corporation Image forming apparatus and method
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7067819B2 (en) 2004-05-14 2006-06-27 Kla-Tencor Technologies Corp. Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light
US7504727B2 (en) 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
JP3972126B2 (ja) 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7033945B2 (en) 2004-06-01 2006-04-25 Applied Materials Gap filling with a composite layer
JP4508738B2 (ja) 2004-06-17 2010-07-21 東京応化工業株式会社 熱安定化装置
US7709814B2 (en) 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7153783B2 (en) 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
KR100546161B1 (ko) 2004-07-13 2006-01-24 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
US7304302B1 (en) 2004-08-27 2007-12-04 Kla-Tencor Technologies Corp. Systems configured to reduce distortion of a resist during a metrology process and systems and methods for reducing alteration of a specimen during analysis
US20060062914A1 (en) 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7169949B2 (en) 2004-09-30 2007-01-30 Basf Corporation Silane-modified UV absorbers and coatings
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20070134821A1 (en) 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7020238B1 (en) 2005-01-31 2006-03-28 Oxford Instruments Analytical Oy Adapter and analyzer device for performing X-ray fluorescence analysis on hot surfaces
US7476602B2 (en) 2005-01-31 2009-01-13 Texas Instruments Incorporated N2 based plasma treatment for enhanced sidewall smoothing and pore sealing porous low-k dielectric films
US7135402B2 (en) 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US8361814B2 (en) 2005-02-11 2013-01-29 Applied Materials, Israel, Ltd. Method for monitoring chamber cleanliness
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US8598044B2 (en) 2005-03-25 2013-12-03 Renesas Electronics Corporation Method of fabricating a semiconductor device
US7300891B2 (en) 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7642205B2 (en) 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
KR100621777B1 (ko) 2005-05-04 2006-09-15 삼성전자주식회사 기판 열처리 장치
US7214630B1 (en) 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US7575633B2 (en) 2005-05-17 2009-08-18 Nordson Corporation Fluid dispenser with positive displacement pump
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
DE102005026695A1 (de) 2005-06-09 2006-12-21 Schott Ag Leuchtvorrichtung mit einem Außenkolben, insbesondere Hochdruck-Entladungslampe
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
CN101208770B (zh) 2005-06-22 2010-10-27 艾克塞利斯技术公司 用于处理介电材料的设备和方法
US7638780B2 (en) 2005-06-28 2009-12-29 Eastman Kodak Company UV cure equipment with combined light path
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US20070028953A1 (en) * 2005-08-02 2007-02-08 Christopher Zanot Canopy tensioning device
US20070054505A1 (en) 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
JP2007194582A (ja) 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
JPWO2007080944A1 (ja) 2006-01-13 2009-06-11 東京エレクトロン株式会社 多孔質膜の成膜方法およびコンピュータ可読記録媒体
JP2007258585A (ja) 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN101079391B (zh) 2006-05-26 2012-01-25 中芯国际集成电路制造(上海)有限公司 用于半导体器件的具有高间隙填充能力的方法
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7948034B2 (en) 2006-06-22 2011-05-24 Suss Microtec Lithography, Gmbh Apparatus and method for semiconductor bonding
JP2008008848A (ja) 2006-06-30 2008-01-17 Kobe Steel Ltd 紫外線モニタリングシステム及び紫外線照射装置
US7790243B2 (en) 2006-07-19 2010-09-07 The Aerospace Corporation Method for producing large-diameter 3D carbon nano-onion structures at room temperature
JP2008028058A (ja) 2006-07-20 2008-02-07 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、半導体装置及び記憶媒体
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US20080054466A1 (en) 2006-08-31 2008-03-06 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
KR100839529B1 (ko) 2006-09-29 2008-06-19 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성 방법
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US20100267231A1 (en) 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7936500B2 (en) 2007-03-02 2011-05-03 Ravenbrick Llc Wavelength-specific optical switch
US9813382B2 (en) * 2007-03-07 2017-11-07 Adobe Systems Incorporated Cryptographic binding of multiple secured connections
US20080274626A1 (en) 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP4863296B2 (ja) 2007-06-22 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101284146B1 (ko) 2007-07-19 2013-07-10 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP4288309B2 (ja) 2007-09-03 2009-07-01 キヤノンアネルバ株式会社 基板熱処理装置及び基板の熱処理方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5014080B2 (ja) 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
WO2009085098A1 (en) 2007-12-19 2009-07-09 Lam Research Corporation Vapor phase repair and pore sealing of low-k dielectric materials
CN101903996B (zh) 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8283644B2 (en) 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
KR100945927B1 (ko) 2008-03-05 2010-03-05 주식회사 하이닉스반도체 반도체 메모리장치의 제조방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US7804130B1 (en) 2008-08-26 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned V-channel MOSFET
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5172567B2 (ja) 2008-09-25 2013-03-27 株式会社東芝 膜形成用組成物、絶縁膜、半導体装置およびその製造方法
US20100109155A1 (en) 2008-11-05 2010-05-06 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect integration
WO2010059762A1 (en) 2008-11-21 2010-05-27 Buckman Laboratories International, Inc. Method for controlling enzymatic decomposition of peroxide and products thereof
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR101561862B1 (ko) 2008-12-26 2015-10-21 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
KR20100079154A (ko) 2008-12-30 2010-07-08 주식회사 동부하이텍 반도체 소자의 갭필 방법
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
JP5225957B2 (ja) 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
US7993937B2 (en) 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US8608035B2 (en) 2010-04-22 2013-12-17 Novellus Systems, Inc. Purge ring with split baffles for photonic thermal processing systems
US7947551B1 (en) 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8877080B2 (en) 2010-10-18 2014-11-04 Tokyo Electron Limited Using vacuum ultra-violet (VUV) data in microwave sources
WO2012074816A2 (en) * 2010-11-30 2012-06-07 Applied Materials, Inc. Method and apparatus for modulating wafer treatment profile in uv chamber
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
KR20120089792A (ko) 2010-12-09 2012-08-13 노벨러스 시스템즈, 인코포레이티드 고 종횡비 트렌치의 바텀 업 충전
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
WO2012092142A1 (en) 2010-12-27 2012-07-05 Dow Corning Corporation Curable silicate-siloxane mixed matrix membrane compositions
US8664287B2 (en) 2011-05-16 2014-03-04 Eastman Kodah Company Photocuring methods and articles prepared therefrom
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9224594B2 (en) 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US20070235660A1 (en) * 2006-03-31 2007-10-11 Lam Research Corporation Tunable uniformity in a plasma processing system
TW200807510A (en) * 2006-05-30 2008-02-01 Applied Materials Inc Process chamber for dielectric gapfill
TW200809927A (en) * 2006-05-30 2008-02-16 Applied Materials Inc Process chamber for dielectric gapfill
TW200809965A (en) * 2006-05-30 2008-02-16 Applied Materials Inc Process chamber for dielectric gapfill
TW201447019A (zh) * 2013-05-02 2014-12-16 Applied Materials Inc 用於應力裝置之低溫可流動固化

Also Published As

Publication number Publication date
US20170137943A1 (en) 2017-05-18
US20190333790A1 (en) 2019-10-31
TW201734258A (zh) 2017-10-01
US11270896B2 (en) 2022-03-08
US10388546B2 (en) 2019-08-20
KR20170066218A (ko) 2017-06-14

Similar Documents

Publication Publication Date Title
TWI723074B (zh) 紫外光可流動介電質用設備
US9916977B2 (en) Low k dielectric deposition via UV driven photopolymerization
KR102427218B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
US10049921B2 (en) Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9245739B2 (en) Low-K oxide deposition by hydrolysis and condensation
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
US8685867B1 (en) Premetal dielectric integration process
KR100726523B1 (ko) 가동성 이온을 함유한 메소포러스 실리카 박막을게터링하고 가속화하는 방법
KR102539941B1 (ko) 저 유전 상수 재료들의 반응성 uv 열 프로세싱
CN105374676B (zh) 低k电介质膜的形成
US7501354B2 (en) Formation of low K material utilizing process having readily cleaned by-products
TW201535513A (zh) 介電常數減少且機械性質強化的低k介電層
US20160111288A1 (en) Low-k damage repair and pore sealing agents with photosensitive end groups
KR102706979B1 (ko) Uv 구동 광중합을 통한 로우 k 유전체 디포지션
KR102706971B1 (ko) Uv 유동성 유전체를 위한 장치
TW201619428A (zh) 藉由水解及縮合的低介電常數氧化物沉積