TWI297212B - Tri-gate transistors and methods to fabricate same - Google Patents

Tri-gate transistors and methods to fabricate same Download PDF

Info

Publication number
TWI297212B
TWI297212B TW094101018A TW94101018A TWI297212B TW I297212 B TWI297212 B TW I297212B TW 094101018 A TW094101018 A TW 094101018A TW 94101018 A TW94101018 A TW 94101018A TW I297212 B TWI297212 B TW I297212B
Authority
TW
Taiwan
Prior art keywords
semiconductor
layer
oxide layer
trenches
semiconductor material
Prior art date
Application number
TW094101018A
Other languages
English (en)
Other versions
TW200535933A (en
Inventor
Robert Chau
Suman Datta
Brian Doyle
Been-Yih Jin
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW200535933A publication Critical patent/TW200535933A/zh
Application granted granted Critical
Publication of TWI297212B publication Critical patent/TWI297212B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Description

1297212 (1) 九、發明說明 ^ 【發明所屬之技術領域】 • 本發明之實施例係大致有關積體電路裝置製造之領域 ,尤係有關三閘極電晶體的製造。 【先前技術】 增加積體電路裝置(1C裝置)的數目及功能之趨勢 -φ 仍持續著。當電晶體的尺寸縮小時,現有電晶體製程的嚴 重缺點變得明顯。例如,製造典型的絕緣層上覆矽( Silicon On Insulator;簡稱SOI)電晶體時,係以一絕緣 體(例如,玻璃或氧化矽))層覆蓋一基材,然後將一第 二矽晶圓接合到該絕緣體層,並將該第二矽晶圓削薄到一 所需的厚度(亦即,由電晶體尺寸所決定的厚度)。很難 在高精確度下控制該削薄製程。 圖1A-1D示出根據先前技術而製作一個三閘極SOI φ 電晶體的製程之一部分。如圖1 A所示,通常爲一矽基材 的一載體晶圓(101)具有被配置在該載體晶圓上且通常 爲二氧化矽之一絕緣體層(1 02 )。 例如,可在一砂基材上生長一個二氧化砂層。 如圖1 B所示,然後將有助於接合的一轉移晶圓(1 0 3 )接合到絕緣體層(1 02 )。可利用熱誘導氫鍵鍵結製程 完成將該載體晶圓接合到該絕緣體層。可以是諸如矽的該 轉移晶圓之厚度大約爲600微米。 然後根據電晶體的尺寸而將該轉移晶圓削薄到所需厚 -5- (2) 1297212 度。該厚度通常大約是50-100奈米。可利用數種典型製 程中之一種製程完成該轉移晶圓的削薄。例如,可將一溼 • 式蝕刻及硏磨製程用來將該轉移晶圓硏磨到該所需厚度。 將該轉移晶圓削薄的一替代性方法包括對該轉移晶圓層進 行氫植入,以便產生該轉移晶圓的一較薄部分。然後將該 被接合的對加熱,以便完成該氫摻雜界面的一高溫斷裂( high temperature cleave)。然後硏磨該轉移晶圓表面,或 • 以其他方式處理該轉移晶圓表面,以便將該表面平坦化, 或進一步減少厚度。這些方法提供了將該厚度控制在大約 數百埃之內。如圖ic所示,已在該電晶體的矽本體中將 轉移晶圓(1 03 )削薄到一所需只寸,而形成薄膜層(1 〇4 )。係由矽本體的所需高度(HSi )決定薄膜層(104 )的 厚度。然後選擇性地蝕刻薄膜層(1 0 4 ),以便產生電晶 體的矽本體。如圖1 D所示,利用微影技術選擇性地蝕刻 薄膜層(104 )時,將形成具有一所需本體寬度(Esi )及 • 本體高度(HSi)之矽本體(105)。 k寸於典型的電晶體設S十架構而言,鬧極長度係與H s i 成正比,且Hsi %於閘極長度的大約二分之一*。對於闊極 長度大約爲20- 1 00奈米的典型電晶體而言,所需Hsi大於 大約2 0奈米。使用現有的製造方法時,可製作出適當的 薄膜層。然而,當閘極長度減少,且因而使所需H s i減少 時,現有的製造方法將顯現嚴重的缺點。 一晶圓上的H s i値必須是一致的,以便產生具有一致 的特性之電晶體。例如,與HSi成正比的電晶體臨界電壓 -6- (3) 1297212 之變化不應超過大約10%。因此,決定Hsi的薄膜層厚度 ‘ 之變化不應超過10%。 • 將轉移層削薄以便得到薄膜層的方法可產生厚度大約 爲20奈米且厚度之變化不超過大約1〇%之一薄膜層。然 而,然而,這些方法無法產生較薄的薄膜層之所需一致性 。因此,製造SOI電晶體的現有方法無法產生閘極長度小 於大約5 0奈米之電晶體。此外,接合載體晶圓及轉移晶 圓的製程以及將轉移晶圓削薄到所需厚度的製程都是高成 本且難以控制的。 【發明內容】 本發明之實施例提供了一種在絕緣層上覆矽型電晶體 製造中完成一致的矽本體高度之方法。在一實施例中,在 一半導體基材之上配置一犧牲氧化物層。蝕刻該氧化物層 ,以便形成一溝槽。然後以一半導體材料塡滿該溝槽。然 Φ 後將該半導體材料平坦化至該氧化物層的其餘部分,然後 去除該氧化物層的其餘部分。因而露出的半導體鰭片具有 在一指定公差內之一致性高度。 【實施方式】 在下文的說明中,述及了許多特定的細節。然而,我 們當了解,可在無須這些特定細節的情形下實施本發明的 實施例。在其他的情形中,並未詳細示出一些習知的電路 、結構、及技術,以免模糊了對該說明的了解。 -7- (4) 1297212 在整份說明書中,提到“一個實施例”或“ 一實施例”時 、,意指參照該實施例所述的一特定的特徵、結構、或特性 -被包含在本發明的至少一個實施例中。因此,在整份說明 書的各部分出現“在一個實施例中,,或“在一實施例中”的詞 語時,並不必然都參照到相同的實施例。此外,可在一個 或多個實施例中以任何適當的方式結合該等特定的特徵、 結構、或特性。 | 此外,本發明的各觀點係小於所揭示的單一實施例之 所有特徵。因此,在實施方式之後申請專利範圍因而被明 確地包含在該實施方式中,其中每一申請專利範圍係以作 爲本發明之一各別的實施例之方式而獨立地呈現。 圖2示出根據本發明的一實施例而使矽本體高度Hsi 具有更佳的一致性之一製程。圖2所示之程序(200 )開 始於作業(205 ),其中在一基材層之上配置一溝槽層。 在一實施例中,可使用一化學汽相沈積(Chemical Vapor φ Deposition ;簡稱C V D )製程而在該基材層之上配置該溝 槽層。在一實施例中,該基材層是矽。在替代實施例中, 該基材層可以是諸如鍺(Ge )或砷化鎵(GaAs )等的另 一種半導體材料。在一實施例中,係根據該電晶體的閘極 長度之規格而決定溝槽層的厚度。亦即,係將溝槽層的厚 度選擇成等於一 Hsi値。 在作業(2 1 0 )中,去除該溝槽層的一些所選擇之部 分,因而形成若干溝槽。在一實施例中,該溝槽層是一種 可利用傳統的蝕刻製程而選擇性地蝕刻的材料。在各替代 -8- (5) 1297212 實施例中,該溝槽層可以是每一層具有不同材料的多層。 k 在該實施例中,該溝槽層的該等多層易受不同的蝕刻製程 ~ 之影響。 在作業(2 1 5 )中,以一半導體材料(例如矽)塡滿 在作業(2 1 0 )中形成的該等溝槽。在一實施例中,係利 用一選擇性磊晶製程而以磊晶矽塡滿該等溝槽。在一替代 實施例中,係以某一其他的方式塡滿該等溝槽。例如,可 • 利用一毯覆式沈積(blanket deposition)製程而以多晶砂 塡滿該等溝槽。 在作業(220 )中,去除過量的半導體材料。亦即, 去除用除用來塡滿溝槽的半導體材料中延伸到該溝槽層的 其餘部分的表面之上的半導體材料。在一實施例中,採用 化學機械硏磨(Chemical Mechanical Polishing ;簡稱 CMP )將半導體材料的表面平坦化。 在作業(225 )中,去除該溝槽層的其餘部分,而露 Φ 出半導體鰭片(亦即,塡滿該等溝槽的半導體材料)。在 一實施例中,該等半導體鰭片的高度之一致性爲具有小於 5 %的變化。 圖3 A-3G示出根據本發明的一實施例的一個三閘極電 晶體之製造。圖3A示出一砂基材(301)。在砂基材( 3 〇 1 )上配置一多層溝槽層。係由一第一氧化物(例如, 二氧化矽)層(302 )、一氮化物(例如,氮化矽)層( 3 0 3 )、及一第二氧化物(例如,二氧化矽)層(3 0 4 )構 成該溝槽層。最後,將由易於控制的該第二氧化物層之厚 -9- (6) 1297212 度決定該三閘極本體的厚度。 '圖3B示出施加一光阻罩幕層(3 0 5 )以界定該等電晶 β 體本體。光阻罩幕層(3 0 5 )決定了矽本體的寬度Wsi。 圖3C示出蝕刻該溝槽層以界定溝槽(3 06a )及( 3 06b)。在一實施例中,採用一系列的三種不同之乾式飩 刻製程。在該實施例中,使用一選擇性乾式蝕刻製程蝕刻 第二氧化物層(3 04 ),在該製程中,係將氮化物層(303 ·)用來作爲一鈾刻終止層。然後使用一不同的選擇性乾式 蝕刻製程蝕刻氮化物層(3 03 ),在該製程中,係將第一 氧化物層(3 02 )用來作爲一蝕刻終止層。最後,使用足 以選擇性地終止在矽基材(3 0 1 )的表面之一乾式蝕刻製 程來蝕刻第一氧化物層(3 02 )。 圖3D示出在剝除光阻層(3 05 )之後以矽(3 07 )塡 滿溝槽(3 06a )及(3 06b )。如前文所述,可利用其中包 括磊晶生長或多晶矽的毯覆式沈積的各種替代性方法而以 # 矽塡滿該等溝槽。 圖3 E示出將矽(3 0 7 )平坦化到第二氧化物層(3 0 4 )的高度。在一實施例中,係利用一 CMP製程完成該平 坦化。在一實施例中,係將硏磨製程用來去除第二氧化物 層(3 04 ),且係將氮化物層(3 03 )用來作爲一硏磨終止 層。在該實施例中,該硏磨對氧化物及氮化物具有高選擇 性。在一替代實施例中,係將第二氧化物層(3 04 )選擇 性地蝕刻到氮化物層(3 0 3 )。然後利用諸如磷酸等的一 溼式蝕刻製程蝕刻氮化物層(3 03 )在該製程中,係將第 -10- (7) 1297212 一氧化物層(3 02 )用來作爲一蝕刻終止層。 圖3 F示出在去除該溝槽層(例如,第二氧化物層( 3 04 )及氮化物層(3 03 ))之後露出的該三閘極電晶體之 矽本體。如圖3 F所示,可保留該溝槽層的一部分(例如 ,第一氧化物層(3 02 )),以便實現該電晶體的有利特 性,且將於下文中說明其中情形。形成閘極體的矽(3 07 )具有在一指定公差之內的一致性高度。在一實施例中, 圖3 G示出以形成一圍繞矽(3 0 7 )的閘極(3 0 8 )而 製造出之三閘極電晶體。閘極(3 0 8 )可以是諸如金屬或 此項技術中習知的另一種適當材料。 一般事項 本發明的實施例包含各種作業。於說明許多該等方法 時,係以該等方法的最基本形式進行說明,但是可在在不 脫離本發明基本範圍下,將一些作業增添到任何該等方法 ,或自任何該等方法中刪除一些作業。例如,在各替代實 施例中,可在基材上配置圖2的作業(2 0 5 )中所述之溝 槽層,且可如圖3 A所示而以多層構成該溝槽層。此外, 可保留該溝槽層的一部分,以便實現有利的特性。如圖 3F及3G所示,保留了該第一氧化物層的一部分,以便減 少電晶體中之邊界效應電容値(fringe capacitance)。 如前文所述,可以其中包括諸如多晶矽的毯覆式沈積 之一些方式儿以砂塡滿溝槽層中形成的溝槽。在使用多晶 矽的毯覆式沈積之一實施例中,在沈積之後,採用一退火 -11 - (8) 1297212 製程,以便將該矽退火成一單晶。 '雖然已參照數個實施例而說明了本發明,但是熟習此 -項技術者當可了解,本發明並不限於所述之實施例,而是 可在最後的申請專利範圍之精神及範圍下,以修改及改變 來實施本發明。因而應將本說明視爲舉例性而非限制性。 【圖式簡單說明】 U 可參照前文的說明及用來例示本發明的實施例之各附 圖,而對本發明有最佳的了解。該等圖式中: 圖1A-1D示出根據先前技術而製作一個三閘極S0I 電晶體的製程; 圖2示出根據本發明的一實施例而使矽本體高度Hsi 具有更佳的一致性之一製程;以及 圖3 A - 3 G不出根據本發明的一貫施例的一個二聞極電 晶體之製造。 【主要元件之符號說明】 1 〇 1 :載體晶圓 102 :絕緣體層 103 :轉移晶圓 104 :薄膜層 105 :矽本體 3 0 1 :矽基材 3 0 2 :第一氧化物層 -12- 1297212 Ο) 3 Ο 3 :氮化物層 3 04 :第二氧化物層 3 0 5 :光阻罩幕層 3 06a,3 06b :溝槽 3 07 :石夕 3 0 8 :鬧極

Claims (1)

1297212 十、申請專利範圍 - 附件2A: 第94101018號專利申請案 - 中文申請專利範圍替換本 民國96年10月26曰修正 1.一種半導體裝置製造方法,包含下列步驟: 在一半導體基材之上配置一溝槽層; 選擇性地去除該溝槽層的一部分,使該溝槽層的其餘 ® 部分形成一個或多個溝槽,而去除該溝槽層的該一部分將 露出該半導體基材; 在該等一個或多個溝槽內以磊晶方式生長一半導體材 料,以塡滿該等一個或多個溝槽; •自該等一個或多個溝槽去除任何過量的半導體材料; 以及 去除該溝槽層的一額外部分,以便露出被用來作爲一 個或多個半導體鰭片的該半導體材料。 % 2 ·如申請專利範圍第1項之方法,其中係由複數層構 成該溝槽層。 3·如申請專利範圍第2項之方法,其中該複數層包含 被配置在該半導體基材之上的一第一氧化物層、被配置在 該第一氧化物層之上的一氮化物層、以及被配置在該氮化 物層之上的一第二氧化物層。 4 ·如申請專利範圍第3項之方法,其中去除該溝槽層 的一額外部分之該步驟包含下列步驟:去除該第二氧化物 層的任何其餘部分及該氮化物層的任何其餘部分,並保留 1297212 該第一氧化物層之至少某一部分。 5.如申請專利範圍第1項之方法,其中該等一個或多 個溝槽具有大約1 0奈米的一深度。 6·如申請專利範圍第5項之方法,其中該等一個或多 個半導體鰭片具有一致性在5 %的公差之內的大約1 〇奈米 之一高度。 7.如申請專利範圍第1項之方法,其中自該等一個或 β 多個溝槽去除任何過量的半導體材料之該步驟包含下列步 驟:將該半導體材料平坦化至該溝槽層的一表面。 8·如申請專利範圍第7項之方法,其中係利用一化學 機械硏磨製程完成該平坦化,其係於去除該溝槽層的一額 外部分以便露出該半導體材料之前實行。 9·如申請專利範圍第1項之方法,其中以一半導體材 料塡滿該等一個或多個溝槽之該步驟包含下列步驟:執行 該半導體材料的一毯覆式沈積。
10· 一種積體電路裝置,包含: 一基材;以及 在該基材之上形成的一個或多個多閘極電晶體,每一 多閘極電晶體具有一半導體本體,其厚度係自該半導體本 體之機械平坦化頂表面至該基材測量所得,每一半導體本 體具有小於20奈米的一高度,每一半導體本體的該高度 係一致到在一指定高度的5%公差之內,且每一半導體本 體係相鄰於該基材上之一氧化物層,該氧化物層具有一沈 積厚度’其相等於該半導體本體厚度減去該半導體本體高 -2- 1297212 度。 1 1 ·如申請專利範圍第1 〇項之積體電路裝置,其中每 一半導體本體包含一磊晶半導體,其實質上具有與該基材 相同之晶體方向,該半導體本體係從該基材生長。 12.如申請專利範圍第11項之積體電路裝置,其中每 一半導體本體厚度係一致到大於該半導體高度公差之公差 之內。
13.—種半導體裝置製造方法,包含下列步驟: 在一半導體基材上配置一第一氧化物層; 在該第一氧化物層之上配置一氮化物層; 在該氮化物層之上配置一第二氧化物層; 選擇性地蝕刻該第一氧化物層、該第二氧化物層及該 氮化物層的一部分,以便界定露出該半導體基材之一個或 多個溝槽; 以一半導體材料塡滿該等一個或多個溝槽; 自該等一個或多個溝槽去除過量的半導體材料; 選擇性地從該氮化物層蝕刻該第二氧化物層的其餘部 分; 選擇性地蝕刻該氮化物層,以便形成一個或多個半導 體本體,該半導體本體高度係小於該第一氧化物層厚度加 上該氮化物層厚度加上該第二氧化物層厚度之總厚度;以 及 在該等一個或多個半導體本體之側壁上及在該第一氧 化物層上形成閘極本體。 -3- 1297212 1 4 ·如申請專利範圍第1 3項之方法,其中該等一個或 多個溝槽具有大約1 0奈米的一深度。 1 5 ·如申請專利範圍第1 3項之方法,其中該等一個或 多個半導體本體具有一致性在5%的公差之內的小於20奈 米之一高度。 1 6 ·如申請專利範圍第1 5項之方法,其中該等一個或 多個半導體本體具有大約10奈米之一高度。
1 7 ·如申請專利範圍第1 3項之方法,其中自該等一個 或多個溝槽去除任何過量的半導體材料之該步驟包含下列 步驟:將該半導體材料平坦化至該氮化物層的一表面。 1 8 ·如申請專利範圍第1 7項之方法,其中選擇性地蝕 刻該氮化物層進一步包含一溼式蝕刻製程,該溼式蝕刻製 程係終止於該第一氧化物層上。 19·如申請專利範圍第13項之方法,其中以一半導體 材' 料塡滿該等一個或多個溝槽之該步驟包含下列步驟:在 該等一個或多個溝槽內以磊晶方式生長該半導體材料。 20·如申請專利範圍第13項之方法,其中以一半導體 材*料塡滿該等一個或多個溝槽之該步驟包含下列步驟:執 行該半導體材料的一毯覆式沈積。 2 1 .如申請專利範圍第1 3項之方法,其中係由自包含 砂、鍺、及砷化鎵的一組材料中選出的一半導體材料構成 該半導體基材。 22·如申請專利範圍第13項之方法,其中係由矽構成 該半導體基材,係由二氧化矽構成該第一氧化物層,係由 -4- • 1297212 氮化矽構成該氮化物層,且係由二氧化矽構成該第二氧化 物層。
-5-
TW094101018A 2004-01-16 2005-01-13 Tri-gate transistors and methods to fabricate same TWI297212B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/760,028 US7268058B2 (en) 2004-01-16 2004-01-16 Tri-gate transistors and methods to fabricate same

Publications (2)

Publication Number Publication Date
TW200535933A TW200535933A (en) 2005-11-01
TWI297212B true TWI297212B (en) 2008-05-21

Family

ID=34749835

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094101018A TWI297212B (en) 2004-01-16 2005-01-13 Tri-gate transistors and methods to fabricate same

Country Status (6)

Country Link
US (2) US7268058B2 (zh)
EP (1) EP1704590A1 (zh)
JP (1) JP2007521667A (zh)
CN (1) CN100550324C (zh)
TW (1) TWI297212B (zh)
WO (1) WO2005071730A1 (zh)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
JP2007299991A (ja) * 2006-05-01 2007-11-15 Toshiba Corp 半導体装置及びその製造方法
US7354832B2 (en) * 2006-05-03 2008-04-08 Intel Corporation Tri-gate device with conformal PVD workfunction metal on its three-dimensional body and fabrication method thereof
KR100801078B1 (ko) * 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US8247850B2 (en) * 2007-01-04 2012-08-21 Freescale Semiconductor, Inc. Dual interlayer dielectric stressor integration with a sacrificial underlayer film stack
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
WO2009035746A2 (en) 2007-09-07 2009-03-19 Amberwave Systems Corporation Multi-junction solar cells
US8030163B2 (en) * 2007-12-26 2011-10-04 Intel Corporation Reducing external resistance of a multi-gate device using spacer processing techniques
US7763943B2 (en) * 2007-12-26 2010-07-27 Intel Corporation Reducing external resistance of a multi-gate device by incorporation of a partial metallic fin
US20090206404A1 (en) * 2008-02-15 2009-08-20 Ravi Pillarisetty Reducing external resistance of a multi-gate device by silicidation
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
US7884354B2 (en) * 2008-07-31 2011-02-08 Intel Corporation Germanium on insulator (GOI) semiconductor substrates
US7781283B2 (en) * 2008-08-15 2010-08-24 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US7979836B2 (en) * 2008-08-15 2011-07-12 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
CN102160145B (zh) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 通过外延层过成长的元件形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8184472B2 (en) * 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
JP5705207B2 (ja) 2009-04-02 2015-04-22 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. 結晶物質の非極性面から形成される装置とその製作方法
US8440998B2 (en) 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8313995B2 (en) 2011-01-13 2012-11-20 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
CN102956466B (zh) * 2011-08-26 2016-11-16 联华电子股份有限公司 鳍状晶体管与其制作方法
CN103137445B (zh) * 2011-12-05 2015-12-02 中芯国际集成电路制造(上海)有限公司 形成Finfet掺杂鳍状物的方法
CN103177948B (zh) * 2011-12-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的鳍部以及鳍式场效应管的形成方法
US9082746B2 (en) * 2012-01-16 2015-07-14 Infineon Technologies Austria Ag Method for forming self-aligned trench contacts of semiconductor components and a semiconductor component
US8865535B2 (en) 2012-04-13 2014-10-21 Sandisk Technologies Inc. Fabricating 3D non-volatile storage with transistor decoding structure
US20130302954A1 (en) * 2012-05-10 2013-11-14 Globalfoundries Inc. Methods of forming fins for a finfet device without performing a cmp process
CN103515234B (zh) * 2012-06-25 2016-12-21 中芯国际集成电路制造(上海)有限公司 形成FinFET的方法
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103578995B (zh) * 2012-07-27 2015-12-02 中芯国际集成电路制造(上海)有限公司 形成FinFET的方法
US8765563B2 (en) 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
CN103779210A (zh) * 2012-10-18 2014-05-07 中国科学院微电子研究所 FinFET鳍状结构的制造方法
CN103811324B (zh) * 2012-11-13 2016-08-31 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
CN104037085A (zh) * 2013-03-07 2014-09-10 中国科学院微电子研究所 半导体器件制造方法
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US9082788B2 (en) 2013-05-31 2015-07-14 Stmicroelectronics, Inc. Method of making a semiconductor device including an all around gate
US20140353716A1 (en) 2013-05-31 2014-12-04 Stmicroelectronics, Inc Method of making a semiconductor device using a dummy gate
US8987082B2 (en) 2013-05-31 2015-03-24 Stmicroelectronics, Inc. Method of making a semiconductor device using sacrificial fins
CN104347409B (zh) * 2013-07-24 2017-11-28 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9240420B2 (en) 2013-09-06 2016-01-19 Sandisk Technologies Inc. 3D non-volatile storage with wide band gap transistor decoder
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
CN103887177A (zh) * 2014-03-27 2014-06-25 上海集成电路研发中心有限公司 鳍式有源区的制备方法
US20150380258A1 (en) * 2014-06-25 2015-12-31 Stmicroelectronics, Inc. Method for controlling height of a fin structure
CN105428413B (zh) * 2014-07-24 2019-01-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
US9312143B1 (en) 2014-11-24 2016-04-12 International Business Machines Corporation Formation of isolation surrounding well implantation
US9530701B2 (en) 2014-12-18 2016-12-27 International Business Machines Corporation Method of forming semiconductor fins on SOI substrate
US20170018427A1 (en) * 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
US9397005B1 (en) 2015-07-20 2016-07-19 International Business Machines Corporation Dual-material mandrel for epitaxial crystal growth on silicon
CN107039519B (zh) * 2016-02-03 2019-12-03 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same

Family Cites Families (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
KR930003790B1 (ko) 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5278102A (en) * 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3202223B2 (ja) 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
JP2851968B2 (ja) * 1991-04-26 1999-01-27 キヤノン株式会社 改良された絶縁ゲート型トランジスタを有する半導体装置及びその製造方法
JPH05152293A (ja) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
US5405454A (en) * 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
JPH06177089A (ja) 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
KR960002088B1 (ko) * 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US6730549B1 (en) 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3460863B2 (ja) 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
JPH08125152A (ja) 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
US5728594A (en) * 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
JPH08204191A (ja) * 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5851882A (en) * 1996-05-06 1998-12-22 Micron Technology, Inc. ZPROM manufacture and design and methods for forming thin structures using spacers as an etching mask
JP3710880B2 (ja) * 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW556263B (en) * 1996-07-11 2003-10-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US5817560A (en) 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH10150185A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1140811A (ja) 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US5888309A (en) 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) * 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
DE60001601T2 (de) * 1999-06-18 2003-12-18 Lucent Technologies Inc Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
JP2001093972A (ja) * 1999-09-20 2001-04-06 Denso Corp 半導体装置の製造方法
US6259135B1 (en) * 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
JP4923318B2 (ja) * 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP3846706B2 (ja) 2000-02-23 2006-11-15 信越半導体株式会社 ウエーハ外周面取部の研磨方法及び研磨装置
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2810161B1 (fr) * 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002047034A (ja) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
JP2002118255A (ja) * 2000-07-31 2002-04-19 Toshiba Corp 半導体装置およびその製造方法
KR100338778B1 (ko) * 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
JP2002100762A (ja) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6716684B1 (en) 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6396108B1 (en) 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
JP4597479B2 (ja) 2000-11-22 2010-12-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6921947B2 (en) * 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6764965B2 (en) * 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
US6689650B2 (en) 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6967351B2 (en) * 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6610576B2 (en) 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) * 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
FR2838238B1 (fr) * 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6762469B2 (en) * 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
KR100477543B1 (ko) * 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
EP1387395B1 (en) * 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
JP2004071996A (ja) * 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6984585B2 (en) * 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) * 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
JP2004175866A (ja) 2002-11-26 2004-06-24 Nippon Kayaku Co Ltd 光ディスク用接着剤組成物、硬化物および物品
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6825506B2 (en) * 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US7728360B2 (en) * 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6686231B1 (en) 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
KR100487922B1 (ko) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
ATE467905T1 (de) 2002-12-20 2010-05-15 Ibm Integrierte anitfuse-struktur für finfet- und cmos-vorrichtungen
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6855606B2 (en) 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6716690B1 (en) 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
KR100607647B1 (ko) 2003-03-14 2006-08-23 주식회사 하이닉스반도체 반도체소자의 제조 방법
US6844238B2 (en) 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7013447B2 (en) * 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7172943B2 (en) 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US7183137B2 (en) 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7223679B2 (en) * 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7247578B2 (en) * 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7705345B2 (en) 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
US7224029B2 (en) * 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) * 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) * 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
KR100718159B1 (ko) * 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins

Also Published As

Publication number Publication date
US20070262389A1 (en) 2007-11-15
WO2005071730A1 (en) 2005-08-04
JP2007521667A (ja) 2007-08-02
EP1704590A1 (en) 2006-09-27
TW200535933A (en) 2005-11-01
CN100550324C (zh) 2009-10-14
US20050158970A1 (en) 2005-07-21
CN1930671A (zh) 2007-03-14
US7268058B2 (en) 2007-09-11

Similar Documents

Publication Publication Date Title
TWI297212B (en) Tri-gate transistors and methods to fabricate same
US8969965B2 (en) Fin-last replacement metal gate FinFET
US7800152B2 (en) Methods for manufacturing a finfet using a conventional wafer and apparatus manufactured therefrom
TWI380343B (en) Planar substrate devices integrated with finfets and method of manufacture
CN100407408C (zh) 混合晶向衬底上的高性能cmos soi器件
US7790528B2 (en) Dual substrate orientation or bulk on SOI integrations using oxidation for silicon epitaxy spacer formation
KR101638532B1 (ko) 트렌치 격리를 가지는 핀 반도체 디바이스들을 형성하는 방법
JP6251604B2 (ja) フィンfet構造を有する半導体装置及びその製造方法
JP5188672B2 (ja) 複合基板の製造方法
US10164041B1 (en) Method of forming gate-all-around (GAA) FinFET and GAA FinFET formed thereby
KR0178823B1 (ko) 반도체장치 및 그 제조방법
JP2001077321A (ja) ポリシリコン・マスクと化学機械研摩(cmp)平坦化を使用して2通りの異なるゲート誘電体厚を製作するためのプロセス
JP2006024950A (ja) ダブルゲート電界効果トランジスタとして用いられる層構造体、および、その製造方法
TWI787859B (zh) 用於在陶瓷基板上整合元素及複合半導體的方法及系統
JP7392242B2 (ja) ハイブリッド集積用の改良された基板を製造するためのプロセス
US20180301469A1 (en) Finfet devices with multiple channel lengths
US7060587B2 (en) Method for forming macropores in a layer and products obtained thereof
US7452781B2 (en) Method for manufacturing a semiconductor substrate, method for manufacturing a semiconductor device, and the semiconductor device
JP6031253B2 (ja) ハイブリッドmosfetデバイスの製造方法およびそれにより得られるハイブリッドmosfet
US20060145288A1 (en) Method of forming shallow trench isolation of semiconductor device
JP2006024940A (ja) 層配置および層配置の製造方法
US9379116B1 (en) Fabrication of a deep trench memory cell
CN107993932A (zh) 用于nfet和pfet器件的间隔物集成方案
JP3262434B2 (ja) 半導体装置の製造方法
JPH0992715A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees