CN103779210A - FinFET鳍状结构的制造方法 - Google Patents

FinFET鳍状结构的制造方法 Download PDF

Info

Publication number
CN103779210A
CN103779210A CN201210395585.2A CN201210395585A CN103779210A CN 103779210 A CN103779210 A CN 103779210A CN 201210395585 A CN201210395585 A CN 201210395585A CN 103779210 A CN103779210 A CN 103779210A
Authority
CN
China
Prior art keywords
medium layer
layer
fin structure
dielectric layer
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201210395585.2A
Other languages
English (en)
Inventor
尹海洲
蒋葳
朱慧珑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210395585.2A priority Critical patent/CN103779210A/zh
Priority to PCT/CN2012/083475 priority patent/WO2014059686A1/zh
Priority to US14/435,624 priority patent/US9343530B2/en
Publication of CN103779210A publication Critical patent/CN103779210A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种FinFET鳍状结构的制造方法,包括:提供衬底(200);形成第一介质层(210);形成第二介质层(220),所述第二介质层(220)与第一介质层相邻部分的材料与第一介质层(210)不同;形成贯穿所述第二介质层(220)和第一介质层(210)的开口(230),所述开口(230)部分暴露所述衬底;在所述开口(230)中填充半导体材料;去除第二介质层(220),形成鳍状结构(240)。本发明通过介质层的厚度来控制FinFET中鳍状结构的高度。利用不同材料之间的刻蚀选择性,可以很好的控制刻蚀停止,相比于时间控制可以更好的实现刻蚀均匀性。

Description

FinFET鳍状结构的制造方法
技术领域
本发明涉及半导体制造技术,尤其涉及一种FinFET鳍状结构的制造方法。
背景技术
随着半导体技术的发展,为了满足晶体管更快速的需求,需要较高的驱动电流。由于晶体管的驱动电流正比于晶体管的栅极宽度,为了提高驱动电流,需要较大的栅极宽度。然而,增加栅极宽度与半导体元件缩小化的需求互相冲突,于是发展出一种新型三维结构晶体管——鳍式场效应晶体管(FinFET)。在FinFET结构中,栅极形成在垂直衬底的鳍状结构上。通过栅极的控制,可以在鳍状结构两侧形成导电沟道。FinFET的优点包括抑制短沟道效应(SCE)、提高驱动电流以及降低泄漏电流。
目前FinFET在制造方面仍然存在许多问题。传统的工艺流程如图1(a)至图1(c)所示,包括:提供衬底;去除部分衬底形成鳍状结构;形成隔离鳍状结构的绝缘介质层。通常,形成隔离介质层的步骤包括:沉积绝缘介质层;进行化学机械抛光(CMP)停止在鳍状结构顶部;刻蚀部分绝缘介质层使鳍状结构暴露出一定高度。由于不存在刻蚀阻挡层,只能通过时间来控制刻蚀停止。硅片上不同位置的刻蚀速率存在一定差异,不同硅片的刻蚀速率也会存在一定差异,从而造成暴露出隔离介质层的鳍状结构的高度存在一定差异,这就直接影响了FinFET的栅极宽度,最终导致不同硅片上以及硅片上不同位置的器件性能存在一定差异,不利于器件的大规模集成和批量生产。
发明内容
本发明的目的是提供一种FinFET鳍状结构的制造方法,通过形成多层薄膜,并利用薄膜厚度来控制鳍状结构的高度,使暴露在绝缘层外部的鳍状结构的高度基本一致。
根据本发明的一个方面,提供一种FinFET鳍状结构的制造方法,该方法包括以下步骤:
a)提供衬底;
b)形成第一介质层;
c)形成第二介质层,所述第二介质层与第一介质层相邻部分的材料与第一介质层不同;
d)形成贯穿所述第二介质层和第一介质层的开口,所述开口部分暴露所述衬底;
e)在所述开口中填充半导体材料;
f)去除第二介质层,形成鳍状结构。
本发明通过形成第一介质层和第二介质层,并利用第二介质层的厚度来控制FinFET中鳍状结构的高度。由于形成薄膜的厚度比较均匀,并且厚度可以通过测量来控制。利用不同材料之间的刻蚀选择性,可以很好的控制刻蚀停止,相比于时间控制可以更好的实现刻蚀均匀性。采用上述方法形成的鳍状结构的高度基本一致,从而保证了不同硅片上以及硅片上不同位置的器件性能的一致性。
附图说明
通过阅读参照以下附图所作的对非限制性实施例所作的详细描述,本发明的其它特征、目的和优点将会变得更明显:
图1(a)至图1(c)为现有技术的制造FinFET鳍状结构的各个阶段的剖面示意图;
图2为根据本发明的FinFET鳍状结构制造方法的流程图;
图3(a)至图3(d)为根据本发明的一个优选实施例按照图2所示流程制造FinFET鳍状结构的各个阶段的剖面示意图。
附图中相同或相似的附图标记代表相同或相似的部件。
具体实施方式
下面详细描述本发明的实施例,所述实施例的示例在附图中示出。下面通过参考附图描述的实施例是示例性的,仅用于解释本发明,而不能解释为对本发明的限制。
下文的公开提供了许多不同的实施例或例子用来实现本发明的不同结构。为了简化本发明的公开,下文中对特定例子的部件和设置进行描述。当然,它们仅仅为示例,并且目的不在于限制本发明。此外,本发明可以在不同例子中重复参考数字和/或字母。这种重复是为了简化和清楚的目的,其本身不指示所讨论各种实施例和/或设置之间的关系。此外,本发明提供了各种特定的工艺和材料的例子,但是本领域技术人员可以意识到其他工艺的可应用性和/或其他材料的使用。应当注意,在附图中所图示的部件不一定按比例绘制。本发明省略了对公知组件和处理技术及工艺的描述以避免不必要地限制本发明。
下面,将结合图3(a)至图3(d)对图2中形成FinFET鳍状结构的方法进行具体地描述。
参考图2和图3(a),在步骤S101中,提供衬底200。
在本实施例中,衬底200包括硅衬底(例如硅晶片)。根据现有技术公知的设计要求(例如P型衬底或者N型衬底),衬底200可以包括各种掺杂配置。其他实施例中衬底200还可以包括其他基本半导体,例如锗。或者,衬底200可以包括化合物半导体(如Ⅲ-Ⅴ族材料),例如碳化硅、砷化镓、砷化铟。典型地,衬底200可以具有但不限于约几百微米的厚度,例如可以在400um-800um的厚度范围内。
参考图2和图3(a),在步骤S 102中,在衬底200上形成第一介质层210。所述第一介质层210可以通过化学气相沉淀(CVD)、等离子体增强CVD、高密度等离子体CVD、旋涂和/或其他合适的工艺等方法形成。所述第一介质层210的材料可以包括氧化硅、氮氧化硅及其组合,和/或其他合适的隔离材料。所述第一介质层210的厚度为最后形成的器件隔离介质层的厚度,其范围例如可以是100-500nm。
参考图2和图3(a),在步骤S 103中,在第一介质层210上形成第二介质层220,所述第二介质层220与第一介质层相邻部分的材料与第一介质层210不同。所述第二介质层220可以是单层或者多层结构。当第二介质层220为多层结构时,其最靠近第一介质层的子层的材料与下方的第一介质层的材料不同。所述第二介质层220的各层薄膜可以通过化学气相沉淀(CVD)、等离子体增强CVD、高密度等离子体CVD、旋涂和/或其他合适的工艺等方法形成。例如,如果第一介质层的材料是氧化硅,则所述第二介质层220可以包括三层薄膜,从下到上依次为氮化硅层、氧化硅层和氮化硅层。其中,所述氮化硅层的厚度可以为5-10nm,最上层氮化硅层可以作为之后填充半导体材料时进行化学机械抛光的阻挡层,最下层氮化硅层保证了刻蚀第二介质层时相对于下方的第一介质层的选择性。所述氧化硅层的厚度范围例如可以是100-500nm,用于控制要形成的鳍状结构的高度。或者,所述第二介质层220可以为单层氮化硅薄膜,既可以作为化学机械抛光的阻挡层,又可以保证刻蚀第二介质层时相对于下方的第一介质层的选择性。单层氮化硅薄膜厚度范围例如可以是100-500nm,用于控制要形成的鳍状结构的高度。
参考图2和图3(b),在步骤S 104中,形成贯穿所述第二介质层220和第一介质层210的开口230,所述开口230部分暴露所述衬底200。在本实施例中,先刻蚀第二介质层220,再刻蚀第一介质层210,直至暴露衬底200,形成开口230。刻蚀之前先在第二介质层220上覆盖一层光刻胶层(未示出),并曝光显影形成光刻胶图形,以光刻胶图形为掩膜采用等离子刻蚀等干法刻蚀,各向异性地刻蚀第二介质层220和第一介质层210。干法刻蚀工艺气体包括六氟化硫(SF6)、溴化氢(HBr)、碘化氢(HI)、氯、氩、氦、甲烷(及氯代甲烷)、乙炔、乙烯等碳的氢化物及其组合,和/或其他合适的材料。开口宽度为最后形成的鳍状结构的宽度,其范围例如可以是10-50nm。
参考图2和图3(c),在步骤S105中,在所述开口230中填充半导体材料。所述半导体材料可以为掺杂或非掺杂的单晶硅。在一个实施例中,填充所述开口230的方法可以为外延生长。可以在外延生长的同时进行原位掺杂,也可以在外延生长之后通过离子注入和退火的方式进行掺杂。对于NMOS,所述半导体材料可以为P型掺杂;对于PMOS,所述半导体材料可以为N型掺杂。在另一个实施例中,若衬底为单晶硅,可以在开口230中沉积非晶硅,然后通过退火工艺使非晶硅结晶从而形成单晶硅。退火可以采用包括快速退火、尖峰退火等其他合适的方法实施。填充所述半导体材料后,可以对所述半导体材料进行化学机械抛光(CMP),并停止在化学机械抛光阻挡层上,使所述半导体材料的上表面与第二介质层220的上表面齐平(本文件内,术语“齐平”意指两者之间的高度差在工艺误差允许的范围内)。
参考图2和图3(d),在步骤S106中,去除第二介质层220,形成鳍状结构240。可以使用湿法刻蚀和/或干法刻蚀的方式去除第二介质层220。湿法刻蚀工艺包括热磷酸(H3PO4)、稀释氢氟酸(DHF)或者其他合适刻蚀的溶液;干法刻蚀工艺包括六氟化硫(SF6)、溴化氢(HBr)、碘化氢(HI)、氯、氩、氦、甲烷(及氯代甲烷)、乙炔、乙烯等碳的氢化物及其组合,和/或其他合适的材料。由于第二介质层220与第一介质层相邻部分的材料与第一介质层210不同,因此可以选择具有高刻蚀选择性的刻蚀方法和/或刻蚀气体,使得刻蚀精确地停止在第一介质层的表面处。从而可以精确控制暴露出隔离介质层的鳍状结构的高度在整个晶圆表面的均匀性。
虽然关于示例实施例及其优点已经详细说明,应当理解在不脱离本发明的精神和所附权利要求限定的保护范围的情况下,可以对这些实施例进行各种变化、替换和修改。对于其他例子,本领域的普通技术人员应当容易理解在保持本发明保护范围内的同时,工艺步骤的次序可以变化。
此外,本发明的应用范围不局限于说明书中描述的特定实施例的工艺、机构、制造、物质组成、手段、方法及步骤。从本发明的公开内容,作为本领域的普通技术人员将容易地理解,对于目前已存在或者以后即将开发出的工艺、机构、制造、物质组成、手段、方法或步骤,其中它们执行与本发明描述的对应实施例大体相同的功能或者获得大体相同的结果,依照本发明可以对它们进行应用。因此,本发明所附权利要求旨在将这些工艺、机构、制造、物质组成、手段、方法或步骤包含在其保护范围内。

Claims (12)

1.一种FinFET鳍状结构的制造方法,该方法包括以下步骤:
a)提供衬底(200);
b)形成第一介质层(210);
c)形成第二介质层(220),所述第二介质层(220)与第一介质层相邻部分的材料与第一介质层(210)不同;
d)形成贯穿所述第二介质层(220)和第一介质层(210)的开口(230),所述开口(230)部分暴露所述衬底;
e)在所述开口(230)中填充半导体材料;
f)去除第二介质层(220),形成鳍状结构(240)。
2.根据权利要求1所述的方法,其中,在所述步骤b)中,所述第一介质层(210)的材料包括氧化硅。
3.根据权利要求1或2所述的方法,其中,所述第一介质层(210)的厚度为最后形成的器件隔离介质层的厚度,其范围是100-500nm。
4.根据权利要求1所述的方法,其中,在所述步骤c)中,所述第二介质层(220)的顶部包括化学机械抛光阻挡层。
5.根据权利要求1或4所述的方法,其中,所述第二介质层(220)包括三层薄膜,从下到上依次为氮化硅层、氧化硅层和氮化硅层。
6.根据权利要求5所述的方法,其中,所述氮化硅层的厚度为5-10nm。
7.根据权利要求5所述的方法,其中,所述氧化硅层的厚度为暴露出的鳍状结构的高度,其范围是100-500nm。
8.根据权利要求1所述的方法,其中,在所述步骤d)中,所述开口(230)的宽度为最后形成的鳍状结构的宽度,其范围是10-50nm。
9.根据权利要求1所述的方法,其中,在所述步骤e)中,所述半导体材料为掺杂或非掺杂的单晶硅。
10.根据权利要求1所述的方法,其中,在所述步骤e)中,填充半导体材料的方法为外延生长。
11.根据权利要求1所述的方法,其中,在所述步骤e)中,填充半导体材料的方法包括沉积非晶硅并退火形成单晶硅。
12.根据权利要求1、10或11所述的方法,其中,在所述步骤e)中,填充半导体材料之后还包括进行化学机械抛光。
CN201210395585.2A 2012-10-18 2012-10-18 FinFET鳍状结构的制造方法 Pending CN103779210A (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201210395585.2A CN103779210A (zh) 2012-10-18 2012-10-18 FinFET鳍状结构的制造方法
PCT/CN2012/083475 WO2014059686A1 (zh) 2012-10-18 2012-10-25 FinFET鳍状结构的制造方法
US14/435,624 US9343530B2 (en) 2012-10-18 2012-10-25 Method for manufacturing fin structure of finFET

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210395585.2A CN103779210A (zh) 2012-10-18 2012-10-18 FinFET鳍状结构的制造方法

Publications (1)

Publication Number Publication Date
CN103779210A true CN103779210A (zh) 2014-05-07

Family

ID=50487495

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210395585.2A Pending CN103779210A (zh) 2012-10-18 2012-10-18 FinFET鳍状结构的制造方法

Country Status (3)

Country Link
US (1) US9343530B2 (zh)
CN (1) CN103779210A (zh)
WO (1) WO2014059686A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107623035A (zh) * 2016-07-15 2018-01-23 中芯国际集成电路制造(北京)有限公司 一种半导体器件及制备方法、电子装置
CN111508845A (zh) * 2020-04-28 2020-08-07 上海华力集成电路制造有限公司 鳍体的制造方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150380258A1 (en) * 2014-06-25 2015-12-31 Stmicroelectronics, Inc. Method for controlling height of a fin structure
US10276380B2 (en) * 2014-10-17 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor device fabrication
US9412641B1 (en) * 2015-02-23 2016-08-09 International Business Machines Corporation FinFET having controlled dielectric region height
US11017999B2 (en) 2016-10-05 2021-05-25 International Business Machines Corporation Method and structure for forming bulk FinFET with uniform channel height
US10134760B2 (en) 2017-01-10 2018-11-20 International Business Machines Corporation FinFETs with various fin height
US10665514B2 (en) 2018-06-19 2020-05-26 International Business Machines Corporation Controlling active fin height of FinFET device using etch protection layer to prevent recess of isolation layer during gate oxide removal

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1930671A (zh) * 2004-01-16 2007-03-14 英特尔公司 三栅晶体管及其制造方法
US20090096055A1 (en) * 2007-10-16 2009-04-16 Texas Instruments Incorporated Method to form cmos circuits with sub 50nm sti structures using selective epitaxial silicon post sti etch
CN101452892A (zh) * 2007-12-06 2009-06-10 国际商业机器公司 鳍场效应晶体管器件结构的制造方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461888B1 (en) * 2001-06-14 2002-10-08 Institute Of Microelectronics Lateral polysilicon beam process
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
KR100528486B1 (ko) * 2004-04-12 2005-11-15 삼성전자주식회사 불휘발성 메모리 소자 및 그 형성 방법
KR100618861B1 (ko) * 2004-09-09 2006-08-31 삼성전자주식회사 로컬 리세스 채널 트랜지스터를 구비하는 반도체 소자 및그 제조 방법
KR100657969B1 (ko) * 2005-08-30 2006-12-14 삼성전자주식회사 한 쌍의 핀-타입 채널 영역들에 대응하는 단일 게이트전극을 갖는 반도체 소자의 제조 방법
KR100653536B1 (ko) 2005-12-29 2006-12-05 동부일렉트로닉스 주식회사 반도체 소자의 핀 전계효과 트랜지스터 제조방법
US8772858B2 (en) * 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US8237151B2 (en) * 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
KR20080099460A (ko) * 2007-05-09 2008-11-13 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855834B1 (ko) * 2007-05-25 2008-09-01 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
TW200917425A (en) * 2007-10-03 2009-04-16 Nanya Technology Corp FinFET-like elevated channel flash and manufacturing method thereof
US8546876B2 (en) * 2008-03-20 2013-10-01 Micron Technology, Inc. Systems and devices including multi-transistor cells and methods of using, making, and operating the same
DE102008030864B4 (de) * 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US8110466B2 (en) * 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US9087725B2 (en) * 2009-12-03 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin height and EPI height setting
US8507948B2 (en) * 2010-12-23 2013-08-13 Intel Corporation Junctionless accumulation-mode devices on prominent architectures, and methods of making same
US8753964B2 (en) 2011-01-27 2014-06-17 International Business Machines Corporation FinFET structure having fully silicided fin
US8236634B1 (en) * 2011-03-17 2012-08-07 International Business Machines Corporation Integration of fin-based devices and ETSOI devices
US8502279B2 (en) * 2011-05-16 2013-08-06 Globalfoundries Singapore Pte. Ltd. Nano-electro-mechanical system (NEMS) structures with actuatable semiconductor fin on bulk substrates
US8461008B2 (en) * 2011-08-15 2013-06-11 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits in bulk semiconductor substrates
US9196541B2 (en) * 2011-09-21 2015-11-24 Institute of Microelectronics, Chinese Academy of Sciences SRAM cell and method for manufacturing the same
CN103021854B (zh) * 2011-09-28 2015-09-16 中国科学院微电子研究所 制作鳍式场效应晶体管的方法以及由此形成的半导体结构
US8853781B2 (en) * 2011-12-16 2014-10-07 International Business Machines Corporation Rare-earth oxide isolated semiconductor fin
US8587077B2 (en) * 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts
US8629038B2 (en) * 2012-01-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with vertical fins and methods for forming the same
US8354320B1 (en) * 2012-02-09 2013-01-15 Globalfoundries Inc. Methods of controlling fin height of FinFET devices by performing a directional deposition process
US8809178B2 (en) * 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US8836016B2 (en) * 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
CN103531474B (zh) * 2012-07-02 2016-04-20 中国科学院微电子研究所 半导体器件制造方法
US8847281B2 (en) * 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US8841185B2 (en) * 2012-08-13 2014-09-23 International Business Machines Corporation High density bulk fin capacitor
US8841188B2 (en) * 2012-09-06 2014-09-23 International Business Machines Corporation Bulk finFET with controlled fin height and high-K liner
US8987093B2 (en) * 2012-09-20 2015-03-24 International Business Machines Corporation Multigate finFETs with epitaxially-grown merged source/drains
JP2014063897A (ja) * 2012-09-21 2014-04-10 Toshiba Corp 半導体装置の製造方法、アニール装置及びアニール方法
CN103730366B (zh) * 2012-10-16 2018-07-31 中国科学院微电子研究所 堆叠纳米线mos晶体管制作方法
CN103779226B (zh) * 2012-10-23 2016-08-10 中国科学院微电子研究所 准纳米线晶体管及其制造方法
CN103811342B (zh) * 2012-11-09 2017-08-25 中国科学院微电子研究所 鳍结构及其制造方法
CN103811345B (zh) * 2012-11-09 2016-08-03 中国科学院微电子研究所 半导体器件及其制造方法
CN103811346B (zh) * 2012-11-09 2017-03-01 中国科学院微电子研究所 半导体器件及其制造方法
CN103855009B (zh) * 2012-11-30 2017-06-13 中国科学院微电子研究所 鳍结构制造方法
CN103854965B (zh) * 2012-11-30 2017-03-01 中国科学院微电子研究所 平坦化处理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1930671A (zh) * 2004-01-16 2007-03-14 英特尔公司 三栅晶体管及其制造方法
US20090096055A1 (en) * 2007-10-16 2009-04-16 Texas Instruments Incorporated Method to form cmos circuits with sub 50nm sti structures using selective epitaxial silicon post sti etch
CN101452892A (zh) * 2007-12-06 2009-06-10 国际商业机器公司 鳍场效应晶体管器件结构的制造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107623035A (zh) * 2016-07-15 2018-01-23 中芯国际集成电路制造(北京)有限公司 一种半导体器件及制备方法、电子装置
CN111508845A (zh) * 2020-04-28 2020-08-07 上海华力集成电路制造有限公司 鳍体的制造方法
CN111508845B (zh) * 2020-04-28 2023-06-13 上海华力集成电路制造有限公司 鳍体的制造方法

Also Published As

Publication number Publication date
WO2014059686A1 (zh) 2014-04-24
US20150270341A1 (en) 2015-09-24
US9343530B2 (en) 2016-05-17

Similar Documents

Publication Publication Date Title
CN103779210A (zh) FinFET鳍状结构的制造方法
US10553716B2 (en) Formation of a bottom source-drain for vertical field-effect transistors
US9741716B1 (en) Forming vertical and horizontal field effect transistors on the same substrate
US8053299B2 (en) Method of fabrication of a FinFET element
US10236214B2 (en) Vertical transistor with variable gate length
US9236269B2 (en) Field effect transistor (FinFET) device with a planar block area to enable variable Fin pitch and width
US8476137B1 (en) Methods of FinFET height control
US8828839B2 (en) Methods for fabricating electrically-isolated finFET semiconductor devices
KR101348056B1 (ko) 반도체 디바이스의 변형 구조
US11239075B2 (en) Lattice-mismatched semiconductor substrates with defect reduction
TWI544553B (zh) 無鬆弛基板的n型金屬氧化物半導體及p型金屬氧化物半導體應變裝置及其製造方法
TWI660433B (zh) 藉由磊晶沉積形成鰭片
US10622441B2 (en) Semiconductor apparatus and manufacturing method for same
US20210125873A1 (en) Semiconductor device and fabrication method thereof
CN102751229A (zh) 浅沟槽隔离结构、其制作方法及基于该结构的器件
CN105551958B (zh) 晶体管的形成方法
US20160049401A1 (en) Hybrid contacts for commonly fabricated semiconductor devices using same metal
US9431528B2 (en) Lithographic stack excluding SiARC and method of using same
CN103632978B (zh) 半导体结构的形成方法
TWI711120B (zh) 非平面半導體元件、積體電路、鰭式場效應電晶體陣列
CN108807149A (zh) 一种纳米线沟道制作方法
CN103035711B (zh) 一种半导体结构及其制造方法
CN109671675B (zh) 半导体结构及其形成方法
US20230063984A1 (en) Semiconductor structure and related methods
CN100442477C (zh) 栅极长度不取决于光刻法的纵向栅极cmos

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140507