TWI278020B - Method for integrated circuit fabrication using pitch multiplication - Google Patents

Method for integrated circuit fabrication using pitch multiplication Download PDF

Info

Publication number
TWI278020B
TWI278020B TW094130195A TW94130195A TWI278020B TW I278020 B TWI278020 B TW I278020B TW 094130195 A TW094130195 A TW 094130195A TW 94130195 A TW94130195 A TW 94130195A TW I278020 B TWI278020 B TW I278020B
Authority
TW
Taiwan
Prior art keywords
layer
pattern
forming
photoresist
integrated circuit
Prior art date
Application number
TW094130195A
Other languages
English (en)
Other versions
TW200620408A (en
Inventor
Mirzafer K Abatchev
Gurtej Sandhu
Luan Tran
William T Rericha
Mark D Durcan
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200620408A publication Critical patent/TW200620408A/zh
Application granted granted Critical
Publication of TWI278020B publication Critical patent/TWI278020B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/95Multilayer mask including nonradiation sensitive layer

Description

1278020 九、發明說明: 【發明所屬之技術領域】 術 本發明一般相關於積體電路製造,尤其相關於光罩技 【先前技術】 許多因素,包括現代電子設備中對可攜性、計算能力、 ^隐谷$及能量效率的需求增加,造成積體電路的尺寸相 績減小。為促進此尺寸減小,組成特徵,如形成積體電蹲 的電氣裝置及互連線寬度,亦持續地減低。
例如在記憶體電路中,或如動態隨機存取記憶體 (dram)、靜態隨機存取記憶體(SR 趙等裝置+,降低特徵尺寸的趨勢是明顯的。料丨而)= 纟㈣㈣以彳,習知為記 :、:早70。在其最普通形式中,-記憶體單元通常由二電 矹衣置、、且成·一儲存電容器及一存取場效電晶 :單元係:可定址位置,其可儲存-位元(二進位數字: ml立70可通過該電晶體而寫至一單元,及藉由自參考 电極側感應該儲存電極上的帝 ……的’何而讀取。藉由降低組成的 二?:置及存取該等裝置的導線的尺寸,可降低用以合併 此寻特徵的記憬體梦番 r單元壯:、、、。此外’可藉由將更多記憶 亥4記憶體裝置中而增加儲存容量。 的Π尺:Γ持續減低對用以形成該等特徵的技術有更大 =門例如’通常使用微影將導線等特徵在-基板上定 圖案。間距的觀念可用以說明此等特徵的尺寸。間距定義 104585.doc 1278020 為一鄰接特徵中的-完全相同點間的距離。此等特徵通常 :::特徵間的間隔加以界定,該等間隔通常由絕緣體等 材料來填補。結果,間距可視為-特徵的寬度及用以分開 該特徵與一鄰接特徵的間隔寬度的總和 '然而,由二 及光或輕射波長等因素,微影技術各具有一最小間距,低 於孩間距,一特定微影技術無法可靠地形成特徵。因此, -微影技術的最小間距可限制特徵尺寸的減小。 門距加七係為使微影技術的性能延伸以超出其最小間 距而提出的-方法。此-方法在圖1A至1F中說明,且揭示 在頒予Lowfey等人的美國專利號5,328,81()中。參照至圖 1A,首先使用微影在用以覆蓋一耗材層2〇及一基板3、〇的二 ‘光阻層中形成數條線1〇構成的一圖案。如圖ΐβ所示,接著 猎由一蝕刻步驟(較佳為各向異性)將該圖案轉移到層Μ, 用以形成數個位置支架或心軸4〇。如圖lc所示,可剝除該 等光阻線1〇,且各向同性地餘刻該等心軸40,以增加鄰接 Φ 。軸4〇間的距離。如圖1D所示,一材料層50後續地在該等 心軸40之上沈積。如圖1E所示,接著藉由在一方向性間隔 層蝕刻中自該等水平表面70及80優先地蝕刻該間隔層^ 料,而在該等心軸4〇的數個侧邊上形成數個間隔層,即 延伸自數個另一材料側邊而延伸或原始形成的材料。如圖 1 F所示,接著移除其餘的心軸40,僅留下該等間隔層60, ”之作為疋圖案用的一光罩。因此,一已知間距先前包 括用以界定一特徵及一間隔的一圖案之處,今相同的寬度 包括由該等間隔層60界定的二特徵及二間隔。結果,有效 I04585.doc 1278020 降低-微影技術能達成的最小特徵尺寸。 應了解,雖然在上述範例中該間 間距減低傳統上稱為門跖处 、不、疋減丰,但此 大,,#得為間距力口倍",或更普遍稱為間距”增 ⑤即,傳統上間距,,增大”某倍數 距減低該倍數。本文中保留傳統的術語。、間 因為間隔層材料層5〇通常具有—單—厚度㈣參看⑸D 及叫,及因為間隔層6〇形成的數個特 到該厚度9〇,因此間距加倍通常僅產生卜办^吊對應 徵。然而,電路常利用不同尺寸的特徵::度的數個特 記憶體電路通常包含數個機存取 口己U體單7〇陣列及所謂”周邊,,中 的數個邏輯電路。在兮望涵& I路纟4陣列中’該等記憶體單元通常由 接,及在㈣邊中’該科線通常接觸相連接陣 列至!輯的數個緩衝塾。然而,如緩衝塾等周邊特徵可大 於5亥寻導線。此外’如電晶體等周邊電子裝置可大於該陣 J中的电子U。此外,即若周邊特徵可以該陣列相同的 =距形成’使用-單—光罩通常將不可能做到界定電路所 而的撓性’尤其若該等圖案侷限為可沿著圖案化光阻劑的 數個側壁形成的圖案。 為在周邊及在陣列形成圖案所建議的一些方法涉及,將 一圖案分開地|虫刻到一基板的陣列區域中及到該基板的周 邊中。因此,首先形成該陣列中的—圖案且使用—光罩將 該圖案轉移到該基板,及接著形成該周邊中的另一圖案且 使用另-光罩將該另-圖案分開地轉移至該基板。因為此 射法使用不同光罩在_基板上的不同位置形成數個圖 ^4585^00 1278020 η其能力!限’無法形成需要重疊圖案的特徵,諸 、田緩衝1*重豐-互連線時,且又必須用到—第三 以互連來”縫接”二個分開的圖案。此外,此一第三 於間距增大技術界定的精細特徵,相對於光罩對;二 甚至更大的挑戰。 早“將面臨 =此,需要形成不同尺寸特徵的方法,尤其在該等特徵 而要不同重疊圖案且特別配合間距增大之處。 , 【發明内容】 根據本發明的一槪冬,姐- ^ _ 心揭不一種用於半導體製程的方 的該方法包括提供-基板,其具有用以覆蓋在該基板上 的主光罩層,用以覆芸在兮主杏署思L 用LV卜 在°亥主先罩層上的-暫時層,及. 用以後盍在該暫時層上的一第一光 中开…丄 π ¥ 1阻層。在該第-光阻層 4-先阻圖案。在該暫時層中形成—第一圖案,盆且 有數個特徵,其衍生自該光阻圖案的數個特徵。在該第2 圖案的位準上方後續地形成一第二光阻層,及在該 =中形成另一光阻圖案。轉移該另一光阻圖案及該第— ::到該,光罩層’用以在該主光罩層中形成一混合圖 茶 通過该主光罩層中的混人ΒΙ安,、,占 U案以處理該基板。應了 L該基板可包括將通過該主光罩層處理的任一或任何材 根據本發明的另一概念,揭示_種形成積體電路的方 t。該方法包括提供—基板,及在該基板之上形成-非晶 奴層。在該第一非晶碳層之上形成—第—硬式光罩層。在 ㈣-硬式光罩層之上形成一暫時層’及在該暫時層之上 104585.doc 1278020 形成一第二硬式光罩層。 根據本發明的另一概念,揭示一種用於半導體製造的方 法。該方法包括,藉由間距增大而形成一第一圖案,及藉 由無間距增大的微影而分開地形成一第二圖案。轉移該等 第一及第二圖案到一光罩層,及通過該光罩層蝕刻一基 板。 根據本發明再一概念’揭示一種形成積體電路的方法。
該方法包括形成一光罩圖案,其中該光罩圖案的一第一部 分具有一第一間距,及該光罩圖案的一第二部分具有一第 二間距。該第一間距低於界定該第二圖案所用微影技術的 一最小間距。該方法亦包括通過該光罩圖案以蝕刻一基 板0 很像本發明的另一概念,揭示一種形成記憶體裝置的: 法。該方法包括在-第-碳層上方的-層中形成數個暫t 位置支架構成的一圖案。一層光罩材料在該等暫時位置 架的表面之上沈積,及接著自該記憶體裝置的數個水平| 面&擇性地移除該層光罩材料。相對於該光罩材料而選_ 性地移除該等暫時位置支架,以形成—光罩材料圖案,、: 對應到該記憶體裝置的一陣列區域中的數個特徵。’ 、根據本發明的再一概念’揭示—種製造積體電路的- :::該方法包括形成複數個心軸條。在各心轴條的數個; …成-間隔層。移除該等心轴條以形成數 間隔層構成的—圖案。在該等間隔層上方的 y 一光罩層,及在該光罩層中形成— 形』 ^ 轉移该圖案到: 104585.doc 1278020 該等間隔層相同的平面。 根據本發明的另—概念, 法。該方法包括在一基板 ;造積體電路的方 隔線,其中該光罩材料不门 光罩材料的複數個分 T /亢卓材枓不同於光阻 在該基板上方的—光可 影技術, 等分隔線下方的一非曰二:科中界定複數個特徵。在該 特徵。 非一”複製該等分隔線及該複數個 根據本發明的另一概念,揭示一 積體電路的方法。該方法包括提罩圖案以製造 條線。由—第—暫4罩材料的複數 -暫時材料。"二暫時二 ==一刻該第 擇性地蝕刻該第二暫時材 間的間隔。選 過該等間隔的選擇性㈣,而間隔。接著藉由通 、伴!·玍蝕亥J,而在該複數條 罩材料層中形成一圖案。 ”方的另-先 根據本發明的另-概念,揭示-種製造積體電路的方 的一第一及、 罩層,其在-部分製造積體電路 的弟及—乐二區域之上延伸。在+ 案。該圖案中的一部分的一最小特f二罩層中形成-圖 W j繁一 j特斂尺寸,該部分係對應 到该弟^域,約等於或小於該圖宰中另^ v Θ汁&少 Λ 口木中另一部分的一最小 特试尺寸的―丰’該另-部分係對應到該第二區域。 根據本發明的另—概念,揭示一 次 路。該部分形成積體電路包括 //成的積體電 該碳層的一位準上。該等間隔層具有約 1 00 nm或更小的一間距。 104585.doc •12- Ϊ278020 本^月的再一概念’揭示一種部分形成的積體電 #忒邛刀形成積體電路包括一基板,及一主光罩層,其 设意在基板上。該主光罩層由不同於光阻劑的一材料形 成。用以界定 弟一圖案的一光罩材料位於覆蓋該主光罩 白勺^^繁 、, 平面中。用以界定一第二圖案的一光可限定材 料位於覆盍該光罩材料的一第二平面中。 【實施方式】 〜、了形成不同尺寸特徵的問題之外,已發現間距加倍技 付在轉私間圖案到一基板時會有困難。尤其地,在轉移 圖案的-般方法中,間隔層及下基板兩者皆曝露 ::::先_卓基板材料。然而,應了解,儘管是以 餘刻劑亦會崎間隔層。因此,在轉移一圖 :的期間’間隔層可在完成圖案轉移前便由钱刻 3。此等困難因降低特徵尺寸的趨勢而加深,例如降低特 :尺寸在此等渠溝的寬度降低時越來越導致較高的縱橫 t 。加上製造不同特徵尺寸結構遭遇的困難,此轉 移限制使間距加倍原則庫用 、·案轉 有鑑於此等困難’本發明的 :困難 :私改善,及用於配合間距加倍以形成不同 = 该方法的一第一階段中,較佳 、铽。在 使用i放影及間距加ρ -:ι隔層圖案。此通常在該晶片的—區域中,例二= 體曰曰片的陣列中’形成同—尺寸的數個特徵。在一乂己: 段中,再執行微影,而在覆# 弟一階 隹设盍该間隔層圖案的— 該晶片的另一區域中’例如 θ中’在 體日日片的周邊中,形成 104585.doc 1278020 第一圖案。接I轉移該間隔層丨該第二圖案兩者到一下 主光罩層,其較佳可相對於一下基板優先地加以蝕刻。接 著在-單-步驟中,將該間隔層及第二圖案自該主光罩層 轉移到該下基板。因此,可形成用以形成不同尺寸特㈣ 數個圖案,该等特徵中有些低於定圖案所用微影技術的最 丨間距&此等圖案可成功地轉移到該下基板。 此外’因為該第二圖案原初在覆蓋該間隔層圖案的一層 上形成,因此該第二圖案可重疊該間隔層圖案。結果,可 有利地形成不同尺寸的番晶^ 電晶體等。 的重里特破,如導線及緩衝塾或周邊 較佳地,該主光罩層係直接覆蓋在上方的光罩層,且由 w③主要心通過該主光罩層在該基板一 例如餘刻)。尤其地’該主光罩層較佳由相對於間隔 層材料及基板材料兩者容許良好_選擇性的= 成,令間隔層圖案可有效地轉移到該材料上;令星 層在處理後可選擇性地移除,而不損害到基板;及使= 光罩以蝕刻該基板時,令該光罩 該基板。由^ 圖案可有效地轉移到 由於層㈣於各式各樣㈣,包 :、氮化㈣,具有絕佳敍刻選擇性, 較佳由碳形成,更佳是非晶碳。 先罩層 應了解,-基板可包括將通過該主光罩層處理的任 任何材料。因此,一基板可包括一單^ 或 _層,及層中具數個不心 或數層等。此等材料可包括半導體、絕緣體λ;體= I04585.doc 1278020 部:基板包括將製造的積體電路的數個結構或 亦應了解,將一圖宰白 及在該第二位準中形成位::r-第二位準涉 準上的數個特徵。例如,在第吊對應到邊弟-位 將遵循第-位準上數個線:::位:;數:^:徑通常 的位置將對應到第一位 +八他特欲 -位準到第二位準,特:::τ的… 如,錢刻化學及停件而精4形狀及尺寸可不同。例 .ρ '、牛疋,形成該轉移圖案的該等特徵 :尺寸及該等特徵間的相對間隔可相對於第一位準上的圖 案而增大或減小’但仍很像相同的初始"圖案"。 八:下將參照至附圖’其中從頭到尾相同數字表示相同部 刀應了解,圖2至16不必然按比例繪製。 雖然該等較佳實施例可在不同尺寸特徵在一基板上形成 的任何情況中發現靡用 兄應用在特別有利的數個實施例中,將 轉移到-基板的圖案的一部分藉由間距增大而形成,且該 =刀圖木具有-間距’其低於處理該基板所賴影技術的 最J間距。此外,雖然該等較佳實施例可用以形成任何積 體電路’但其特別有利地適於形成具電子裝置陣列的裝 置’'亥等陣列包括邏輯或閘極陣列及DRAM、ROM或快閃 $己憶體等揮發性及非揮發性記憶體裝置。在此類裳置中, 例如間距增大可用以在該等晶片的陣列區域中形成電晶體 閘,及導線,而傳統微影可用以在該等晶片的周邊形成接 點等較大特徵。附圖中說明製造一記憶體晶片期間的數個 I04585.doc 1278020 示範光罩步驟。 圖2 A以上視圖說明一部分製造的積體電路,或記憶體晶 片100。一中央區域1〇2(”陣列”)由一周邊區域1〇4(,,周邊,,〕 環繞。應了解,完成積體電路100的製造後,陣列1〇2通常 將稠密地佈滿導線及電晶體與電容器等電氣裝置。期望的 是,可使用間距增大在陣列102中形成數個特徵,將詳述 如下。另一方面,周邊1〇4可具有數個特徵,其大於陣列 1〇2中者。通常使用傳統微影,而非間距增大,以定出此 等特徵的w案,原因是位於周邊丨咐的邏輯電路的幾何 複雜度難以使用間距增大。此外,周邊中的一些裝置由於
電氣限制而需要較大的幾何圖案,因此用於此類裝置n 距增大比傳統微影不利。 參如至圖2B,提供_部分形成的積體電路⑽。在各種 層120至16G下方設置—基板m。將使基板U0定圖案以形 成各種特徵’及隸刻該等層12()至⑽以形成—光罩以用 於5亥圖案,將詳述如下。較佳根據本文中論及的各種圖案 形成及圖案轉移步驟的化學及製程條件要求的考量,而選 雜覆蓋基板m的數層的材料。因一最上層可選擇性 限定層120(其較佳可ώ w呈/ 从衫方法限定)與基板110間的數 層用以轉移衍生自哕可 T生自柯4擇性限定層120的-圖案到基板 @,’八因Λ較佳選擇可選擇性限定層120與基板110間的數 :7 °亥寻層在其钱刻期間可相對於其他曝露材料而選擇 '應了解’當—材料的㈣速率比周圍材料者至 …5倍’較佳約大10倍,更佳約大2〇倍,及最佳至少 104585.doc 1278020 、、勺大40仏時,即認為是選擇性地或優先地餘刻該材料。 在所示實施例中,可選擇性限定層12〇覆蓋在一第一硬 式光罩(或蝕刻停止)層13〇上,該蝕刻停止層覆蓋在一暫時 層140上,該暫時層覆蓋在一第二硬式光罩(或蝕刻停止)層 150上’该第二硬式光罩層覆蓋在一主光罩層160上,該主 光罩層覆盍在將通過一光罩處理(例如蝕刻)的基板11 〇上。 較佳依本文中所述蝕刻化學及製程條件的相容性而定,選 擇該等層的厚度。例如,當藉由選擇性地蝕刻該下層而將 一圖案從一上層轉移到一下層時,來自該兩層的材料皆移 除到某些程度。因此,該上層較佳夠厚,令其在蝕刻期間 不致蝕刻掉。 在所示實施例中,第一硬式光罩層13〇的厚度較佳在約 10至50 nm之間,更佳在約1〇至30 nm之間。暫時層14〇的 厚度較佳在約100至300 nm之間,更佳在約1〇〇至2〇〇 ^^之 間。第二硬式光罩層150的厚度較佳在約1〇至5〇 nm之間, 更佳在約20至40 nm之間,及主光罩層16〇的厚度較佳在約 100至lOOOnm之間,更佳在約1〇〇至5〇()11111之間。 參妝至圖2,可選擇性限定層120較佳由一光阻劑形成, 包括此蟄中習用的任何光阻劑。例如,該光阻劑可為與下 列系統相容的任何光阻劑·· 13.7 nm、157 nm、193 nm、 248 nm或3 65 nm波長系統、193 nm波長浸潤式系統,或電 子束微影系統。較佳光阻材料的範例包括,氟化氬(ArF) 感光阻劑,即適合與一 ArF光源配合使用的光阻劑,及氟 化氪(KrF)感光阻劑,即適合與一 KrF光源配合使用的光阻 104585.doc 17 1278020 劑。ArF光阻劑較佳與利用較短波長光(例如193則的微 影糸統配合使用。KrF光阻劑較佳與248⑽系統等較長波 長微影系統配合使用。在其他實施例中,層12G及任何後 縯抗敍層可由―抗!虫劑形成’該抗钱劑可藉由奈米轉印微 影以定圖f ’例如藉由使用一模型或機械作用力而 蝕劑定圖案。
第一硬式光罩層130的材料較佳包括氧化矽(Si〇2)、矽, 或一介電質抗反射塗層(DARC),如—飽切的氮氧化 矽口 DARC可藉由使光反射減到最小而增強解析度,因 此其可特別有利於形成圖案,其具有接近微影技術的解析 度極限的間距。應了解,光反射可降低微影可用以界定一 圖案邊緣的精確度。㉟了第一硬式光罩層130之外,可視 需要同樣地使用-底部抗反射塗層(B A R c)(未顯示)以控制 光反射。 暫時層140較佳由非晶碳形成,其相對於該等較佳硬式 光罩材料而提供極高的蝕刻選擇性。更佳地,該非晶碳係 问度透光的一透明碳形式,及其藉由可穿透用於此類對齊 的光波長而對光學對齊提供進一步改善。用以形成一高度 透月石反的沈積技術可參看Α· Helmb〇ld、D· 的著 作,薄固態膜283(1996),第196至203頁。 如同第一硬式光罩層130,第二硬式光罩層150較佳包括 一介電質抗反射塗層(DARC)(例如氮氧化矽)、氧化矽 (Sl〇2)或石夕。此外,可視需要使用一底部抗反射塗層 (BARC)(未顯示)以控制光反射。雖然該等第一及第二硬式 104585.doc -18· 1278020 光罩層130及150可由不同姑祖 '斗幵^成,但此等層較佳由相同 才料形成,以易於處理且使利用的不同蝕刻化學減至最 少::詳述如下。與暫時層14〇 一樣,主光罩層灣佳由 非晶妷形成,更佳是透明碳。 應了解’本文中論及的各種層可由熟諳此藝者習用的各 ^方法形成。例如’可制化學汽相沈積等各種汽相沈積 方法以形成該等硬式来置爲 ^ . 罩3。較仏地,一低溫化學汽相沈 積方法用以在光|e L i 罩層160之上沈積該等硬式光罩層或其他 任何材料,例如間隔層★ 層材枓(圖7),其中光罩層16〇由非晶 石夕形成。此類低溫沈積方法有利地防止該非晶碳層的化學 或物理性破裂。 可使用旋塗方法以形成該等光可限定層。此外,可藉由 使用氫碳化合物或此類化合物的混合物作為碳先質的化學 汽相沈積以形成數個非晶碳層。示範先質包括丙稀: 丁二稀及乙块。形成非晶碳層的 合適方法揭示在2003年6月3日頒予娜如等人的美國 專利號6,573,030 B1中。 '在根據該等較佳實施例及參照至圖3至9的方法的第一階 1又中,執行部分形成積體電路1〇〇的陣列中的間距增大。 在光可限定層120上形成一圖案,如圖3所示。例如可藉由 微:將光可限定層120定圖案…通過一±光罩將層: 曝路至輻射’及接著加以顯影。顯影後,彡留的光可限定 材料,即此例中的光阻劑,包括數條24, 間隔丨22。 ,、界疋數個 104585.doc -19· 1278020 如圖4所示,該等間隔ι22及光阻線124的寬度可改變成 一期望尺寸。例如,可藉由蝕刻該等光阻線124而使該等 間隔122加寬。較佳使用一各向同性蝕刻以蝕刻該等光阻 線124,如氧化硫電漿等,例如包括s〇2、〇2、乂及斛的一 電漿。較佳選擇該蝕刻的程度,令一最終形成的線具
有一寬度’其對應到將形成的該等間隔層的期望間隔,如 以下參照至圖8至16的討論所了解。有利地,&了形成該 等線124a之外,該等線比用以定出光可限定層12〇圖案的 微影技術所界定的特徵窄’此姓刻尚可使該等線124的邊 緣平順’目此提高該等線124的一致性。最終形成的光阻 線124及124a因此構成該等位置支架或心軸,其上將形成 數個間隔層175構成的一圖案(圖9)。在其他實施例中,可 藉由膨服該等線12 4到—期望尺寸而使該等間隔12 2間的間 隔變窄。例如’可在該等線124之上沈積額外材料,或使 該等線124起化學反應以形成具較大體積的—材料以增加 其尺寸。 較佳轉移(改良)光可限定層120的圖案到一材料層14〇, 其可耐得住間隔層材料沈積的製程條件,將詳述如下。除 了具有比光阻劑高的抗熱性夕卜,較佳選擇形成暫時層140 的材料?其可相對於該間隔層材料及下層而選擇性地移 除。如上述114〇較佳由非晶碳形成。因為用以蝕刻光 阻劑的較佳化學通常亦_當數量的非晶 學能夠相對於各式各揭从上丨 局 '各樣材料而以絕佳選擇性蝕刻非晶碳,
因此較佳選自此類姑M 、材枓的一硬式光罩層130分開該等層12〇 104585.doc -20- 1278020 人140。適合用於硬式光罩層n〇的材料例如包括daRc、 氣化石夕或氮化石夕,及石夕。 較佳轉移光可限定層120中的圖案到硬式光罩層13〇,如 圖5所示。雖然若硬式光罩層13〇是薄的,一濕蝕(各向同 ^生蝕刻)亦適合,但較佳使用如一使用氟碳電漿的蝕刻等 各向異性蝕刻以達成此轉移。較佳的氟碳電漿蝕刻化學可 包括 cf4、cfh3、CF2H2、CF3H等。 接著轉移該圖案到暫時層14〇,如圖6所示,較佳使用一 含s〇2電漿,例如—含8〇2、〇2及沿的電浆。有利地,該含 s〇2電漿可比蝕刻硬式光罩層13〇大2〇倍的速率,更佳是大 40倍的速率,蝕刻較佳暫時層140的碳。一合適含s〇2電漿 揭不在Abatchev等人的美國專利申請號1〇/931,772中,申 =日2004年8月31日,名稱為臨界尺寸控制。應了解,該 含s〇2電漿同時蝕刻暫時層14〇及移除光可限定層丨2〇。 如圖7所示,接下來較佳在硬式光罩層130及暫時層14〇 =上沈積—層間隔層材料17〇。較佳藉由化學汽相沈積或 私子層&積以沈積該間隔層材料。該間隔層材料可為能作 為光罩使用以轉移一圖案到下主光罩層16〇的任何材 料。該間隔層材料較佳:D可以良好階梯涵蓋範圍沈積; 2)可以暫日寸層14G相容的—低溫沈積;3)可相對於暫時層 刚及暫時層14GT方的任何層而選擇性餘刻。較佳材料包 括氮化矽及氧化石夕。 如圖8所不,接著間隔層170受到-各向異性蝕刻,用以 將間隔層材料自部分形成的積體電路1〇〇的數個水平表面 104585.doc 21 1278020 180移除。可使用氟碳電漿以執行此一蝕刻,其亦習知為 間隔層蝕刻,該氟碳電漿亦可有利地蝕刻硬式光罩層 130。接下來,例如可使用一含s〇2的電漿選擇性地移除非 晶碳層140。圖9顯示該非晶碳蝕刻後所留下數個間隔層 175構成的一圖案。因此,已達成部分形成積體電路1〇〇的 陣列中的間距增大,及在所示實施例中,該等間隔層的間 距為微影原初所形成光阻線124(圖3)的一半間距。應了
解,該等間隔層175通常遵循光可限定層120中原初形成的 圖案或線124的輪廓。 接下來,在根據該等較佳實施例的方法的一第二階段 中,在周邊104形成一第二圖案。為形成此第二圖案,如 圖10所不,該等間隔層175受到保護及形成另一光可限定 層220,以谷許第二圖案在周邊1〇4定圖案。藉由在該等間 隔層175之上形成一保護層2〇〇而保護該等間隔層。該 保護層200較佳至少與該等間隔層175—樣高,且厚度較佳 約100至500 nm,更佳約1〇〇至3〇〇 nm。接下來較佳在保^ 層200之上形成一硬式光罩層21〇,以協助將一圖案自光可 限定層220轉移到保護層2〇〇。較佳地,硬式光罩層21〇的 厚度約40至80nm,更佳約5〇至6〇11111。 、較佳由可相對於間隔層175而輕易選擇性移除的材料形 成保護層200。例如,保護層2〇〇可由一光阻劑形成,且可 與形成光可限定層12〇(圖2至5)所用者相同或不同的光阻 劑’光可限定層120可與形成*可限定層220(圖10)所用者 相同或不同的材料。更佳地,保護層2〇〇由非晶碳形成, 104585.doc -22- 1278020 非曰曰奴可相對於間隔層1 75以絕佳選擇性加以敍刻 在其他實施例中 及光可限定層220兩 略硬式光罩層21〇。 ’其中保護層200由可相對於間隔層175 者而選擇性钱刻的一材料形成,可省 例如’保護層2 0 0可由一底部抗反射塗 層( )开/成且直接在該B ARC上方形成一光阻劑。間 ^ 可由此對该BARC有良好蝕刻選擇性的一材料形 成’包括氮化矽或氧化石夕。
雖然可使用任何微影技術定圖案,但較佳使用與光可限 定層120定圖案所用相同的微影技術將光可限定層220定圖 案因此參知至圖i i,在光可限定層22〇中形成一圖案 230。雖然圖案177較佳具有一間距或解析度,其小於該微 影技術的最小間距或解析度,但圖案23〇較佳具有一間距 或解析度’其等於或大於該微影技術的最小間距或解析 度。應了解,在周邊1〇4的圖案23〇可用以形成數個緩衝 墊包日日體、區域互連等。亦應了解,雖然圖中繪成與圖 案177橫向地分開,但圖案⑽亦可重疊圖案177。因此, 此等圖案使用不同參考數字〇77及23〇)表示其原初在不同 步驟中形成。 接著轉移圖案230到數個間隔層175構成的圖案177相同 的位準。&圖12所示,相對於光可限定層22q而選擇性地 钱刻硬式光罩層210,較佳使用i碳電_刻等各向異性 蝕刻。或者,一濕蝕(各向同性蝕刻)亦可適用於適當薄的 硬式光罩層210。接著藉由如含s〇2電漿的蝕刻等另一各向 異性蝕刻將圖案230轉移到保護層2〇〇,如圖13所示。: 為 104585.doc -23 - 1278020 先則已移除覆蓋在間隔層175上的硬式光罩層21〇,因此此 蝕刻亦移除間隔層1 75周圍的保護層2〇〇,因此留下該等曝 露的間隔層175。 參妝至圖14及1 5,接著將圖案1 77及23 0向下轉移到主光 罩層1 60,其較佳包括對基板丨丨〇具良好蝕刻選擇性的一材 料,且反之亦然,以容許圖案177及23〇同時轉移到基板 110。因此,圖案丨77及230在主光軍層16〇中形成一混合圖 案。 為轉移到圖案177及230,首先蝕刻覆蓋在主光罩層16〇 上的硬式光罩層150(圖14)。較佳各向異性地蝕刻硬式光罩 層150,較佳使用氟碳電漿。或者,若硬式光罩層15〇較 薄’則可使用一各向同性钱刻。 接著各向異性地蝕刻主光罩層160,較佳使用一含s〇2電 漿,其可同時移除光可限定層200(圖15)。如上述,含s〇2 電漿相對於硬式光罩層150對主光罩層16〇的非晶碳具有絕 佳選擇性。因此,可在主光罩層160中形成一夠厚光罩, 用以稍後使用傳統蝕刻化學有效地轉移該光罩圖案到基板 110,且在完成該圖案轉移前不蝕刻掉主光罩層160。 既已轉移圖案177及230兩者到主光罩層16〇,接著可使 用層1 6 0作為一光罩以轉移該等圖案到基板丨丨〇,如圖16所 不。已知用於主光罩層160及基板11〇通常為全異材料(例 如分別是非晶碳及矽或矽化合物),可使用適合包括基板 "Ο的该-(或該等)材料的傳統蝕刻以輕易達成該圖案轉 私。例如,一包括含CF4、⑶^及/或_電㈣I碳㈣ 104585.doc -24- 1278020 可用以蝕刻氮化矽,— NF”SF6及/或CF4的電㈣=切,及—含驗、〜 技術者可輕易判定盆減枯了用㈣刻石夕。此外,熟習 板材料如導體等… 枓的適用蝕刻化學’該等基 例 " 匕舌鋁、過渡金屬及過渡金屬氮化物。 ’可使用說碳蝕刻以蝕刻一鋁基板。
―::解’基板11。包括數個不同材料層的地方,可使用 二=同化學’較佳是乾餘化學,以通過此等不同層連 :飯刻。亦應了解’依使用的一個或數個化學而定,可 刻该寺間隔層175及硬式光罩層15〇。然而,主光罩層 :〇的非晶碳可對傳統的敍刻化學有利地提供絕佳阻抗. ',,’尤其是用以姓刻含石夕材料的傳統钱刻化學。因此,主 光罩層160可有效地作為一光罩使用以通過複數個基板層 餘刻’或形成數個高縱橫比的渠溝。此外,間距加倍圖案 m及傳統微影技術形成的圖案23〇可在—單一㈣步驟中 同時轉移到基板110,或基板110的各個別層。 圖17A及17B顯不最終形成的結構。圖17A顯示積體電路 100的陣列部分’而圖17B顯示積體電路1〇〇的周邊(圖2至 16)。如上述,基板UG可為—材料或數個材料構成的任何 層,其中蝕刻有圖案177及23〇。基板11〇的組成例如可依 將形成的電氣裝置而定。因此,在圖17A及17B中,基板 110包括一 Si3N』li〇a、一多晶矽層 u〇b、一 8〇2層 , 及一矽層110d。數層構成的此一配置例如可有利地用於電 晶體的形成。 104585.doc -25- 1278020 請注意,該等㈣表面呈現特低的邊緣粗^此外,甚 至是以100 nm低間距拍到的圖片,陣列中形成的數個渠溝 亦顯示絕佳的一致性。有利地,達成此等結果時亦在周邊 中形成界定完善且平順的線,如圖17B所示。 應了解’根據㈣較佳實施例形成圖案提供許多優點。 2如’因為具不同尺寸特徵的多個圖案可在轉移到一基板 T先在-單一最後光罩層上合併,因此可輕易轉移數個重 疊圖案到該基板。因此,可輕易形成數個間距加倍特徵及 傳統微影技術形成的數個特徵以互相連接。此外,如圖 ΠΑ及17B中明顯看出,在同時達成特別且意料外地低的 線邊緣粗糙時,亦可形成數個特小的特徵。雖然不受理論 限制’ ^相信此類低線邊緣粗链係使用層140及⑽的結 果。相信是形成該等間隔層175及執行多個各向異性蝕 刻,將該等圖案177及230自暫時層⑽的位準轉移到主光 罩層160’接著轉移到基板11〇’有利地使形成圖案m及 230的該等特徵的表面平順。此外,本文中揭示的較佳非 晶碳㈣化學容許’相對於似彳層1似160等下層非晶碳 層的深度而使用薄的硬式光罩層,如層130及150。此有利 地減低對覆蓋在該等硬式光罩層上的數個層(例如數個光 阻層)完全相同的要求,且在同時確保主光罩層形成夠厚 先罩以耐得住後續基板敍刻時’亦減低對用以姓刻該等硬 式光罩層的化學過程的要求。 亦應了解,所示方法流程可有多種不同修改。例如,由 於數個間距增大圖奢· +丨 Ο水由%、,堯一心軸的數個間隔層形成,因 104585.doc -26- 1278020 此該等圖案通常形成封閉迴路。因此’使用該間距增大圖 本以开/成數個導線之處,較佳使用數個額外處理步驟以切 斷此等迴路的末端,令各迴路形成兩條個别、非連接的 線。 而且,雖然本文中討論的多種層的組合係_刻化學 條件的考量而選擇,但該多種不同硬式光罩層較佳 由相同的材料形成,如同該等主光罩層。有利地’此- 配置減低處理複雜度。 5』必此 此外’圖案1 7 7的間距可多於 , 了夕於兩倍。例如,藉由在間隔 g 75周圍形成數個間隔 基户土、, 接者私除該等間隔層1 75,接 者在先丽環繞該等間隔芦 侵 岸箄,^心在 的間隔層周圍形成數個間隔 層寻,尚可使圖案177的間距掸 示筘古土祖-; 曰大進一步間距增大的一 ”耗方法揭不在LoWery等人 外,錄炒可亡心L 國專利就5,328,810中。此 # % fί ^ ^ κ - 仏只靶例以形成間距增大及 得、、死U衫兩者界定的數個特徵 兩者皆間距增大,或可 、、,互圖案177及230可 ,.k 一有不同程度的間距增大。 此外,視需要可在主氺 ^ 230 运160上合併多於二的圖荦177 及230。在此類例子中 閒茶177 個額外光罩層。例如 :寺層140與160之間沈積數 硬式光罩層150上的_額夕;等圖案Μ及咖到覆蓋在 1 6所示的、香虫止 層’及接著可執行圖1 〇至 所不的一連串步驟以保 口芏 光可限定岸中形占缸回”荨層177及230,用以在一 π疋層中形成新圖案, 該額外光罩層較佳包括,_轉移该等圖案到基板110。 擇性钱刻的材料相對於硬式光罩層⑼而選 g,其在轉移到該額外光罩層 104585.doc -27- 1278020 後環繞該等圖案1 77及230。 而且,雖然通過多種不同光罩層”處理,,較佳涉及蝕剡一 下層,但通過該等光罩層處理可涉及使該等光罩層下方的 數層X到任何半導體製程。例如,處理可涉及通過該等光 罩層且在數個下層上執行離子植入、擴散摻雜、沈積或濕 蝕等。此外’言亥等光罩層可作為化學機械研磨(cM。的— 停止層或障壁層使用,或在該等光罩層上執行CMP以容許 該等光罩層的平面化及該等下層的蝕刻兩者。 因此,熟諳此藝者應了解,不背離本發明的範圍,上述 方法及、π構可作出其他多種省略、添加及修改。所有此類 你改及、交動思欲涵括在本發明如後附請求項界定的範 内。 【圖式簡單說明】 由較佳實施例的詳細說明及附圖應更了解本發明,該等 說明及附圖係用以說明,而非用以侷限本發明,及其中: 圖1A至1F以示意剖面側視圖說明數個部分形成導線, 其係根據一先前技藝間距加倍方法形成; 圖2A及2B根據本發明數個較佳實施例,以示意剖面上 視圖及側視圖說明一部分形成的記憶體裝置; 圖3根據本發明數個較佳實施例,說明圖2的部分形成纪 fe體裝置在該記憶體裝置的陣列中的一可選擇性限定層中 幵乂成數條線後的示意剖面側視圖; 圖4根據本發明數個較佳實施例,說明圖3的部分形成記 憶體裝置在加寬光阻線間的間隔後的示意剖面側視圖; 104585.doc -28- 1278020 圖5根據本發明數個較佳實施例,說明圖4的部分形成記 憶體裝置在通過-硬式光罩層蝕刻後的示意剖面側視圖; 圖6根據本發明數個較佳實施例,說明圖5的部分形成記 憶體裝置在自該光阻層轉移—圖案到—暫時層後的示意剖 面側視圖; 圖7根據本發明數個較佳實施例,說明圖6的部分形成記 憶體裝置在沈積-層間隔層材料後的示意剖面侧視圖;
圖8根據本發明數個較佳實施例,說明圖7的部分形成記 憶體裝置在-間隔層蝕刻後的示意剖面側視圖; 圖9根據本發明數個較佳實施例,說明,的部分形成記 憶體裝置在移除該暫時層的殘留部分而在該記憶體裝置的 陣列中留τ — Pa1隔層目案後的示意剖面側視圖; 圖10根據本發明數個較佳實施例,說明圖9的部分形成 記憶體裝置在以一可移除材料環繞該等間隔層,及在該等 間隔層之上形成—硬式光罩層及-可選擇性限定層後的示 意剖面側視圖; 圖11根據本發明數個較佳實施例,說明圖10的部分形成 記憶體裝置在該記憶體裝置的周邊中的該 定 中形成-圖案後的示意剖面側視圖; -層 圖12根據本發明數個較佳實施例,說明圖11的部分形成 記憶體裝置在通過該上硬式光罩層㈣後㈣意剖面側視 圖13根據本發明數個較佳 記憶體裝置在自該可選擇性 實施例,說明圖12的部分形成 限定層轉移該圖案到該等間隔 104585-doc •29- 1278020 層的相同位準後的示意剖面側視圖; 圖14根據本發明數個較佳實施例,說明圖丨3的部分形成 記憶體裝置在蝕刻該周邊中的圖案及該陣列中的間隔層圖 案到一下硬式光罩層後的示意剖面側視圖; 圖1 5根據本發明數個較佳實施例,說明圖14的部分形成 記憶體裝置在蝕刻該周邊中的圖案及該陣列中的間隔層圖 案到一主光罩層後的示意剖面側視圖; 圖16根據本發明數個較佳實施例,說明圖。的部分形成 記憶體裝置在#刻該周邊圖案及料列圖案到該基板後的 示意剖面側視圖;及 圖17A及17B以透過一掃描電子顯微鏡看到的顯微照 片°兒明一圖案分別蝕刻到根據本發明數個較佳實施例形 成的一部分形成記憶體裝置中的陣列及周邊中。 【主要元件符號說明】 10 線圖案 20 耗材層 30, 110 基板 40 心軸(位置支架) 50 間隔材料層 60 間隔層 70, 80,180 水平表面 100 積體電路 102 陣列 104 周邊 104585.doc -30- 1278020 110a Si3N4^ 110b 多晶矽層 110c Si02 層 llOd 矽層 120, 220 光可限定層 122 間隔 124, 124a 光阻線 130, 150, 210 硬式光罩層 140 暫時層 160 主光罩層 170 間隔層材料層 175 間隔層 177, 230 光罩圖案 200 保護材料 104585.doc -31 -

Claims (1)

1278020 十、申請專利範圍: 1 . 一種半V體製程之方法,包括·· 提供基板,其中一主光罩層覆蓋在該基板 -暫時層覆蓋在該主光罩層上,一/ 〜、寸 在該暫時層上,· 一 ^ 一弟一光阻層覆羞 在該第一光阻層中形成一光阻圖案;
在該暫時層中形成一第一圖案 個特徵係衍生自該光阻圖案之數個特徵f 一圖案之1 在該第一圖案之-位準上方形成-第丄光阻層; 在忒第二光阻層中形成另一光阻圖案,· /轉移該另-光阻圖案及該第一圖案至該主光罩 係用以在該主光罩層中形成—混合圖案;及 通過該主光罩層中之混合圖案以製程該基板。 2. 如請求们之方法,其中製程該基板包括藉由钱刻該遵 板而轉移該混合圖案至該基板。 3. 如請求項丨之方法,其中形成一光阻圖案及/或形成另一 光阻圖案包括執行電子束微影。 4·如印求項丨之方法,其中形成一光阻圖案及形成另一夭 阻圖案包括以具一波長之光執行微影,該波長光選自逢 13-7 nm、157 _、193 nm、248 nm或 365 nm波長光所翻 成之群組。 5·如請求項4之方法,其中該第一及該第二光阻層包括相 同光阻材料。 6*如請求項4之方法,其中形成該第一圖案尚包括藉由各 104585.doc 1278020 向同性地蝕刻該光阻劑 之寬度減至一期望寬度 將執行微影後殘留 <該光阻劑
如請求項6之方法,其中該第一 後殘留之數個光阻線之輪廓, 括: 圖案遵循各向 其中形成該第 同性餘刻 一圖案包 通過該光阻層蝕刻該暫時層; 之數個侧壁
蝕刻該暫時層後,在該暫時層之殘留部分 上形成數個間隔層;及 μ相對於該等間隔層而優先地移除暫時層材料,其中該 等間隔層形成該第一圖案。 ^ ^ 8·如明求項丨之方法,其中該暫時層包括非晶碳。 士明求項8之方法,其中該主光罩層包括非晶碳。 1〇·如明求項9之方法,其中數個硬式光罩層直接覆蓋在該 暫時層及該主光罩層上。 11.
如請求項10之方法,其中該等硬式光罩層包括一材料, 其選自由矽、二氧化矽,或一抗反射塗層材料所組成之 群組。 12·如請求項丨丨之方法,其中該抗反射塗層材料係一介電質 抗反射塗層。 女3求項1之方法,其中該暫時層包括一底部抗反射塗 層。 14·如睛求項丨3之方法,其中該第二光阻層直接接觸該底部 抗反射塗層,且覆蓋在該塗層上。 1 5 ·如晴求項1之方法,其中該基板係一絕緣體。 104585.doc 1278020 °月求項1 5之方法’其中製程該基板界定一記憶體裝置 陣列之數個導線。 I7· 一種形成一積體電路之方法,包括: 提供一基板; 在忒基板之上形成一非晶碳層; 在该第一非晶碳層之上形成一第一硬式光罩層; 在该第一硬式光罩層之上形成一暫時層;及 ^ ι 在該暫時層之上形成一第二硬式光罩層。 、明求項17之方法,其中形成—非晶碳層包括化學汽相 沈積。 如:求項17之方法’其中該暫時層包括非晶碳。 、—明求項19之方法’其中形成一第二非晶碳層包括化學 汽相沈積。 j长項17之方法,其中形成—第—硬式光罩層及形成 第一硬式光罩層包括化學汽相沈積。 • 米明:項17之方法’其中該第-硬式光罩層包括-材 枓’其選自由氧切、碎,或一介電質抗反射塗層所組 成之群組。 23·::明求項22之方法,其中該第二硬式光罩層包括一材 料其遠自由氧化石夕、石夕,或一介電質抗反射塗層所組 成之群組。 24·如明求項17之方法,其中該非晶碳層之厚度約100至 1 000 nm。 25· 士#求項17之方法,其中該第一硬式光罩層之厚度約w 104585.doc 1278020 至 5 0 nm 〇 之厚度約100至300 26.如請求項17之方法,其中該暫時層 nm 約10 27·如請求項17之方法’其中該 至50_。 冑式先罩層之厚度 28:=:17之方:’尚包括藉由奈米轉印而在該第二硬 3上方之-抗蝕層中沈積及形成_圖案。 29·如铂求項17之方法,尚 積-光阻層。 ^在以二硬式光罩層之上沈 定圖案以形成 3〇·如請求項29之方法,尚包括將該光阻層 光阻圖案。 改變該光阻圖案中之數 31.如請求項30之方法,其中尚包括 個開口之尺寸。 改變該等開口之尺寸包括使該 32_如請求項31之方法,其中 等開口之尺寸變窄。 33·如請求項3 1之方法,其中玢料私 〆、甲改變數個開口 同性地蝕刻該光阻圖案 寸匕括各向 34 5 乂形成一加寬光阻圖案。 •士明求項33之方法,尚包括 ^ . 轉私5亥加覓光阻圖牵5兮筮 二硬式光罩層。 口茶至,亥弟 35·如請求項34之方法,尚句杠純 〇包括轉移該加寬光阻R安=# ^ 時層。 見% 1且圖案至該暫 36·如請求項35之方法,尚句杠备 ,括在該暫時層之數個側辟上形 成數個間隔層,該暫時層 土上形 成。 …轉移該加寬光阻圖案而形 104585.doc 1278020 37. 38. 如請求項36之方法,尚包括相對於該等 移除該暫時層,以形成一間隔層圖案。 間隔層而優先地 39. 如請求項3 7之方法 硬式光罩層。 如請求項3 8之方法 碳層。 尚包括轉移該間隔層圖案至該第一 尚包括轉移該間隔層圖案至該非晶 尚包括轉移該間隔層圖案至該基 40·如請求項39之方法 板0
41 ·如請求項17之方法層0 其中该基板包括複數個不同材料 、數 42·如明求項41之方法,其中該複數層包括數個半導體 個絶緣體及/或數個導體。 43· —種半導體製造之方法,包括: 藉由間距增大而形成一第一圖案;
藉由無間距增大之微影而分開地形成一第二圖案; 轉移該等第一及第二圖案至一光罩層;及 通過該光罩層以蝕刻一基板。 44·如明求項43之方法,其中形成該第一圖案包括: 形成複數個心軸,其係由非晶碳所構成; 在该等心軸之上沈積一含矽材料之毯狀層;及 各向異性地蝕刻該毯狀層。 45·如請求項43之方法,其中藉由間距加倍而達成一第〜 也 π 圖 案之形成。 46·如請求項43之方法,其中分開地形成一第二圖案包括使 104585.doc 1278020 該第二圖案與該第—圖案重疊。 47·如請求項43之方法,1 中α亥基板包括複數個不同材 層。 4 8 ·如請求項4 7之方法,盆由 丨, /、中#刻一基板包括利用一不同钱 刻化學用於各該複數層。 49· 一種形成一積體電路之方法,包括: 形成一光罩圖案,其中該光罩圖案之一第一部分具有 一第一間距,及其中該光罩圖案之一第二部分具有一第 二間距’其中該第—間距低於界定該第二圖案之微影技 術之一最小間距;及 通過該光罩圖案以蝕刻一基板。 月长員49之方法,其中形成一光罩圖案包括將對應至 該第二部分之一區定圖案,及將對應至該第一部分之一 區分開地定圖案。
月求員5 〇之方法,其中使用一利用248 nm光之微影技 術以執行對應至該第二部分之一區之定圖案。 如巧求項50之方法,其中形成一光罩圖案包括蝕刻一非 晶碳層。 5 3 ·如請求工苜ς π 、之方法’其中餘刻一非晶碳層包括曝露該非 晶碳層至含S02電漿。 5 4 ·如請求jg c 、5 〇之方法,其中該基板包括數個絕緣及導電 層。 55.種形成一記憶體裝置之方法,包括·· 在· 山 兔層上方之一層中形成含數個暫時位置支架之一 104585.doc 1278020 圖案; 在該等暫時位置支牟 文木之數個表面之上沈積一層光罩材 料, 自數個水平表面選擇性地移除該光罩材料;及 才,寸於。亥光罩材料而選擇性地移除該等暫時位置支 架’以形成—光罩材料圖案,其對應至該記憶體裝置之 一陣列區域中之數個特徵。 、:长員55之方去’其中沈積-層光罩材料包括藉由一 低溫化學汽相沈積方法以沈積該光罩材料。 57.如請求項56之方法,苴中 ,、肀4先罩材料包括氮化矽或氧化 石夕。 5 8·如請求項57之方法,苴中 ,、中k擇性地移除該光罩材料包括 以一氟碳電漿蝕刻。 5 9 ·如請求項5 5之方法,其中 ^ ϋ亥寺暫時位置支架包括非晶 石厌° 60=請求項59之方法,其中選擇性地移除該等暫時位置支 木包括以一含二氧化硫之電漿蝕刻。 61·如請求項55之方法,其中— 要士+ 更式先罩層分開該等暫時位 置支架與該碳層。 Μ:請求項Μ之方法’尚包括轉移該光罩材料圖案至該碳 63. =: 62之方法,其中轉移該光罩材料圖案至該碳層 I括曝露該硬式光罩層至一說碳電漿中。 64. 如請求項63之方法,其中轉 邊^罩材料圖案至該碳層 1〇4585.d〇c 1278020 匕括後、、⑦地曝露該第二碳層至_含二氧化硫之電裝中。 65. 士明求項55之方法,其中該光罩材料圖案之一光罩材料 位置對應至該陣列中之數個導線之位置。 66· —種製造一積體電路之方法,包括·· 形成複數個心軸條; 在各心軸條之數個側壁上形成一間隔層; 移除°亥等心軸條以形成一圖案,其含有數個隔開之間 隔層;
67. 在該等間隔層上方之一平面中形成一光罩層; 在該光罩層中形成一圖案;及 轉移該圖案至與該等間隔層才目同之水平面。 如明求項66之方法’其中該等間隔層至少在垂直於該等 間隔層延伸之第-及第二分隔平面之間,W互相分隔 開、大致平行之關係延伸。 68. 如請求項66之方法’尚包括轉移該等間隔層形成之該 案及另圖案至該水平面下方之另一光罩層。 69. 如請求項66之Μ,其中該等心轴條具有L體上垂直 數個侧壁。 70.如π月求項69之方法,其中形成一間隔層包括在該等心 條之數個曝露表面上沈積一間隔層材料,及後續地自 等心轴條之該等側壁以外之數個表面選擇性地移除該 隔層材料。 71·如請求項7〇之方法’其中沈積-間隔層材料包括執行 化學汽相沈積或一原子層沈積方法。 I04585.doc 1278020 Μ::求項7°之方法,其中該間隔層材料包括氮切或氧 73. 如請亡項66之方法’其中形成-光罩層包括: 等移除材料環繞該等間隔層,其中可相對於該 ^ 4而選擇性蝕刻該可移除材料; f接,該層可移除材料上方形成一硬式光罩層;及 該等間隔層上方之該平面中开一 74. 如請求項73 先阻層。 執行微影。中在該光罩層中形成一圖案包括 76, 同之:二6:方法’其中轉移該圖案至與該等間隔層相 门之良千面包括各向異性地蝕刻非晶碳。 一種製造-積體電路之方法,包括: 反it提供一光罩材料製成之複數個分隔線, ^亥先罩材料不同於光阻劑; 中二㈣,在該基板上方之-光可限定層材料 肀將歿數個特徵定圖案;及 在該等分隔線下一曰 該複數個特徵。非日日敌層中複製該等分隔線及 77.如請求項76之方法, 伸之第-Μία 讀至少在以於該等線延 行之關係延伸。 互相刀“開、大致平 78·如請求項76之方法,苴 徵定圖案_之^、= Γ間距小於複數個特 用您械衫技術之一最小間距。 79·如請求項78夕tu 、之方法,其中將複數個特徵定圖案包括曝露 I04585.doc 1278020 光阻劑至具248 nm波長之光中。 80·如凊求項76之方法,其中該 二 之一陣列區域中,及j: n 上δ己憶體電路 體電路之一周邊中…數個特徵大體上在該記憶 81·如請求項76之方法’其中該等線由 而選擇性移除之一㈣◎ ^亥專先罩線 於哕福砉“丄 ’、匕衣’及其中該光可限定材料位 於&數個光罩線及該可移除材料上方。 82·如請求項76之方法, 括猎由各向異性地蝕刻該可移 除材枓而複製該可移除材料中之開口。 了移 8 3 ·如請求項7 6之方、、表 、 法,其中該等線包括氮化矽戋氧^# 84·—::成-光罩圖案以製造-積體電路之方法::: 棱供一第一光罩材料穿成之滿叙&^ 匕栝· 一暫時材料分開; 旻數條線’該等線由-第 選擇性地蝕刻該第一暫時材料; 以-第二暫時材料填滿該等線間之間隔; :擇性地敍刻該第二暫時材料以打開該等間隔.及 k過該等間隔以選擇性地蝕刻,其係 材料層中形成一圖案。 在另一光罩 85.如請求項84之方法,1 包括餘刻非晶碳。〃 t 银刻該第—暫時材料 求項85之方法,其中餘刻非晶 料至—含二氧化硫之電装中。 』、路及弟一材 87.如請求項85之方法,其中填滿 非晶碳。 、Λ、、” θ1之間隔包括沈積 104585.doc •10- !278〇2〇 如請求項85之方法 下層光阻劑。 89·如請求項88之方法 劑0 其中填滿該等線間之間隔包括沈積 其中該下層抗蝕劑係氟化氪光阻 90· 91. 如請求項85之方法,其中選擇性地㈣該第二材料包括 使用一含二氧化硫之電漿以執行一蝕刻。
°月求項85之方法’其中通過該間隔以選擇性地蝕刻 括執行一硬式光罩蝕刻,及接著使用一含二氧化硫之 漿以蝕刻一非晶碳層。 包 電 92. 93. 94. °月求項9 1之方法,尚包括通過該間隔蝕刻, 厌層下方之一基板中形成數個開口。 明求項92之方法,其中通過該間隔蝕刻以在 形成數個開口包括在一絕緣層中形成該等開口 種製造一積體電路之方法,包括: 用以在該 一基板上
提供一光罩層,其在一部分製造積體電路之—第—及 苐一區域之上延伸;及 ^在該光罩層中形成一圖案,其中該圖案之一部分之一 最小特徵尺寸,該部分對應至該第—區域,約等於或小 於該圖案中另一部分之一最小特徵尺寸之一半,該另— 部分對應至該第二區域。 95. 如請求項94之方法,其中該光罩層包括非晶碳。 96. 如請求項94之方法,其中該積體電路係一記憶體裝置, 其中該第一區域對應至該記憶體裝置之陣列,及其中节 第二區域對應至該記憶體裝置之周邊。 104585.doc -11 - 1278020 97·如請求項94之方法,其中在該光罩層中形成-圖案包括 執打間距增大以用於該第一區域上方之該圖案之數個部 分’及執行無間距增大之微影以用於該第二區域上方之 該圖案之數個部分。 98. —種部分形成之積體電路,包括·· 一碳層;及 複數個間距增大間隔層,其係用以覆蓋該碳層之一位 準 八中°亥荨間隔層具有約100 nm或更小之一間距。 99. 如請求項98之部分形成積體電路,其中該等間隔層至少 在垂直於該等條狀物而延伸之第一與第二分隔平面之 間,以互相分隔開、大致平行之關係延伸。 100. 如請求項98之部分形成積體電路,其中該碳層包括非晶 碳。 101·如請求項98之部分形成積體電路,其中各該複數個間隔 層包括氮化矽或氧化矽。 肌如請求項98之部分形成積體電路,其中該非晶碳層之厚 度約在1〇〇至1000 nm之間。 103. 如請求項98之部分形成積體電路,其中一硬式光罩層分 開该非晶碳層與該複數個間隔層。 104. 如睛求項103之部分形成積體電路,其中該硬式光罩層 之厚度約在1 〇至5 0 nm之間。 105. 如請求項103之部分形成積體電路,其中該等間隔層大 體上位在該部分形成積體電路之一陣列區域。 106·如請求項105之部分形成積體電路,尚包括一圖案,其 104585.doc 12 1278020 由覆蓋在該硬式光罩層上之碳材料所界定。 項106之部分形成積體電路,其中由碳材料所界 案大體上位在該部分形成積體電路之-周邊。 種°卩分形成之積體電路,包括·· 一基板; ::光罩層’其覆蓋在該基板上,該主光罩層 於先阻劑之一材料所形成; 先罩材料,其界定覆蓋在該主光罩層上之—第 面中之一第一圖案;及 -光可限定材料,其界定覆蓋在該光 二平面中之一第二圖案。 之—弟 败如請求物之部分形成積體電路… ⑽二二於該光罩材料而選擇性移除之材料環繞;抖由 r項1〇9之部分形成積體電路 除材料包括一下層抗等 U選擇性移 其中該下層抗蝕劑 其中該可選擇性移 其中該主光罩層係 其中一硬式光罩層 女°月求項110之部分形成積體電路 包括氟化氪光阻劑。 女°月求項109之部分形成積體電路 除材料包括非晶碳。 士明求項108之部分形成積體電路 一非晶碳層。 %求項11 3之部分形成積體電路 77開该非晶碳層與該光罩材料。 115·如請求項m “形成積體電路’其中該先罩材料包 川4585.doc -13- 1278020 括一含矽材料。 ιΐ6·如請求項115之部分形成積體電路,其中該光罩材 氮化石夕或氧化石夕。 口人 117·如請求項115之部分形成積體電路,其中該光可限定材 料係一光阻劑。 118·如請求項117之部分形成積體電路,其中該光阻劑係與 氟化鼠、II化氬,或157 nm波長微影系統或19 3 nm波長 浸潤式系統相容之一光阻劑。 119•如請求項108之部分形成積體電路,其中一基板位於該 主光罩層之下。 120·如晴求項1〇8之部分形成積體電路,其中該基板係可導 電。 121·如睛求項1〇8之部分形成積體電路,其中該基板包括複 數個不同材料層。
104585.doc 14-
TW094130195A 2004-09-02 2005-09-02 Method for integrated circuit fabrication using pitch multiplication TWI278020B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/934,778 US7115525B2 (en) 2004-09-02 2004-09-02 Method for integrated circuit fabrication using pitch multiplication

Publications (2)

Publication Number Publication Date
TW200620408A TW200620408A (en) 2006-06-16
TWI278020B true TWI278020B (en) 2007-04-01

Family

ID=35943911

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094130195A TWI278020B (en) 2004-09-02 2005-09-02 Method for integrated circuit fabrication using pitch multiplication

Country Status (8)

Country Link
US (5) US7115525B2 (zh)
EP (2) EP1789997A2 (zh)
JP (1) JP4945802B2 (zh)
KR (1) KR100879499B1 (zh)
CN (1) CN101044596A (zh)
SG (1) SG140614A1 (zh)
TW (1) TWI278020B (zh)
WO (1) WO2006026699A2 (zh)

Families Citing this family (400)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7259023B2 (en) * 2004-09-10 2007-08-21 Intel Corporation Forming phase change memory arrays
JP2006186562A (ja) * 2004-12-27 2006-07-13 Sanyo Electric Co Ltd ビデオ信号処理装置
WO2006070474A1 (ja) * 2004-12-28 2006-07-06 Spansion Llc 半導体装置の製造方法
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640640B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
US20080048340A1 (en) * 2006-03-06 2008-02-28 Samsung Electronics Co., Ltd. Semiconductor device having fine pattern wiring lines integrally formed with contact plug and method of manufacturing same
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7786512B2 (en) 2005-07-18 2010-08-31 Saifun Semiconductors Ltd. Dense non-volatile memory array and method of fabrication
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7322138B2 (en) * 2005-08-31 2008-01-29 Southern Imperial, Inc. Shelf edge sign holder
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US20070085152A1 (en) * 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US20070120180A1 (en) * 2005-11-25 2007-05-31 Boaz Eitan Transition areas for dense memory arrays
US7910289B2 (en) * 2006-01-06 2011-03-22 Texas Instruments Incorporated Use of dual mask processing of different composition such as inorganic/organic to enable a single poly etch using a two-print-two-etch approach
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7892982B2 (en) * 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
US7998874B2 (en) * 2006-03-06 2011-08-16 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US7662721B2 (en) * 2006-03-15 2010-02-16 Infineon Technologies Ag Hard mask layer stack and a method of patterning
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7407890B2 (en) * 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7704680B2 (en) * 2006-06-08 2010-04-27 Advanced Micro Devices, Inc. Double exposure technology using high etching selectivity
KR100843948B1 (ko) * 2006-07-10 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
KR100823706B1 (ko) * 2006-07-21 2008-04-21 삼성전자주식회사 반도체 장치의 신호 라인 구조물 및 이를 제조하는 방법
KR20080012055A (ko) * 2006-08-02 2008-02-11 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR20080022375A (ko) * 2006-09-06 2008-03-11 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7959818B2 (en) * 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7790357B2 (en) * 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
KR100752674B1 (ko) * 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US7772126B2 (en) * 2006-10-19 2010-08-10 Qimonda Ag Hard mask arrangement, contact arrangement and methods of patterning a substrate and manufacturing a contact arrangement
KR100898678B1 (ko) * 2006-10-31 2009-05-22 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US7808053B2 (en) * 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
WO2008089153A2 (en) * 2007-01-15 2008-07-24 Sandisk Corporation Methods of forming spacer patterns using assist layer for high density semiconductor devices
US7592225B2 (en) * 2007-01-15 2009-09-22 Sandisk Corporation Methods of forming spacer patterns using assist layer for high density semiconductor devices
US7773403B2 (en) * 2007-01-15 2010-08-10 Sandisk Corporation Spacer patterns using assist layer for high density semiconductor devices
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
KR100817088B1 (ko) * 2007-02-16 2008-03-26 삼성전자주식회사 다마신 공정을 이용한 반도체 소자의 미세 금속 배선 패턴형성 방법
KR100817090B1 (ko) * 2007-02-28 2008-03-26 삼성전자주식회사 반도체 소자의 제조 방법
US8072601B2 (en) * 2007-02-28 2011-12-06 Kabushiki Kaisha Toshiba Pattern monitor mark and monitoring method suitable for micropattern
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100842763B1 (ko) 2007-03-19 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7659166B2 (en) * 2007-04-11 2010-02-09 Globalfoundries Inc. Integration approach to form the core floating gate for flash memory using an amorphous carbon hard mask and ArF lithography
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
KR101368544B1 (ko) 2007-05-14 2014-02-27 마이크론 테크놀로지, 인크. 간이화한 피치 더블링 프로세스 플로우
US7794614B2 (en) * 2007-05-29 2010-09-14 Qimonda Ag Methods for generating sublithographic structures
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US20090017631A1 (en) * 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
US7846849B2 (en) * 2007-06-01 2010-12-07 Applied Materials, Inc. Frequency tripling using spacer mask having interposed regions
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
WO2008157068A2 (en) * 2007-06-15 2008-12-24 Applied Materials, Inc. Oxygen sacvd to form sacrificial oxide liners in substrate gaps
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US7985681B2 (en) * 2007-06-22 2011-07-26 Micron Technology, Inc. Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
KR100842753B1 (ko) * 2007-06-29 2008-07-01 주식회사 하이닉스반도체 스페이서를 이용한 반도체소자의 패턴 형성방법
KR100876892B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US20090035902A1 (en) * 2007-07-31 2009-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated method of fabricating a memory device with reduced pitch
US8481417B2 (en) * 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US7759242B2 (en) * 2007-08-22 2010-07-20 Qimonda Ag Method of fabricating an integrated circuit
US8021933B2 (en) * 2007-08-29 2011-09-20 Qimonda Ag Integrated circuit including structures arranged at different densities and method of forming the same
KR100905157B1 (ko) * 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP5671202B2 (ja) * 2007-10-26 2015-02-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フォトレジストテンプレートマスクを用いて頻度を倍にする方法
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
KR100874433B1 (ko) * 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US20090127722A1 (en) * 2007-11-20 2009-05-21 Christoph Noelscher Method for Processing a Spacer Structure, Method of Manufacturing an Integrated Circuit, Semiconductor Device and Intermediate Structure with at Least One Spacer Structure
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
KR101192359B1 (ko) 2007-12-17 2012-10-18 삼성전자주식회사 Nand 플래시 메모리 소자 및 그 제조 방법
US7846812B2 (en) 2007-12-18 2010-12-07 Micron Technology, Inc. Methods of forming trench isolation and methods of forming floating gate transistors
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR101024712B1 (ko) * 2007-12-20 2011-03-24 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US8685627B2 (en) 2007-12-20 2014-04-01 Hynix Semiconductor Inc. Method for manufacturing a semiconductor device
KR100919349B1 (ko) * 2007-12-27 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100966976B1 (ko) * 2007-12-28 2010-06-30 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8304174B2 (en) * 2007-12-28 2012-11-06 Hynix Semiconductor Inc. Method for fabricating semiconductor device
KR100919366B1 (ko) * 2007-12-28 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8029688B2 (en) * 2008-01-07 2011-10-04 Samsung Electronics Co., Ltd. Method of fine patterning semiconductor device
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7648898B2 (en) * 2008-02-19 2010-01-19 Dsm Solutions, Inc. Method to fabricate gate electrodes
US8425982B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
KR101448854B1 (ko) 2008-03-28 2014-10-14 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8274777B2 (en) 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US20090256221A1 (en) * 2008-04-11 2009-10-15 Len Mei Method for making very small isolated dots on substrates
KR20090110172A (ko) * 2008-04-17 2009-10-21 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
JP5123059B2 (ja) * 2008-06-09 2013-01-16 株式会社東芝 半導体装置の製造方法
US8404600B2 (en) * 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
KR101468028B1 (ko) * 2008-06-17 2014-12-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
KR101515907B1 (ko) * 2008-10-23 2015-04-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR101540083B1 (ko) 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP4719910B2 (ja) * 2008-11-26 2011-07-06 国立大学法人東北大学 半導体装置の製造方法
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
KR101565796B1 (ko) * 2008-12-24 2015-11-06 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
KR101063727B1 (ko) 2009-01-22 2011-09-07 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
KR20100104861A (ko) * 2009-03-19 2010-09-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US20100267237A1 (en) * 2009-04-20 2010-10-21 Advanced Micro Devices, Inc. Methods for fabricating finfet semiconductor devices using ashable sacrificial mandrels
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8293656B2 (en) * 2009-05-22 2012-10-23 Applied Materials, Inc. Selective self-aligned double patterning of regions in an integrated circuit device
US7972926B2 (en) * 2009-07-02 2011-07-05 Micron Technology, Inc. Methods of forming memory cells; and methods of forming vertical structures
KR101096907B1 (ko) * 2009-10-05 2011-12-22 주식회사 하이닉스반도체 반도체 소자 및 그 형성방법
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
KR101098062B1 (ko) * 2009-11-05 2011-12-26 주식회사 하이닉스반도체 반도체 소자의 형성방법
US8003482B2 (en) * 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
KR20110087976A (ko) * 2010-01-28 2011-08-03 삼성전자주식회사 반도체 소자용 배선 구조물의 형성방법 및 이를 이용하는 비휘발성 메모리 소자의 제조방법
US8518757B2 (en) * 2010-02-18 2013-08-27 International Business Machines Corporation Method of fabricating strained semiconductor structures from silicon-on-insulator (SOI)
US8492278B2 (en) 2010-03-30 2013-07-23 Micron Technology, Inc. Method of forming a plurality of spaced features
KR101159954B1 (ko) * 2010-04-15 2012-06-25 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
FR2960657B1 (fr) 2010-06-01 2013-02-22 Commissariat Energie Atomique Procede de lithographie a dedoublement de pas
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US20120035905A1 (en) * 2010-08-09 2012-02-09 Xerox Corporation System and method for handling multiple languages in text
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US8216939B2 (en) 2010-08-20 2012-07-10 Micron Technology, Inc. Methods of forming openings
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
KR101169164B1 (ko) * 2010-10-27 2012-07-30 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
KR20120062385A (ko) * 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
KR101225601B1 (ko) * 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
FR2973156B1 (fr) * 2011-03-24 2014-01-03 St Microelectronics Crolles 2 Procede de decomposition de lignes d'un circuit electronique
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8298954B1 (en) * 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US8722320B2 (en) 2011-07-27 2014-05-13 Micron Technology, Inc. Lithography method and device
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8962484B2 (en) 2011-12-16 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming pattern for semiconductor device
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8697537B2 (en) * 2012-02-01 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning for a semiconductor device
US8692296B2 (en) * 2012-02-09 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and manufacturing methods thereof
US8691696B2 (en) * 2012-05-21 2014-04-08 GlobalFoundries, Inc. Methods for forming an integrated circuit with straightened recess profile
CN103515323B (zh) * 2012-06-25 2016-01-13 中芯国际集成电路制造(上海)有限公司 一种nand器件的制造方法
CN102768956A (zh) * 2012-07-02 2012-11-07 北京大学 一种制备边缘粗糙度较小的细线条的方法
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8836139B2 (en) * 2012-10-18 2014-09-16 Globalfoundries Singapore Pte. Ltd. CD control
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895453B2 (en) 2013-04-12 2014-11-25 Infineon Technologies Ag Semiconductor device with an insulation layer having a varying thickness
US9064813B2 (en) * 2013-04-19 2015-06-23 International Business Machines Corporation Trench patterning with block first sidewall image transfer
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9406331B1 (en) 2013-06-17 2016-08-02 Western Digital (Fremont), Llc Method for making ultra-narrow read sensor and read transducer device resulting therefrom
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9070559B2 (en) 2013-07-25 2015-06-30 Kabushiki Kaisha Toshiba Pattern forming method and method of manufacturing semiconductor device
US20150035064A1 (en) * 2013-08-01 2015-02-05 International Business Machines Corporation Inverse side-wall image transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN104425225A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 三重图形的形成方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10163652B2 (en) * 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN104064471A (zh) * 2014-05-21 2014-09-24 上海华力微电子有限公司 一种用于双重图形化工艺流程的侧墙形成方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9412612B2 (en) * 2014-08-29 2016-08-09 Macronix International Co., Ltd. Method of forming semiconductor device
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR102224847B1 (ko) * 2014-10-10 2021-03-08 삼성전자주식회사 반도체 소자의 제조방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR20160084236A (ko) 2015-01-05 2016-07-13 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9525041B2 (en) 2015-02-12 2016-12-20 United Microelectronics Corp. Semiconductor process for forming gates with different pitches and different dimensions
US9312064B1 (en) 2015-03-02 2016-04-12 Western Digital (Fremont), Llc Method to fabricate a magnetic head including ion milling of read gap using dual layer hard mask
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9324570B1 (en) 2015-03-13 2016-04-26 United Microelectronics Corp. Method of manufacturing semiconductor device
KR102337410B1 (ko) 2015-04-06 2021-12-10 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR102362065B1 (ko) 2015-05-27 2022-02-14 삼성전자주식회사 반도체 소자의 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
TWI692872B (zh) 2016-01-05 2020-05-01 聯華電子股份有限公司 半導體元件及其形成方法
TWI661466B (zh) * 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US10332744B2 (en) * 2016-04-29 2019-06-25 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102537525B1 (ko) 2016-05-25 2023-05-26 삼성전자 주식회사 반도체 소자의 패턴 형성 방법
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102301850B1 (ko) 2016-11-24 2021-09-14 삼성전자주식회사 액티브 패턴 구조물 및 액티브 패턴 구조물을 포함하는 반도체 소자
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10361080B2 (en) * 2017-07-04 2019-07-23 United Microelectronics Corp. Patterning method
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107564804A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种自对准双图案化方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN107731665B (zh) * 2017-11-13 2023-07-25 长鑫存储技术有限公司 用于间距倍增的集成电路制造
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10326004B1 (en) 2017-12-20 2019-06-18 International Business Machines Corporation Double patterning epitaxy fin
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10692727B2 (en) 2018-07-24 2020-06-23 Micron Technology, Inc. Integrated circuit, construction of integrated circuitry, and method of forming an array
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10957549B2 (en) * 2018-10-08 2021-03-23 Micron Technology, Inc. Methods of forming semiconductor devices using mask materials, and related semiconductor devices and systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111403343B (zh) * 2019-01-02 2022-08-30 联华电子股份有限公司 半导体图案的形成方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200386911A1 (en) 2019-06-05 2020-12-10 Applied Materials, Inc. Apertures for flat optical devices
CN111276443B (zh) * 2020-02-10 2023-03-14 中国电子科技集团公司第十三研究所 微波薄膜混合集成电路的制备方法
CN114068408A (zh) 2020-07-31 2022-02-18 联华电子股份有限公司 半导体元件及其制作方法
CN113078105B (zh) * 2021-03-29 2022-07-05 长鑫存储技术有限公司 掩膜结构的制备方法、半导体结构及其制备方法
US20220326611A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Nanoimprint and etch fabrication of optical devices
WO2024019122A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法
WO2024019123A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE280851C (zh)
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
GB9022644D0 (en) * 1990-10-18 1990-11-28 Ici Plc Heterocyclic compounds
DE4034612A1 (de) * 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
US5709807A (en) * 1991-09-05 1998-01-20 Nkk Corporation Flow rate adjusting for rotary nozzle type molten metal pouring unit
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) * 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP3371196B2 (ja) * 1995-03-20 2003-01-27 ソニー株式会社 パターン形成方法
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) * 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP3164026B2 (ja) * 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US6534409B1 (en) 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
US6022815A (en) * 1996-12-31 2000-02-08 Intel Corporation Method of fabricating next-to-minimum-size transistor gate using mask-edge gate definition technique
US6149974A (en) 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (ja) * 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
FR2810447B1 (fr) * 2000-06-16 2003-09-05 Commissariat Energie Atomique Procede de creation d'un etage de circuit integre ou conexistent des motifs fins et larges
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
US6680163B2 (en) * 2000-12-04 2004-01-20 United Microelectronics Corp. Method of forming opening in wafer layer
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) * 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) * 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) * 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US7169711B1 (en) * 2002-06-13 2007-01-30 Advanced Micro Devices, Inc. Method of using carbon spacers for critical dimension (CD) reduction
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
TW550827B (en) * 2002-08-15 2003-09-01 Nanya Technology Corp Floating gate and method thereof
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6794699B2 (en) * 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7186649B2 (en) * 2003-04-08 2007-03-06 Dongbu Electronics Co. Ltd. Submicron semiconductor device and a fabricating method thereof
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) * 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
JP2005116969A (ja) * 2003-10-10 2005-04-28 Toshiba Corp 半導体装置及びその製造方法
US7112454B2 (en) * 2003-10-14 2006-09-26 Micron Technology, Inc. System and method for reducing shorting in memory cells
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) * 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) * 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005094231A2 (en) * 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7132327B2 (en) * 2004-05-25 2006-11-07 Freescale Semiconductor, Inc. Decoupled complementary mask patterning transfer method
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7530113B2 (en) * 2004-07-29 2009-05-05 Rockwell Automation Technologies, Inc. Security system and method for an industrial automation system
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) * 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) * 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof

Also Published As

Publication number Publication date
US20060258162A1 (en) 2006-11-16
US20060262511A1 (en) 2006-11-23
US7115525B2 (en) 2006-10-03
CN101044596A (zh) 2007-09-26
US7687408B2 (en) 2010-03-30
WO2006026699A3 (en) 2007-03-08
US20060046484A1 (en) 2006-03-02
US20100203727A1 (en) 2010-08-12
KR100879499B1 (ko) 2009-01-20
EP2219207A1 (en) 2010-08-18
US8216949B2 (en) 2012-07-10
WO2006026699A2 (en) 2006-03-09
JP2008512002A (ja) 2008-04-17
US7547640B2 (en) 2009-06-16
KR20070058578A (ko) 2007-06-08
JP4945802B2 (ja) 2012-06-06
EP1789997A2 (en) 2007-05-30
TW200620408A (en) 2006-06-16
SG140614A1 (en) 2008-03-28
US20070148984A1 (en) 2007-06-28
US7629693B2 (en) 2009-12-08

Similar Documents

Publication Publication Date Title
TWI278020B (en) Method for integrated circuit fabrication using pitch multiplication
TWI302635B (en) Partially formed integrated circuit and method of integrated circuit fabrication and forming an integrated circuit
US11348788B2 (en) Methods for device fabrication using pitch reduction
KR101449772B1 (ko) 효율적인 피치 멀티플리케이션 프로세스
TWI267904B (en) Mask material conversion
TWI299526B (en) Methods for forming arrays of small, closely spaced features
KR102436100B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
TWI327746B (en) Method of forming pitch multipled contacts
TWI356446B (en) Methods to reduce the critical dimension of semico
US8043915B2 (en) Pitch multiplied mask patterns for isolated features
US20150325791A1 (en) Methods for forming arrays of small, closely spaced features
US20070249170A1 (en) Process for improving critical dimension uniformity of integrated circuit arrays
TW200913016A (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
WO2017087066A1 (en) Methods of forming etch masks for sub-resolution substrate patterning
TW201030805A (en) Methods of forming a masking pattern for integrated circuits
TWI373828B (en) Flash memory cell with a flare gate
JP2011082476A (ja) 半導体素子及びその形成方法
Carlson et al. Negative and iterated spacer lithography processes for low variability and ultra-dense integration
TW415024B (en) Fabrication of dual damascene

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees