TW455912B - Method and apparatus for film deposition - Google Patents

Method and apparatus for film deposition Download PDF

Info

Publication number
TW455912B
TW455912B TW089100991A TW89100991A TW455912B TW 455912 B TW455912 B TW 455912B TW 089100991 A TW089100991 A TW 089100991A TW 89100991 A TW89100991 A TW 89100991A TW 455912 B TW455912 B TW 455912B
Authority
TW
Taiwan
Prior art keywords
film
gas
voltage
patent application
silicon
Prior art date
Application number
TW089100991A
Other languages
English (en)
Inventor
Hideo Yamanaka
Kikuo Kaise
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Application granted granted Critical
Publication of TW455912B publication Critical patent/TW455912B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

A7 B7 455972 五、發明說明(1 ) 技術領域 本發明係關於一種使多結晶矽等之預定膜氣相成長的成膜 方法及其成膜裝置。 背景技術 以往’將形成於基板上之多結晶矽層利用於源極、汲極及 通道區域之]VIOSFET(Metal-Insulator-semico nductor field effect transistor)即製造MISTFT(薄膜電晶體)時,可使用多結 晶碎層之化學氣相成長法(CVD: Chemical vaptK deposition)。 使此種之多結晶矽層等藉一般之CVE)進行成長時,在氣 相中原料氣體分解所生成之反應種會到達基板,而於基板 上引起反應,致生成膜,或,在基板表面之極近區域進行 反應’堆積於基板上。爲進行膜生長,或膜會磊晶成長, 反應種必須在基板表面泳動(Migration)。 爲人所知之CVD法乃電漿CVD法,其係爲控制泳動或堆 積種之運動能量,高周波電場的作用下,利用電漿電位控 制’或’施加低周波偏壓電場之2周波法。又,離子核團 束(ICB)法係控制加速電壓。 此等之成膜方法具有如下所述之問題點。 首先’電漿CVD法之情形因使用電漿,故具有如下之缺 點。 (〇於電漿電場之不均一性、晃動、電漿感應電荷等產生 電場不均—性,因此會對電晶體造成損傷、短路等(間極 氧化膜等之電荷上昇或放電破壞、配線間之放電等)。此 現象尤其當電漿之開/關時有易發生之傾向。 ___ -4- 本紙張&度適財® ϋ家標準(CNS)A4硬格(21G X 297公爱) 1--·. ί- n - 1 n n I ί - 1 n ^^1 - - - I .^1 一e'l f - - ·_ n ----- I - - (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 5 -------- 4 經濟部智慧財產局員工消費合作社印製 59 1 2 A7 ----------B7 — 五、發明說明(2 ) (2)有來自電毁之發光造成紫外線損傷的可能性。 J3)以大面積之電衆放電有困難,亦有駐波之發生,不易 得到均一性。 (4)裝置乃複雜且昴貴,維修很繁雜。 又’ ICB法亦因通過加速電柄之開口而使核團離子引至 基板上並碰撞,故很難得到均—性,大面積之成膜亦即於 大型基板之成膜很難。 另外,揭示於特開昭63·40314號公報之觸媒⑽法,乃 倍受注目之優異CVD法,其係於如玻璃基板之絕緣基板上 以低溫形成多結晶碎 '氮化妙膜等。 若依據觸媒CVD法’例如使碎燒氣體與經加熱之金屬觸 媒體接觸而觸媒性分解,可形成具高能量之反應種、例如 自由基的珍分予或分子基圈、及矽原子或原子團與自由基 氫離子,再於基板上進行接觸反應而堆積,故於比一般熱 CVD法之可堆積溫度還低的低溫區域,而且不使用電漿而 能使矽膜堆積於大面積上。 如此之觸媒CVD法係以基板溫度、觸媒體溫度、氣壓或 反應氣體沅量等比較少數的參數來控制成膜。此係簡單方 法之證明,但尤其只能以氣體分子運動論控制堆積種的運 動量。亦即,泳動或堆積種之運動能量僅眞空中之熱能。 又’因專門依存於熱能,進行低溫上有限制,且耐熱性 低,例如要使用塑膠膜乃很難,基板材質之選擇自由度亦 有限。而且,因堆積種之運動量的控制不足,尤其對於長寬 比很大的比爾孔(配線間之連接用貫通孔)之連接用金屬掩 -5- 尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 (請先閱讀背面之注意事項再填寫本頁) 裝--------訂---------線— ----------------------- 455912 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(3 埋,或,階梯覆蓋易不充分。 發明之揭示 本發明之目的,係活用上述觸媒CVD法之特長,同時並 控制反應種(堆積種)或其前驅體及高能量的矽離子及自由 基氩離子等之自由基離子的運動能量,而不對基板造成損 傷,而可使生成膜與基板之密接性提昇、生成膜密度提 高、生成速度提昇、生成膜平滑性提高、對比爾孔等之掩 埋性與階梯覆蓋提高 '基板溫度更低溫化、生成膜之應力 控制等,俾提供一種可形成高品質膜之成膜方法與使用此 方法之成膜裝置。 爲達成如上述之目的,本案所提出之成膜方法,係使反 應氣體接觸於經加熱之觸媒體,俾使輝光放電開始電壓以 下之電場作用於所生成之反應種,而賦予運動能,使預定 之膜於基體上氣相成長。 本發月亦提供一種成膜裝置,係具有:反應氣體供給裝 = '觸媒體、此觸媒體之加絲置、施加輝光放電開始電 ::下之電場的電場抱加裝置、支撐用來成膜之基體的晶 本發明之成膜方法及其裝置,如習知之觸媒cvd法,係 反應氣體接觸錢加熱之觸媒體,俾於基體上堆積所生 =堆積種或其前驅體及自由基離予時,使輝光放電開始 :場Si、耶即、依帕申法則產生之電敢發生電壓以下的 :用’而賦予運動能量’故具有如下所示之優點。 ()“堆積種或其前驅體及自由基離子,加上 r----^--------- --------訂---------線 - ·- (請先閲讀背面之注意事項再填寫本頁> -6- 本纸張仏顧 297 公:i ) 455si2 經濟部智慧財1局員工消費合作社印製 A7 B7 五、發明說明(4 ) 觸媒作用與其熱能而賦予向量性之加速電場,運動能量會 變大而有效地引至基體上,同時在基體上之泳動及在生成 過程之膜中的擴散會變得充分。因此’比習知之觸媒CVD 法’在觸媒體更能以電場獨立控制所生成之反應種的運動 能量。故可以提昇生成膜與基體之密接性、生成膜的密 度、生成膜均一性及平滑性、比爾孔等之掩埋性及階梯覆 蓋、基體溫度更低溫化、並控制生成膜之應力等,可得到 接近塊體之物性的矽膜或金屬膜等之高品質生成膜。 (2) 因無電漿發生,故可得到不會被電漿造成損傷且低應 力之生成膜》 (3) 在觸媒體以電場獨立控制所生成之反應種,可有效率 地堆積於基體上,故反應氣體之利用效率高,生成速度 快,成本降低。 (4) 比電漿CVD法,更能實現簡單且便宜的裝置。 此時,在減壓下或常壓下進行操作’但常減壓型比減歷型 更能實現簡單且便宜的裝置。 (5) 即使在常壓型施加上述電場,亦可得到密度、均一 性、密接性良好的高品質膜。此情形下,常壓型比減壓型 之產此還大。生產性南’可降低成本。 (6) 即使基體溫度低溫化,反應種之運動能量亦大,故可 知到目的之良質膜,故可使基體溫度更低溫化,以玻璃基 板、耐熱性樹脂基板等之大型化可使用便宜的絕緣基板, 並能降低成本。 本發明之另一目的,依本發明所得到之具體優點,從説 本紙張尺度適用中國國家標準(CNS)A4規格(2丨0 X 907 ,入杉 J-------_------^--------訂---------線 —- (請先閱讀背面之注意事項再填寫本頁) 4 5 5912 經濟部智慧財產局員工消費合作社印制衣 A7 B7 五、發明說明(5 ) 明於下之實施例可更進一步理解。 圖式之簡單説明 圖1係本發明第1實施例之DC偏壓觸媒CVD裝置的概略 斷面圖。 圖2爲觸媒CVD装置之CVD時的概略斷面圖。 圖3爲觸媒CVD装置之更詳細概略斷面圖。 圖4爲觸媒CVD裝置之清淨時的概略斷面圖。 圖5A及至圖5K係依步驟序表示一使用觸媒c VD裝置的 MOSTFT製造過程斷面圖。 圖6A及至圖61係依步驟序表示一使用觸媒cvd裝置的 LCD製造過程斷面圖。 圖7係本發明之第2實施例,其D C偏壓觸媒CVD裝置之 要部的概略斷面圖。 圖8係本發明之第3實施例,其〇 c偏壓觸媒CVD裝置之 要部的概略斷面圖。 圖9係本發明之第4實施例,其dc偏壓觸媒CVD裝置之 要部的概略斷面圖。 圖1 0係本發明之第5實施例,其使用於D c偏壓觸媒CVD 裝置之加速電極的概略斜視圖。 圖11係本發明之第5實施例,其使用於D C偏壓觸媒CVD 裝置之加速電極另一例的概略斜視圖。 圖12係本發明之第6實施例,其DC偏壓觸媒CVD裝置之 要部的概略斷面圖。 圖1 3係本發明之第7實施例,其D ^偏壓觸媒CVD裝置之 本纸張尺度剌㈣- L^--------訂-------- (請先閱續背面之注意亊項再填莴本頁) 經濟部中央糅導扃貞工消费合作社印裝 455912
Af ___ B7 五、發明説明(6 ) 要部的概略斷面圖。 圖14為其他之DC偏壓觸媒CVD裝置的要部之概略斷面 圖。 圖15為其他之dc偏壓觸媒CVD裝置之概略斷面圖。 圖16為其他之DC偏壓觸媒CVD裝置之要部的概略斷面 圖。 圖1 7為再另一其他之dc偏壓觸媒CVD裝置之要部的概 略斷面圖》 圖1 8係本發明之第9實施例,其RF/DC偏壓觸媒C VD裝 置之概略斷面圖。 圖19為觸媒CVD裝置之CVD時的概略斷面圖。 圖2 0係本發明之第1 0實施例,其RF/DC偏壓觸媒CVD裝 置之要部的概略斷面圖。 圖2 1係本發明之第1 1實施例,其RF/DC偏壓觸媒CVD裝 置之要部的概略斷面圖。 圖2 2係本發明之第1 2實施例,其AC/DC偏壓觸媒CVD裝 置的概略斷面圖β 圖23係本發明之第13實施例,其DC、RF/DC或AC/DC偏 壓觸媒CVD中之各種原料氣體與生成膜的组合a 圖24A及圖24B係表示本發明中各種偏壓觸媒CVD時之 電壓施加方法的概略圖。 用以實施發明之最佳形態 以下’參照圖面說明本發明之成膜方法及使用此方法之 成膜裝置。 ( CNS ) Α4^7"210Χ29ΐ7ϊ7 (請先閱讀背面之注意事碩再填寫本頁) 裝. 丁 --β A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 455912 五、發明說明(7 之亩、、户::中.則述〈電場係施加輝光放電開始電壓以下 下二如1 ’亦即’依帕申法則來決定之電漿發生電壓以 下,例如1 kv以下,故]n v 0 h + , 基體側。 0v以上’ S使前述之反應種指向 電場乃輝光放電開始電壓以下而施加-對直流 :壓(DC)重昼交流電壓之電壓’亦即依帕申法則來決定之 电漿發生電壓以T ’例如1 kv以下,數1G V以上,則藉由 重,於直流電壓之交流電壓,可使在微秒電場變化之‘ :里賦予至反應種,故加上上述之作用效果,在具有凹凸 段差或高長寬比之比爾孔等複雜形狀的基體表面,其階梯 覆蓋乃良好,可形成均一密接性及高密度的膜。與此相同 之優點’係形成前述電場之電壓(但,其 電開始電壓以下)乃當僅高周波交流電壓、或僅 流電壓、或施加一使高周波交流電壓重疊於低 壓之電壓時亦可得到。 < “ 上述之情形,亦可以前述交流電壓作爲高周波電壓 (RF、VHF、UHF、微波)及/或低周波電壓(AC),但,宜使 高周波電壓之周波數爲1 MHz〜10 GHz,低周波電壓之周波 數爲1 MHz以下。 電場施加之方法可爲正極電位施加於電極、對晶座(基 板)施加負極(或接地)電位之方法,或,對電極跑加接地 電位、對晶座(基板)施加負極電位之方法。此係依职裝置 構造、電源之種類、偏壓效果等來決定。 本發明之成膜方法及成膜裝置可於基體或晶座與電場施 -10 本紙張尺度適用中國國家標準(C\'S)A4規格(21〇 X四7公釐) ;^--------訂--------- (諝先閱讀背面之注意事項再填寫本頁) 4 5 5 9 1 2 A7 五、發明說明(8 ) 加用之電極之間設置觸媒體。车 , 冧植此時,斫可使導出反應氣體 (氣體供給口形成電極。 又’亦可於基體或晶座與反應氣體供給裝置之間設置觸 媒體與電場施加用的電極。此電極宜爲高耐熱性材料,例 如與觸媒體相同、或以且右免以μ 尺以具有其以上融點之材料來形成者 (以下,同樣)。 觸媒體或電場施加用電極可形成螺旋狀 '鋼絲狀、筛 狀、或“多孔板狀,又,亦可沿著氣體流而配設複數個或複 數片藉此,可有效地形成氣體流,並使觸媒體與氣體之 接觸面積增大,充分運用觸媒反應。沿著氣體流而配設複 數個或複數片時,亦可作爲互相相同之材質或相異之材質 的觸媒體或電極。又,配設複數個或複數片之觸媒體亦可 分別獨立施加相異之電場例如加與ac/dc、dc與好脱、 AC/DC與RF/DC而進行控制。 又,成膜時或成膜中,前述觸媒體之觸媒作用於反應氣 體中會產生離子,因此,基體會充電而使膜或裝置的性能 劣化。爲防止此,宜對前述反應種照射荷電粒子(電子束 或虛子等,尤其是電子束)而中和離予。亦即,亦可於晶 座之附近設置荷電粒子照射裝置。 然後,在預定膜之氣相成長後,基體取出至成膜室外, 於預疋的電極間例如前述晶座與相向電極之間施加電壓而 使電漿放電發生,因此藉清淨成膜室内(反應氣體爲cf4、 CJ6、SFs、Η2、MF3等),而於氣相成長時可蝕刻除去一附 著於成膜室内之内壁面或各構成構件的異物。此係可直接 11 本紙張又度適用中國國豕標準(Cl\TS)A4賴格(210 x 297公爱) .I I f — I — I — <请先閲讀背面之注意事項再填寫本頁) -llSJ· -線· 經濟部智慧財產局員工消費合作社印製 4559^2 經濟部智慧財產局員工消費合作社印製 A7 ______B7___ 五、發明說明(9 ) 使用實現一進行氣相成長之成膜裝置,故不須將構成構件 取出至成膜室外而進行清淨。又,亦可同時清淨觸媒體, 但亦可取出至成膜室外而另外清淨。 本發明觸媒CVD法之上述氣相成長,具體上係使觸媒體 加熱至800〜20〇〇°C之範圍而至其觸點以下的溫度,例如通 電於觸媒體而以其本身之電阻加熱,藉經加熱之觸媒體使 反應氣體之至少一部分進行觸媒反應或熱分解反應而生成 的反應種作爲原料種,於加熱至室溫〜550"C的基板上以熱 CVD法堆積薄膜。 此處,若觸媒體之加熱溫度爲8〇〇。〇以下,反應氣體之 觸媒反應或熱分解反應不充分而堆積速度易降低,又,若 超過2000°C,觸媒體之構成材料會混入堆積膜中而阻礙膜 的電氣特性,造成膜質下降,又,觸媒體之融點以上加熱 因其形態安定性會喪失,宜避免。觸媒體之加熱溫度爲其 構成材料之融點以下,宜爲800。〇。 又’基板溫度宜爲室溫〜550°C,更宜爲200〜300°C,可以 高效率進行高品質的成膜。若基板溫度超過55〇。〇,不能 使用便宜的领1矽酸玻璃、銘沙酸玻璃,使積體電路用之保 護法進行成膜時,受熱的影響而雜質的掺雜濃度分布易變 化0 以—般之熱CVD法形成多晶矽膜時,必須使基板溫度爲 約600〜900 C,但,以本發明之成膜方法,不必有電漿或 光激發’而可以如上述之低溫的熱CVD乃極有利。在本發 明之觸媒CVD時的基板溫度因低至如上述般,故可使用歪 -12- 本紙張尺度適用中园回家標♦ (CNS)AJ規格(2IG x 297公爱) {讀先閱讀背面之注意事項再填寫本頁) ---------訂—--------\ 經濟部智慧財產局員工消費合作社印一农 A7 ---------- -___B7__ _ 五、發明說明(1〇 ) 點爲470〜670。(:之硼矽酸玻璃或鋁矽酸玻璃等作爲基板例 如玻璃基板。此乃廉價、易薄板化,可大型式(1 m2 □以 上),又,可製作經長輥化之玻璃板。例如在長輥化玻璃 板上使用上述之方法,可連續或非連續製作薄膜。 使用於本發明之氣相成長的原料氣體(此爲反應氣體的 成分)可爲下述(a)〜(0)之任一者。 (a) 氫化矽或其衍生物 (b) 氣化妙或其折生物、與、含有氫 '氧、氮鍺 '碳、 錫或鉛之氣體的混合物 ,)氫化矽或其衍生物 '肖、含有周期表第3族或第5族 元素構成的雜質之氣體的混合物 ⑷氫化碎或其衍生物'與、含有氫、氧、氮、緒、碳、 錫或錯之氣體、與、含有周期表第3族或第5族元素所構 成之雜質的氣體之混合物 (e)鋁化合物氣體 (0鋁化合物氣體 '與 '含有氫或氧之氣體的混合物 (g) 銦化合物氣體 (h) :化合物氣體 '與、含有氧之氣體的混合物 (0冋融點金屬之氟化物氣體、氣化物氣體或有機化合物 氣體 ⑴高融金屬之氟化物氣體、氣化物氣體或有機化合物氣 體、與、氫化矽或其衍生物之混合物 (k)鈦之氯化物、含有氮及/或氧之氣體的混合物 -13- 本紙張κ度適用中國國家標準(CNSM4規格(21Dx 297公髮) l·-------I---* 裝--------訂---------線---- . - <請先閱讀背面之注意事項再填寫本頁) 4559#
經濟部智慧財產局員工消費合作社印製 五、發明說明⑴) (1)銅化物氣體 ⑽銘化合物氣體、與'氫或氫化合物氣體、與 '氮化 矽或其衍生物及/或銅化合物氣體之混合物 (η)烴或其衍生物 (o)te或其衍生物與氫氣之混合物 (P)有機金屬錯合物、燒氧化物 藉使用如上述之原料氣體,可使多結⑼ '單結晶石夕、 非晶發、微結晶妙、鎵·神、鎵1、鎵·鋼_鱗、鎵-氣化 物…匕合物半導體、碳化矽、矽鍺等之半導體膜、鉼 石薄膜 '含η型或p型載子雜質的鑽石薄膜、類鑽石碳薄 膜、氧化矽、磷矽酸鹽破璃(PSG)、硼矽酸鹽玻璃(bsg)、 硼磷矽酸鹽玻璃(BPSG)等含雜質的氧化矽、氮化矽、氧 氮化矽、氧化鈦、氧化钽、1化鋁等絕緣性薄膜 '氧化 銦、氧化銦錫、氧化鈀等氧化性薄膜、鎢、鉬、鈦、锆等 之高融點金屬 '導電性氮化金屬、鋼、鋁、鋁_矽合金、 鋁-矽-銅合金、鋁-銅合金等之金屬薄膜、BST等高介電率 薄膜、PZT、LPZT、SBT、BIT等強介電體所構成之薄膜及 管狀碳多面體(Carbon nanotube)進行氣相成長。 又’藉由至少一種選自由鎢、含有氧化鉦之鎢、鉬、白 金、鈀、鉑 '矽、鈦、鋁土、附著金屬之陶瓷及碳化矽所 構成的材料而可形成觸媒體。 在供給原料氣體之前,宜在氫系氣體中加熱處理前述觸 媒體。此係若於原料氣體之供給前加熱觸媒體,觸媒體之 構成材料會被放出,再混入一經成膜之膜中,但藉由在氣 -14 - 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公爱) ίί----------- ^一^ · I I I I I I I 訂------ I I ! I I ΪΊ (請先閱請背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 A7 B7 五 '發明說明(12 ) 氣氣體中加熱觸媒體,可解決如此之混入。因此,以氨氣 充滿成膜室内之狀態加熱觸媒體,然後’以氫系氣體作爲 載體氣體而供給原料氣體(所謂之反應氣體)亦可。
本發明係適於形成矽半導體裝置、矽半導體積體電路裝 置、矽-鍺半導體裝置、矽-鍺半導體積體電路裝置、化合 物半導體裝置、化合物半導體積體電路裝置、高介電性記 憶半導體裝置、強介電性記憶半導體裝置、碳化矽半導體 裝置、碳化矽半導體積體電路裝置、液晶顯示裝置、電發 光顯示裝置、電漿顯示面板(PDP)裝置、場放射顯示(fed) 裝置、發光聚合物顯示裝置、發光二極體顯示裝置' CCD 區域厂線性感測裝置' MOS感測装置或太陽電池裝置用之 薄膜。 其次’更詳細説明本發明之具體實施例。 第1之實施例 參照圖1乃至圖1 0説明本發明之第I實施例。 <DC偏壓觸媒CVD法與其裝置> 在本實施例中,依據觸媒CVD法,使—由氫系載體氣體 與矽烷氣體等原料氣體所構成的反應氣體接觸於—經加= 之鎢觸媒體,使輝光放電開始電壓以下的電場作用於一藉 其所生成之自由基堆積種或其前驅體及自由基氫離子,而 賦予運動能量,於基板上使多結晶碎等預定的膜氣相成長 時,在基板與對向電極之間施加輝光放電開始電壓以下的 直流電壓' 亦即依帕申法則來決定的直流電壓,例如丨匕乂 以下足電壓,使自由基堆積種或其前驅體及自由基氮離子 _ -15- 本紙張尺度適用t國國家^準(CNS:)A4規格(210 X 297公找"7 i --------------裝--------訂·--------I f靖先閱讀背面之注意事項再填寫本頁) 4559 12 經濟部智慧財產局員工消費合作社印製 Λ7 Β7 五、發明說明(13 ) 朝向基板側。以下,稱本實施例之CVD法爲DC偏壓觸媒 CVD 法。 此D C偏壓觸媒C V D法係使用如囫1至圖3所示之成膜裝 置來實施。 此成膜裝置(DC偏壓觸媒CVD裝置)如圖1所示,氫系載 體氣體與氫化矽、例如單矽烷等之原料氡體4〇及依需要 以B^H6或PH〗等之捧雜氣體所構成的反應氣體,係從供给 導管4 1通過噴灑頭42的供給口 43而導入成膜室44。在成 膜室44之内部,如圖2所示,分別配置:用以支撢玻璃等 基板1的晶座45 耐熱性佳且宜具有與觸媒體46相同或其 以上融點之材質的噴灑頭4 2 ;螺狀之嫣等融媒體4 6 ;進 一步可開閉之防護罩4 7。又,在晶座4 5與成膜室4 4之間 施予磁封5 2。又,成膜室4 4,如圖3所示,係連續於進行 前步驟之前室5 3 ’以渦輪分子泵5 4等經由閥5 5而排氣。 繼而’基板1係如圖3所示般,以晶座4 5内之加熱絲5 1 等的加熱裝置進行加熱,觸媒體4 6係例如作爲電阻絲而 加熱至融點以下例如8〇〇〜2000〇C,鶴的情形約1600~1700。〇 而活性化。觸媒體4 6之兩端子連接於直流或交流的觸媒 艘電源4 8 ’經來自此電源之通電加熱至預定溫度。又,喷 履頭4 2係作爲加速電極,而經由導管41連接於可變的直 流電源(1 kV以下,例如500 V)4 9的正極側,在與支撐負極 側之基板1的晶座4 5之間施加1 kV以下的直流偏壓電壓。
實施此D C偏壓觸媒CVD法,係使成膜室4 4内之眞空度 爲10-6〜l〇_s Torr,例如供給氫系載體氣體1〇〇〜200 SCCM -16- 本纸尺度適用中®國家標準(CNS)AJ規恪丨〇χ 297公釐) ----J----------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 4 5 5 9 12 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(14 ) (Standard cc per minute :以下相同),將觸媒體加熱至預定 溫度而活性化後,將由氩化矽(例如單矽烷)氣體1〜2〇 (依需要亦可含有適量或PI等之摻雜氣體)所構成的 反應氣體4 0從供給導管4 1經由喷灑頭4 2的供给口 4 3導 入’而使氣體壓力成爲1〇-1〜1〇〇 T〇rr例如丨〇-2 T〇rr。此 處虱系載乳體若爲風氣、氫氣+氬氣、氫氣+氦氣、 氫氣+氛氣、氫氣+氪氣等且於氩氣中適量混合鈍性氣體 之氣體,任一者均可(以下,相同)。又,依原料之種類, 氫系載體氣體未必須要。亦即,無氫系載體氣體而只以矽 烷的觸媒反應使多晶矽成膜之方法(稱爲熱絲法)乃爲已 知,此方法亦可適用於本發明。 反應氣體4 0之至少一部分係與觸媒體4 6接觸而觸媒性 分解’藉觸媒分解反應或熱分解反應,而形成具有高能量 之妙等離子,自由基等反應種的集團、亦即堆積種或其前 驅體及自由基氫離子。對如此所生成之離子、自由基等之 反應種5 0使輝光放電開始電壓(約i ^ν)以下例如500 V之 直流電源4 9產生的直流電場作用,而賦予運動能量,朝 向基板1之側’在保持於室溫〜550»c (例如2〇〇〜3〇〇。〇)的基 板丨上使多結晶矽等之預定膜氣相成長。 如此’不產生電漿,而對反應種,在觸媒體46之觸媒作 用與其熱能下賦予-由電流電場引起的加速能量之向量性 運動此,故反應氣體可有效率地改變成反應種,藉直流電 場以熱CVD均一地堆積於基板1上。此堆積種56在基板1 上泳動’於薄膜中進行擴散,故可以緻密地形成階梯覆蓋 -17- 尺X々用中固國豕標準(C]SS)A.l規格(21〇 X 297公爱) ί.------------裝--------訂---------線 (請先閱讀背面之>i意事項再填寫本頁) 4 5 5 9 1 2 A7
經濟部智慧財產局員工消費合作社印製 五、發明說明(15 ) 的良好平坦且均一薄膜。 ,因此,本實施例之Dc偏壓觸媒CVD其特徵乃:比習知 之觸媒CVD的控制因纟即基板溫度、觸媒體溫度、氣體壓 力(反應氣體流量)、原、料氣體種類等更追加一可以獨立之 任意直流電場控制薄膜生成。因此,以生成膜與基板之密 接性爲I,生成膜密度、生成膜均—性或平滑性 '比爾孔 等之掩埋性與階梯覆蓋均可提昇,使基板溫度更低溫化, 可控制生成膜之應力等,得到高品質膜例如近似塊體之物 性的石夕膜或金屬膜。而且’以直流電場可獨力控制於觸媒 體46所生成之反應種’有效率地堆積於基板上,故反應 氣體之制效率高’生成速率快,生產性提昇與反應氣體 刪減所產生的成本降低。 又,即使使基板溫度化’堆積種之運動能量亦大,故可 得到目的之良質膜,使基板溫度如上述般更低溫化,以硼 梦酸玻璃、㈣酸玻璃等之玻璃基板、聚酿亞胺等之耐熱 性樹脂基板等的大型化可使用廉價的絕緣基板,就此點可 低成本化。而且’用來作爲上述反應種加速之電極,併用 反應體供給用的嘴邋頭4 2 ’構造成爲簡單化。 又,無電漿發生’故無電㈣起之損成傷,可得到低應 力的生成膜’同時比電漿CVD法更可實現簡單且廉價的裝 置。 此情形,在減壓下(例如10-3〜1〇.2 丁〇巾或常壓下進行操 作,但常壓型比減壓下更能實現簡單且便宜的裝置。而 且,在常壓型加上上述之電場,可得到密度 '均一性、密 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公复) I ί I ---I-----' — I I I I i 訂·--------*5^ <請先閱讀背面之注意事項再填寫本頁) 12 12 經濟部智慧財產局員工消費合作社印*'1衣 A7 B7 五、發明說明(16 ) 接性佳之高品質膜。在此情形下,常壓型比減壓型之產量 更大’生產性高且可低成本化。 減壓型的情形下,直流電壓會受氣體壓力(反應氣體流 量)或原料氣體種類等影響,但,即使任一者,亦必須調 整成輝光故電開始電壓以下之任意電壓。常壓型的情形 下’不須放電,但,以原料氣體及反應種之流動不對暎厚 及膜質有不良影響的方式,宜調整排氣成排氣流不接觸於 基板上。 在上述CVD中’因觸媒體46會引起輻射熱,基板溫度會 上昇,如上述般,依需要,亦可設置基板加熱用加熱器 51。又,觸媒體46可爲螺旋狀,除此以外亦可爲篩孔 狀、絲條狀、多孔板狀,但進一步亦可於氣體流方向形成 複數段例如2〜3段,可增加與氣體之接觸面積。又,於此 CVD中’在晶座4 5的下面中將基板1配置於噴灑頭4 2的上 方,故在成膜室44内所產生的粒子會落下而不會附著於 基板1或其上的膜。 進而’在本實施例中’實施上述DC偏壓觸媒CVD後, 圖4所示,將基板丨取出至成膜室ο外,導入、 c2F6、sf6、H2、nf3等之反應氣體57(眞空度10心數T〇rr), 基板1之晶座4 5與相向電極即噴灑頭4 2之間,施加高周波 電壓5S或直冼電壓而產生電漿放電,藉此可清淨成膜室 内。此時之電漿發生電壓爲ikV以上,尤其數〜數 kv,例如 1〇 kV。 亦P ’於軋相成長時,可將附著於成膜室44内之内壁面 _—^ -19_ (CNS)A4 (210 χ 297 ) KJ.------------裝 --------訂-----I---線--Γ/· (請先閱讀背面之注意事項再填寫本頁) 4 5 5912 Λ7 經濟部智慧財產局員工消費合作社印製 Π7 五、發明說明(17 ) 或晶座45 '噴灑頭42、防護罩47,進而觸媒體46等的各 構成構件之異物敍刻除去。此係可直接使用實現一進行氣 相成長之成膜裝置’故,不須朝成膜室4 4外取出構成構 件而進行清淨。又,亦可同時地清淨觸媒體4 6 (但,觸媒 體電源4 8爲關閉)’但’亦可取出至成膜室4 4外而另外方 式進行清淨。 <MOSTFT之製造> 其次,表示出使用本實施例之DC偏壓觸媒體CVD法的 MOSTFT製造例。 使用上述圖1〜圖3所示之成膜裝置,首先,如示於圖5A 中,於石英玻璃 '結晶化玻璃等之耐熱性絕緣基板丨(歪點 约800〜140(TC ’厚50 micron〜數mm)的一主面上,藉上述 DC偏壓觸媒CVD法,使多結晶矽膜7成長數 例如0· 1 " m之厚度。此處,基板溫度爲室溫〜55〇。〇,例如 200~300°C,氣體壓加爲 ι〇·ι〜10-3τ〇ΓΓ例如 1〇_2 T〇rr。 此時’使成膜室44内之眞空度爲10·6〜1〇_8τ〇γγ,例如供 給氫系載體乳體1〇〇〜200 SCCM,而將觸媒體加熱至預定 溫度而活性化後,將一由氫化矽(例如單矽烷)氣體1〜2〇 SCCM(依需要亦適量含有hi或pH3等之摻雜氣體)所構成 的反應氣體40從供給導管41經由噴灑頭42之供給口 43而 導入,氣體乳壓爲101〜103 Torr,例如1 〇-2 τ〇ΓΓ。此氫系載 體氣體亦可爲氫氣、氫氣+氬氣、氣氣+氖氣、氫氣+氦 氣、氫氣+氙氣、氫氣+1氣等之任—者。 基板1係以晶座4 5内之電熱絲5 1加熱至室溫〜55(rc,例 20- . . "裝--------訂---------線 (請先閱讀背面之注意ί項再填寫本頁) 本紙張尺度適用中囵固宏Μ! Κνς、λ.ι _±目这v w f & * '1 4 5 5 9 12 經濟部智慧財產局員工消費合作社印制^ Λ7 B7 五、發明說明(18 ) 如200〜300°C,又,觸媒體4 6係於氩系載體氣體中,例如 電阻絲加熱至融點以下,尤其是800〜20〇〇°C,例如鎢絲加 熱至約1650°C而活性化。使反應氣體40接觸經加熱之鎢等 觸媒體4 6,開啓防護罩4 7。 反應氣體40之至少一部分與觸媒體46接觸而觸媒性分 解,藉觸媒分解反應或熱分解反應,而形成一具有高能量 的矽離予、自由基氫離子之集團,亦即自由基的堆積種或 其前驅體及自由基氫離子。如此一來’對所生成之離子、自 由基等的反應種5 0作用輝光放電開始電壓以下例如500 V 的直流電源4 9產生的直流電場,而賦予運動能量,朝向 基板1之側,在保持於室溫〜550°C例如200〜300°C之基板上 使多結晶矽7氣相成長。 如此一來,使厚度爲例如〇 · 1 # m左右的多結晶矽膜7堆 積。其堆積時間係由使之成長的層厚來求出,又,成長终 了後’停止原料氣體供給,使觸媒體降溫後,停止氫系載 體氣體’返回至大氣壓r而取出基板1。此時,爲防止觸媒 體之氧化劣化,在使觸媒體昇溫、降溫之間,形成載體氣 體的環境乃很重要。 其次,製作一以多結晶矽層7作爲通道區域之MOS電晶 體(TFT) 〇 亦即’如圖5 B所示’例如,藉在95(TC下熱氧化處理或 氦氣稀釋之氧氣及單矽烷氣體供給下的上述情形相同之 D C偏壓觸媒CVD法,而給多結晶矽膜7的表面形成厚例如 35〇又的閘極氧化膜8。以D C偏壓觸媒CVD法形成閘極氧 -^1 m n JK 1 * «^i *n .^1 .^1 ^-OJ I I n E 1 1 ^ - - (請先閱讀背面之注t事項再填寫本頁) -21 -
4559 12 經濟部智慧財產局員工消費合作社印製 Λ7 Π7 五、發明說明(19 ) 化膜8時,基板溫度及觸媒體溫度、直流偏壓電壓乃與上 述者相同’但,氦氣稀釋氧氣流量爲卜2 SCCM,單砂境 流量爲20SCCM,氩系載體氣體爲丨5〇scc:m。 其次,如圖5 C所示,爲控制n通道MOS電晶體用的通道 區域的雜質濃度,使p通道M〇s電晶體都以光阻劑9形成 掩模,將N型雜質離子例如B+1〇以例如3〇 keV、2 7 χ 1〇12 atoms/cm2的劑量植入’形成一多結晶矽膜7補償ρ型之多 結晶碎層1 1。 其次’如圖5 D所示,爲控制p通道MOS電晶體用的通道 區域的雜質濃度’使N通道MOS電晶體部以光阻劑丨2形成 掩模,知N型雜負離子例如p+1 3以例如5 0 k e V、1 X 1 〇12 atoms/cm2的劑量植入,形成—多結晶矽膜7補償p型之多 結晶矽層1 4。 然後,如圖5 E所示般,將作爲閘極電極材料之磷摻雜 多結晶矽膜1 5藉例如2〜20 SCCM的PH3及20 SCCM的單石夕 烷氣體之供給下與上述相同的D C偏壓觸媒CVD法(基板溫 度200〜300C)而堆積成厚度例如40〇〇a。 再者,如圖5 F所示般,使光阻劑1 6形成預定圖案,再 形成掩模而使多結晶矽膜1 5形成閘極電極形狀圖案,進 而’除去光阻劑1 6後,如圖5 G所示,例如9〇〇。〇下,以〇2 中之氧化處理6 0分鐘’於閘極多結晶矽膜1 5的表面形成 氧化膜1 7。 繼而,如圖5 Η所示’以光阻劑1 8使P通道μ 〇 S電晶體部 形成掩模,使Ν型雜質即As+離子1 9以80 keV、5 X Ι015 -22- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公t ) l· ,— — —— — — — —--I 1 ---I---------- I I I I 1-. - - i請先閱讀背面之注意事項再填寫本頁) 455912 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(2〇 ) atoms/cm2的劑量離子注入,在95〇°C下、N2中的回火5分鐘 而分別形成N通道MOS電晶體的N +型源極區域2 0及汲極區 域2 1。 如圖51所示般,以光阻劑22使N通道MOS電晶體部形成 掩模,將P型雜質即例如B+離子2 3以例如30 keV、5 X 1015 atoms/cm2的劑量離子注入,在950。〇下、乂中的回火5分鐘 而分別形成P通道MOS電晶體的P +型源極區域2 4及汲極區 域25。 然後’如5 J所示,藉由與上述相同之d C偏壓觸媒CVD 法’使氫系載體氣體150 SCCM通入,於全面在1〜2 SCCM 的氦氣稀釋之02、15〜20 SCCM的SiH4供給下堆積5丨02膜26 例如在200°C下堆積成5〇〇又厚,在50~60 SCCM之NH3、 15〜20 SCCM之的SiH*供給下堆積SiN膜27例如在20(TC下堆 積層2000a厚度’進而,在1〜20 SCCM的B2H6、1〜20 SCCM之PH3、1〜2 SCCM之氦稀釋的〇2、15〜20 SCCM之
SiH4供給下形成硼及磷摻雜矽酸鹽玻璃(BpsG)膜2 8作爲回 流膜’例如在20〇°C下形成6000又厚,使此BPSG膜28例如 在9004(:之化中進行回流。 然後,如圖5 K所示,於上述絕緣膜之預定位置開啓接 觸窗口,在含有各接觸孔之全面使鋁等之電極材料以濺鍍 法等在150°C下堆積i 的厚度,再圖案化,形成p通道 MOSTFT及N通道MOSTFT之各別源極及汲極電極2 9 ( S或D ) 與閉極取出電極或配線3〇(G),形成摻雜閘極型之各M〇s 電晶體。此時,藉本發明之〇(:偏壓觸媒CVD法,亦可形 -23- ------------I I I 1 1111111 ^ · I I — III — — ί . (请先閱讀背面t注意事項再填寫衣頁) 本纸張尺度適用中國國家標準(CNS)A4 規格(210 x 297公釐) Λ7 B7 經濟部智慧財產局員Η消費合作社印製 五、發明說明(21 ) 成鋁。 <LCD之製造〉 其次’顯示一使用本實施例DC偏壓觸媒CVD法之液晶 顯示裝置(LCD)的製造例。 使用圖1〜圖3所示之成膜裝置,首先,如圖6A所示,在 畫素部及周邊回路部中,於石英玻璃、結晶化玻璃等之耐 熱性絕緣基板1 (歪點約8〇〇〜14〇〇。〇 '厚50 micron〜數的 一主面’藉上述之DC偏壓觸媒CVD法(基板溫度爲室溫 〜550°C、例如400°C、氣體壓力爲T〇rr、例如1〇.2 Torr) ’使多結晶膜6 7成長呈數"爪~ 0.005 // m例如〇. 1 " m之 厚度》 此時’以成膜室44内之眞空爲丨0九10-8 Torr,例如供给 氫系載體氣體100〜200 SCCM,而將觸媒體加熱至預定溫度 而活性化後’將由氫化矽(例如單矽烷)氣體丨〜2〇 sccm (依需要亦含有適量ΒΖΗ6或PH3等之摻雜氣體)所構成的反 應氣體4 0仗供給導官4 1經由喷i麗頭4 2的供給口 4 3導入, 使氣體整力爲10*1〜10-3 Torr ’例如1〇·2 Torr。此氫系載體氣 體亦可爲氫、氫+氬、氫+氛、氫+氦、氫+氙 '氫+氪等 之任一者。 基板1在晶座4 5内之電熱絲5 1加熱至室溫〜5 5〇。匚、例士 200〜300°C ’又,觸媒體係在氫系載體氣體中例如作爲* 阻絲而加熱至融點以下,尤其800~2000。(:,例钆舱扣从吃 〜如將鎢絲加 熱至約1650°C而活性化。使反應氣體4 0接觸於九, *叫、硬加熱又鴣 等的觸媒體4 6,開啓防護罩4 7。 -24- 本绝張&度適用中舀囵家標準(CNS)A4規格(21〇 x 297公釐) I 裝--------訂--------I I η (請先閱璜背面之注意事項再填寫本頁) 455912 經^邬智慧財產局員工消費合作社印製 A7 B7 五、發明說明(22 ) 反應氣禮4 0之至少一部分係與觸媒體4 6接觸而觸媒性 分解’藉觸媒分解反應或熱分解反應,而形成一具有高能 量之石夕離子、自由基的反應種集團、亦即,自由基的堆積 種或其前驅體及自由基氫離子。如此一來,對所生成之離 子、自由基等的反應種5 〇使輝光放電開始電壓以下、例如 5 00 V的直流電源4 9產生的直流電場作用,而賦予運動能 量’朝向基板1之側’在保持於室溫〜55(TC例如200〜300°C 的基板1上使多結晶矽膜6 7氣相成長》 如此一來,使厚度爲例如〇 · 1 ^ m左右的多結晶沙膜6 7堆 積。此堆積時間從使之成長的層厚求出,又,原料終了 後,使原料氣體供給停止,觸媒體降溫後,停止氫系載體 氣體,返回大氣壓而取出基板1。此時,爲防止觸媒趙之 氧化劣化,在使觸媒體昇溫、降溫之間,成爲氫系載體氣 體氣氛乃很重要。 然後’如圖6 B所示,使用光阻劑掩模而使多結晶矽膜 6 7圖案化,形成各部分之電晶體活性層。 再者’如圖6 C所示,例如在9 5 0 °C下、整氧化處理或氦 稀釋氧氣及單矽坡氣體供給下,藉由與上述相同之DC偏 壓觸媒CVD法’於多結晶矽膜6 7的表面形成厚度例如 350又的閘極氧化膜68。以DC偏壓觸媒CVD法形成閘極氧 化膜6 8時’基板溫度及觸媒體溫度、直流偏壓電壓乃與 上述者相同,但氧氣流量爲1〜2 SCCM,單矽烷氣體流量 爲15〜20SCCM,氫系載體氣體爲150SCCM。 繼而,爲控制電晶體活性層67的通道區域之雜質濃度,進 -25- 本紙張叉度適用中國國家標準(CNS)A4規格(210 X 297公髮) I.---------------------訂--------- (請先閱讀背面之注意事項再填寫木頁) 455912 經濟部智慧財產局員工消費合作社印*1^ A7 ΙΪ7 五、發明說明(23 ) 行與前述相同之B +或P +等預定雜質的離子注入後,如圖6D 尸斤示,閘極電極材料例如鋁以濺鍍堆積成厚例如4〇〇〇又, 或,使磷摻雜多結晶矽膜在例如氫系載體氣體丨5〇 SCCM、 2〜20 SCCM的PH3及20 SCCM的單矽烷氣體的供給下,藉由 與上述相同之D C偏壓觸媒CVD法(基板溫度2〇〇〜3〇(rc)而 堆積成厚例如4000又。而且,使用光阻劑掩模,使閘極電 極材料層圖案化成閘極電極75的形狀。又,光阻劑掩劑 之除去後,例如以90(TC下,〇2中之氧化處理6 〇分鐘,於 閘極多結晶矽膜7 5的表面形成氧化膜。 其次,如圖6E所示,使P通道MOS電晶體部分以光阻劑78 形成掩膜’將N型雜質即例如As+或P +離子7 9以例如80 keV、 1 X 1015 atoms/cm2的劑量離子注入,在95(TC下,於n2中之 回火5分鐘,而分別形成N通道MOS電晶體的N+型源極區 域8 0及汲極區域8 1。 然後,如圖6 F所示,使N通道MOS電晶體部分以光阻劑 8 2形成掩模,將P型雜質即例如B+離子s 3以例如3〇 keV、 1 X 1015 atoms/cm2的劑量離子注入,在950°c下、n2中之回 火5分鐘,而分別形成p通道MOS電晶體的P-型源極區域 84及汲極區域85。 繼而’如圖6G所示,於全面藉由與上述相同之DC偏壓 觸媒CVD法,使氫系載體氣體150 SCCM通入,在1〜2 SCCM 的He稀釋〇2、15-20 SCCM之SiH4供給下,在200X積層 Si02膜例如 500 A厚,在 50〜60 SCCM之NH3、15〜20 SCCM之 SiH4供給下例如20(TC積層SiN膜2〇00又厚,進而,在1〜20 -26- 本紙張尺度適用中國國家標準(CNS)A4规格(210 X 297公釐) i- I 裝--------訂----------線---- f請先閲is背面之注意事項再填寫本頁) 4 5 5 9 1 2 A7 --------B7__ 五、發明說明⑼) SCCM的 B2H6、卜20 SCCM的 PH;、1〜2 SCCM 的He稀釋 〇2、 15 20 SCCM的SiH4供給下,形成删及鱗接雜珍酸鹽破璃 (BPSG)膜作爲回流膜’例如2〇〇°CT6〇〇〇又的厚度,使此回 流膜在例如90(TC之Ν2中進行回流。藉此等之絕緣膜的積 層形成層間絕緣膜8 6。又,如此之層間絕緣膜係亦可以 與上述不同的方法。例如電漿Cvd法等來形成。 接著,如圖6 Η所示,於上述絕緣膜8 6的預定位置開啓 接觸窗口,在包含各接觸孔的全面上將鋁等之電極材料以 濺鍍法等在15(TC下堆積成丨厚,再形成圖案化,而分 別形成畫素部之N通道MOSTFT的源極電極87、周邊回路 邵又P通道MOSTFT及N通道MOSTFT的源極電極88、90與 源極電極89、91。又,此時,藉本發明之DC偏壓觸媒 CVD法亦可形成鋁。 然後,於表面上以CVD法形成Si〇2等之層間絕緣膜9 2 後’如圖61所示’於畫素部對層間絕緣膜叨及^^開啓接 觸孔,例如IT〇(Indium tin 〇xide:銦氧化物植入錫之透明電 極材料)以眞空蒸鍍法全面堆積,再圖案化而形成—連接 汲極區8 1之透明畫素電極9 3。如此一來,可製作透過的 LCD °又’上述之步驟同樣地亦可適用於反射型的[CD製 造。 第2實施例 其次,參照圖7而說明本發明之第2實施例。 本實施例係使用上述之第1實施例的D ^偏壓觸媒CVD法 及其裝置,進而如圖7所示,在基板丨或晶座4 5的附近配 -27- 本紙狀t酬雜準(CNSM4規格⑵0 -------------Μ — {靖先閱讀背面之注意事項再填寫本頁) 訂* -線· 經濟部智慧財產局員工消費合作社印製 4 5 5 9 1 2 經濟部智慧財產局員Η消費合作社印製 A7 B7 五、發明說明(25 ) 設荷電粒子或離子具體上電子喷灑頭1〇〇。因此,除了上 述第1實施例之作用效果外,尚可得到如下優異之效 果。 " 於上述之多結晶矽膜等的成膜時或成膜中,以觸媒體4 6 之觸媒作用在反應氣體中會產生一具有高能量之自由基的 堆積種或其前驅體與離子等,因此,基板[會荷電而二成 成膜不均,使膜或元件的性能劣化,但例如從上述之電子 喷灑100對離子等藉直流電場而照射一具有方向性與集中 性之電子’俾中和基板〖上的電荷,可充分防止其荷電。 尤其,基板1若由絕緣物所構成,易積蓄電荷,故電子噴 麗100之使用乃很有效β 第3之實施例 其次’參照圖8而説明本發明之第3實施例。 本實施例係於上述第丨實施例之D c偏壓觸媒CVD法及其 裝置中,如圖8所示,使用以加速反應種之電極形成一配 置於基板1與觸媒體4 6之間的綱電極1 〇 1。 亦即,在基板1與觸媒體46之間,配置一具有氣體通過 孔101c之複數網狀電極1〇1&與1〇lb,對此等之間施加1 以下的D C電壓4 9,如上述般,對由觸媒體4 6引起的反應 氣體分解生成之反應種朝基板1的方向賦予運動能量。因 此,除了與上述第1實施例相同之作用效果外,尚且預先 經設計’加工之加速電極作爲網狀電極1〇丨而於基板1與觸 媒體4 6之間的間隙内可很容易地插入,又,將加速電極 加工成可提高加速效率的形狀後再進行配設。又,網狀電 -28- I---------------------訂---------I, <請先閱讀背面之注意事項再填寫本頁) 公 7 9 VJ X W Μ * / 0 455912 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(26 ) 極101與喷灑頭42宜由耐熱性良好、具有與觸媒體46相同 或其以上之融點的材質所形成。 第4實施例 其次,參照圖9説明本發明之第4實施例。 本實施例與上述之第3實施例比較,其相異點乃在於: 將加速用之一者網狀電極l〇la配置於觸媒體46與喷灑頭 42之間,且,加速用之另一者網狀電極101b配置於基板j 與觸媒體4 6之間。 因此’本實施例中’網狀電極10 la與l〇lb乃存在於觸媒 體4 6的兩側,故更易使所生成之反應種指向基板1的方 向。網狀電極101 a及101 b係宜以與喷满頭4 2以及觸媒體4 6 相同或其以上之融點的材質即耐熱性材料來形成。 第5實施例 然後,參照圖1 0、圖1 1説明本發明之第5實施例。 本貫施例係使上述之加速電極1 〇 1如圖1 〇所示般形成多 孔板狀、或、如圖1 1所示般形成網狀,不妨礙氣體流而 有效地發揮加速作用。如此之形狀於觸媒體4 6亦可同樣 地適用。 第6實施例 其次,參照圖1 2而説明本發明之第6實.施例。 本實施例當在常壓下操作上述第1實施例之D C偏壓觸媒 CVD裝置時’以排出氣體不接觸於基板1上之膜的方式, 如圖1 2所示,於晶座4 5形成通氣孔1 〇2,從基板1之周邊 區域將排氣103朝上方導出,向未圖示之排氣口流動。 -29- 本紙張尺度適用中國國家標準(CN’S)A4規格(210 X 297公釐) , ,裝--------訂---------線 - . f琦先閱讀背面之注意事項再填寫本頁) 4559 ilfv濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(27 ) *因2 ’即使在常壓下操作,於基板丨上可形成無污染的 處膜又,因爲常壓,裝置構成很簡單,產量亦可提 弄〇 第7實施例 參照圖1 3乃至圖1 7而説明本發明之第7實施例。 口在上述之各實施例中,基板丨配置於噴灑頭42的上方, 但在本實施例中,如圖13所示,只在基板丨配置於噴灑頭 42的下方之點相異,其他的構成或操作方法乃相同。因 此,基本上,可得到與上述第1實施例相同的優點。 具體的構成例,可舉出常壓型,首先如圖14所示,在旋 轉式並帶有電熱絲之晶座45上介自由轉式之台〖Ο*配置複 數片基板1,於晶座中心孔内從具有導管兼旋轉軸1〇5之旋 轉式噴灑頭4 2供給反應氣4 〇,使觸媒體4 6 (但,電源乃省 略圖π,以下相同)所產生之反應種於D c電源4 9形成的 DC電場中成膜於基板1上。排氣係從晶座以的周圍朝下 方導入。 此例之情形,使複數之基板】及噴灑頭4 2旋轉同時並使 反應種朝基板方向加速而成膜,故量產性佳尚且氣體之分 布成爲相同,成膜之均一性可提昇d 又,圖I 5所tf之例子中,自轉式帶電熱絲1 〇6之晶座4 5 乃於圓錐形之緩衝件1〇7周圍進行公轉即形成自公轉式, 表各叩座4 5上固定基板1,從圓錐形鐘罩i 上之噴灑頭 42供給反應氣體4〇,藉施加於如圖门所示之網狀電極 的DC電壓而使觸媒體46產生的反應種加速,於基板】上 |__ - 30 - 本纸張尺度適用中國國家標準(CNS)A'l規袼(210 X 297公f ) -----:----------裝--------訂---------線 (請先閱讀背面之主意事項再填寫本頁) 4 5 5 9 12 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(28 ) 成膜。 此例之情形,在圓錐形之鐘罩内使複數基板自公轉,同 時並使反應種朝基板方向加速而成膜,故,量產性佳尚且 氣體之分布乃相同,成膜之均一性更進一步提昇。 圖1 6係表示各別之連續式常壓成膜裝置的例予,於搬送 帶109上配置基板i,從噴灑頭42供給反應氣體4〇,藉施 加於如圖8所示之網狀電極1〇1的dc電壓而使觸媒體46產 生的反應種加速,於基板i上成膜。排氣1〇3係朝基板1之 上方導出,故無對生成膜污染等的問題。 此例之情形,使基板1朝丨方向搬送同時並使反應種朝基 板方向加速,且使排氣朝上方排出,故成膜之量產性佳, 即使常壓型亦很容易形成乾淨的膜。 第8實施例 其次’參照圖1 7而説明本發明之第8實施例。 本實施例之成膜裝置,例如選擇性使用5個眞空室,可 依次成膜:,藉由積層各種膜而形成全體的膜,例如形成如 圖5 J所示之積層絕緣膜者。基板丄係被晶座4 5眞空吸附, 藉加料台之機器手臂U0裝載於加料部U1而藉彌散頭112 依次送至各眞空室’在其間,基板以朝下方之如圖】的面 朝下狀態進行成膜。但’上述觸媒體46或加速電極係省 略圖示。 此例之情形,對積層膜之形成有利,因基…之熱源位 於上方,故對流效果很少,又,因基板i面朝了,亦可抑 制微粒的吸附》 -31 - 本纸張尺度適用中國國家標準 (CNS)A4規格(210 X 297公餐) — ί —— — — —— —---I ► -------I ' — — — — — — I— I ] (請先閲讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作杜印製 以上各例所示之常壓CVD裝置,比蟲晶成長裝置更能在 低溫下成膜’目亦不使用腐蝕性氣體,眞空室設計很容 易。 第9實施例 其次,參照圖18及圖丨9而說明本發明之第9實施例。 <RF/DC裝置觸媒CVD法與其裝置> 本實施例係依據觸媒CVD法,使由氫系載體氣體與錢 氣體等原料所構成的反應氣體接觸於經加熱的鶴等觸媒 體,使輝光放電開始電壓以下之電場作用於依此所生成的 f由基的堆積種或其前驅體及自由基氫離子,而賦予運動 说1 ’於絕緣基板上使多結晶矽等預定的膜氣相成長時, 在基板與對向電極之間施加輝光放電開始電壓以下並立是 直流电壓重疊尚周波電壓之電壓(以帕申法則來決定之電 壓,例如1 kV以下之電壓),使前述自由基的堆積種或其 前驅體及自由基氫離子朝向基板侧,同時並賦予以微妙電 場變化的運動能量。以下,本實施例之CVD法稱爲RF/DC 偏壓觸媒CVD法。 此RF/DC偏壓觸媒CVD法係使用圖18及圖19所示之成膜 裝置來實施。 此成膜裝置亦即若依據rF/DC偏壓觸媒CVD裝置,與圖 1〜圖3敘述同樣地,由氫系載體氣體與氫化矽(例如單矽 燒)等原料氣體所構成的反應氣體4〇(依需要亦適量含有 B#6或PHa等的摻雜氣體):係從供給導管4 1經由噴灑頭 4 2的供给口而導入成膜室4 4。成膜室4 4的内部分別配 -32- 本纸張尺度適用中园國家標準(CNS)A4規格(210x297公釐) — — — — — Iilll — 1 11---11 ^ · — ----— II C靖先閲讀背面之注意事項再填寫本頁) 4559 12 Λ7 137 五、發明說明(3〇 ) (請先閱讀背面之注意事項再填寫本頁) 置’用以支撑玻璃之基板1的晶座4 5 '耐熱性佳較佳係具 有與觸媒體4 6相同或其以上融點的材質之噴灑頭4 2、螺 旋狀之鶴等的觸媒體4 6、及、可開關之防護罩4 7。又, 在邱座45與成膜室44之間施予磁場遮蔽。又,成膜室44 係連接於進行前步驟之前室,以渦輪分子泵等介由閥門而 排氣。 繼而’基板1係以晶座4 5内之電熱絲等加熱裝置加熱至 室溫〜550°C,例如200〜30(TC,觸媒體4 ό例如於氫系載體氣 體中’作爲電阻絲加熱至觸點以下例如8〇〇〜20〇〇°C,鎢的 情形約加熱至1600〜1 7〇〇°C而活化。觸媒體4 6之兩端子係 連接於直流或交流之觸媒體電源4 8,藉來自此電源之通 電加熱至預定溫度。又,噴灑頭4 2係作爲加速電極,而 從導管4 1介由低通(高周波)濾波器1 13而連接於可變之直 流電源(1 kV以下例如500 V)49的正極側,又介由整合回路 114而連接於命周波電源n5(100〜200 Vp.p、及1〜1〇〇 MHz, 例如150 Vp_p、13.56 MHz) ’在與支撑基板i之晶座45之間 施加1 kV以下的南周波電壓重疊的直流偏壓電壓。 經濟部智慧財產局員工消費合作社印製 爲實施此RF/DC偏壓觸媒CVD法,首先如圖18所示,使 成膜室44爲10·6〜〗0·8 Torr,將基板昇溫至2〇〇〜30CTC,同時 並將由氫系載體氣體與矽烷氣體等原料氣體所構成的反應 氣體40從噴灑頭42的供給口導入,使氣壓爲1〇-2〜丨〇-3 Ton*、例如1〇-2 Torr,同時’接觸於加熱至8〇〇〜2〇〇〇χ:例如 約1 6 5 0 °C的鎢等觸媒體4 6,如圖1 9所示般,打開防護罩 47。 -33- 本纸張尺度適用_國®家標準(CNS)AJ規格(210 X 297公釐〉 經濟部智慧財產局員工消費合作社印製 455912 Λ7 _;_____B7_______ 五、發明說明(31 ) 反應氣體4 0之至少一部分係與觸媒體4 6接觸而觸媒性 分解,藉由觸媒分解反應或熱分解反應,而形成具有高能 量之>5夕等離子、自由基氫離子所構成的反應種集團,亦即 自由基的堆積種或其前驅體及自由基氫離子。對如此所生 成之反應種5 0作用RF/DC偏壓電場(其係於輝光放電開始 電蜃以下例如500 V之直流電源4 9的直流電壓重疊1 〜200
Vp_p、13.56 MHz的高周波電源u5之高周波電壓)而在微妙電 場變化下賦予運動能量’朝向基板1之側並集中,同時使 成膜時之泳動活潑化’於保持在室溫〜550°C (例如200〜300°C) 之基板1上進行多結晶矽等預定膜之氣相成長。 如此一來,不產生電漿’對於反應種,賦予一具有加速 能量之方向性運動能量,而該加速能量乃於觸媒體46之 觸媒作用與其熱能伴隨一因(直流+高周波)電場產生的電 場變化造成的。故,可有效率地將反應氣體改變成反應 種,而藉(直流+高周波)電場於基板1上均一地以熱 積。此堆積種5 6係於基板1上泳動,在薄膜中進行擴散, 故具有超LSI(大規模積體電路)等凹凸段差或高長寬比之 比爾孔等複雜形狀的基板表面’可密接性良好地形成—敏 密(高密度)且揩梯覆蓋之良平坦且多結晶矽等半導體膜、 或 '鋁、銅等金屬膜、氮化矽等絕緣膜等之薄膜。 因此’本實施例之RF/DC偏壓觸媒CVD ,其優點係比習 知之觸媒CVD控制因素即基板溫度、觸媒體溫度、氣壓 (反應氣體流量)、原料氣體種類等,更追加了以獨立任竞 之(直流+高周波)電場來控制薄膜生成。因此,主要可提 -34- 本纸張尺度適同中國國家標準(CNS〉A彳規格(210 X 297公釐) --------------- --------訂--------- (請先閱讀背面之注意事項再填寫本頁) 455 9 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(32 ) 高以與生成膜基板之密接性,以及生成膜密度、生成膜均 一性或平滑性、對比爾孔等之填充性與覆蓋性,並使基板 溫度更低溫化,生成膜之應力控制成爲可能,可得到高品 質膜例如近似塊體之物的矽膜或金屬膜。而且,在觸媒體 4 6所生成之反應種可以(直流+高周波)電場來獨立控制, 效率佳地堆積於基體上,故反應氣體之利用效率高,生成 速度増快而謀求生產性提高與刪減反應氣體之成本下降。 又’即使基板溫度低溫化,反應種之運動能量亦大,故 可得到目的之良質膜’基板溫度如上述般可更低溫化,以 硼矽酸破璃、鋁矽酸玻璃等之玻璃基板 '聚醯亞胺等之耐 熱性樹脂基板等的大型可使用廉價的絕緣基板,就此點亦 可降低成本。而且,用以加速上述反應種的電極,可兼用 反應氣體供給用的噴灑頭4 2,故構造能簡化。 又,因無電漿產生,無電漿造成之損傷,可得到低應力 之生成膜,同時比電漿CVD法更能實現簡單且廉價的 置。 此時,可在減壓下、例如1〇-3〜丨〇_2 丁〇叮或常壓下進行操 作,常壓型比減壓型更能實現簡單廉價的裝置。而且,在 系壓型加上上述之電場,故能得到密度、均一性' 密接性 佳的高品質膜。此情形下,常壓型比減壓型之產量還大, 生產性高,可降低成本。 ’ 減壓型之情形,(直流+高周波)電壓會受氣壓(反應氣邮 流量)或原料氣體種類等影響,但無論何者,㈣調整= 輝光放電開始電壓以下之任意電壓。常壓型之情形,不 -------------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) -35- 4 經濟部智慧財產局員工消費合作杜印製 b b S i 2 A7 ----------B7 __ _ 五、發明說明(33 ) 放電’但以反應氣體及反應種之流動不受膜厚及膜質不良 影響的方式’及,基板上不接觸排氣流的方式,宜調整排 氣。 在上述CVD中’因觸媒體46產生輻射熱,基板溫度會上 昇,但如上述般,依需要亦可設置基板加熱用電熱絲 5 I。又,觸媒體4 6係形成螺旋狀(除此以外亦可爲網狀、 多孔板狀),但進一步於氣體流方向形成複數段例如2〜3 •k,亦可增加與氣體之接觸面積。又,在此cVD中,將基 板1配置於晶座45的下面、喷灑頭42的上方,故在成膜室 44内產生之微粒會落下而不會附著於基板丨或其上之膜。 進而,於本實施例中,進行上述RF/DC偏壓觸媒CVD 後,與圖4所示同樣地,基板!取出至成膜室4 4外,導入 CF4、C2F6、SF6、H2、NF3等反應氣體57(眞空度爲10-2〜數 Torr),在基板1之晶座4 5與對向電極即喷灑頭4 2之間施加 高周波電壓5 8或直流電壓而產生電漿放電,藉此可清淨 成膜室44内。此時之電漿產生電壓爲i kv以上,尤其爲數 1<;\^~數10 kv,例如 10 kv。 又’於本實施例中,與上述第1實施例所述同樣地, RF/DC偏壓觸媒CVD法取代DC偏壓觸媒CVD法而可適用於 圖5及圖6所示之M0STFT的製造或液晶顯示裝置(LCD)之 製造。 又,於整合回路1 14的前位如圖1 8及圖1 9中以點虛線所 示般設有開關116 ’藉開啓此而可實施上述RF/DC偏壓觸媒 CVD法。又’若開關1 16關閉,可實施只使直流電源4 9動 -36- 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) -------- ---I^· -------訂--------- ί請先閱讀背面之注意事項再填寫本頁) 4559 Λ7 B7 經濟部智慧財產局員工消費合作社印*11^ 五、發明說明(34 *Ί乍、 * <上述第1實施例的D C偏壓觸媒C VD法。 第1 〇實施例 其次’參照圖2 0而説明本發明之第! 〇實施例。 在本實施例中’上述第9實施例的RF/DC偏壓觸媒cVD法 及其裝置,如圖2 3所示般,在基板1或晶座4 5的附近配設 荷电知'子或離子,例如電子噴灑100。因此,除了第9實施 例之優點外,尚可得到如下之優點。 亦即’上述多結晶梦膜等之成膜時或成膜中,以觸媒體 46之觸媒作用,在反應氣體及反應種中產生離子,藉 此’基板1會充電而產生成膜斑紋,使膜或元件之性能劣 化’但’例如從上述電子噴灑1 〇〇所照射之電子可於基板1 中和政荷’充分防止其充電。尤其’若基板丨由絕緣物 所構成’易積蓄電荷,故使用電子噴灑100很有效。 又’在上述第9實施例中,與第3及第6實施例所述同樣 地’若設有加速用之網狀電極101或帶有通氣孔1〇2之晶座 4 5 ’可得到同樣之作用效果。 第1 1實施例 其次’參照圖2 1而説明本發明之第1 1實施例。 上述之各實施例’基板1配置於嗜厲頭4 2的上方,但本 本實施例中,只在基板1配置於噴灑頭4 2的下方一點相 異,其他的構成或操作方法乃相同◦因此,基本上可得到 與上述第9實施例相同之作用效果。又,圖21中、丨〇1爲 網狀電極,在此網狀電極或噴灑頭4 2與基板1之間施加高 周波電壓重疊的直流電壓。 -37- 本纸張义度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------- --------訂--------- - - <請先閱璜背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(35 ) 具體上的構成例可舉出常壓型,亦可適用於如圖14乃至 圖1 7之構成的成膜裝置。 第1 2實施例 其次’參照圖2 2而説明本發明之第1 2實施例。 <AC/DC偏壓觸媒CVD法與其裝置> 本實施例中依據觸媒C V D法而使由氣系載體氣體與矽燒 氣體等原料氣體所構成的反應氣體接觸於經加熱之鎢等觸 媒體,對依此所生成之自由基的堆積種或其前驅體及自由 基氫離子’作用輝光放電開始電壓以下之電場而賦予運動 能量,於絕緣基板上使多結晶矽等預定的膜氣相成長時, 在基板與對向電極之間施加電壓(即輝光放電開始電壓以 下且於直流電壓重疊低周波電壓之電壓,以帕申法則來決 定之電壓,例如1 kV以下之電壓),使前述自由基的堆積 種或其加驅體及自由基氫離子朝向基板側,同時並在電場 變化下之運動能量。以下,此cVD法稱爲AC/DC偏壓觸媒 CVD 法。 此AC/DC偏壓觸媒CVD法係在上述第9實施例中’使用 低周波電源125取代如圖2 2所示之高周波電源丨丨5,其他係 使用同樣構成之成膜裝置來實施。 亦即’喷灑頭4 2係作爲加速電極,介由導管4丨而(省略 上述之低通濾波器11 3)連接於可變之直流電源(丨kv以下, 例如500 V)49的正極側,又’介由整合回路114而連接於 低周波電源125(100~200 丫"及1 MHz以下,例如丨50 V 、
P-P 26 KHz),在與晶座45所支撑之基板之間施加1 kv以下之 38· 本纸張尺度这用中國國家標準(C_\S)A4規格(210 X 297公釐) I-------------1裳--------訂------I--線丨- (請先閱讀背面之注意事項再填寫本頁) r'濟部智慧財產局員工消費合作社印*'1^ A7 B7 五、發明說明(36 ) 低周波電壓重量的直流偏壓電壓。 如此來,不發生電漿而對於反應種賦予一具有加速能 量〈向量性運動^ f ’而該加速能量係於觸媒體4 6之觸 媒作用與其熱能伴隨一(直流+低周波)電壓產生的電場變 化,;故可將反應氣體有效率地改變成反應種,藉(直流+低 周波)電場於基板1上均—地以熱CVD堆積。此堆積種56 在基板1上泳動,在薄膜中擴散,故在具有超lsi(大規模 積體电路)等凹凸段差或高長寬比的比爾孔等複雜形狀之 基板表面,可被接性佳地形成緻密(高密度)、階梯覆蓋良 :的平坦且均一薄膜,例如多結晶矽等半導體膜或鋁、銅 等(金屬膜、氮化矽等之絕緣膜等。其他,可得到與上述 第9實施例相同之優點。 又,於本實施例中進行上述AC/DC偏壓觸媒cVD,與圖 4所不同樣地’取出基板i至成膜室44外,導入a#、 C2F6、SF6、H2、NF3等反應氣體57(眞空度爲1〇·2〜數 Torr),在基板1晶座4 5與對向電極即噴灑頭4 2之間,施加 高周波電壓5 8或直流電壓而產生電漿放電,藉此可清淨 成膜室4 4内。 又,在本實施例中,與上述第丨實施例所述同樣地,使 AC/DC偏壓觸媒CVD法取代D c偏壓觸媒CVD法而可適用 於圖5及圖6所示之M0STFT的製造或液晶顯示裝置(LCD) 的製造。 又,於整合回路114之前位,如圖2 2所示,以一點虛線 所不般設有開關U6,藉由開啓此,可實施上述ac/DC偏 -39· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 Γ------— II —--* ------—訂-- ----! (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(37 ) 壓觸媒CVD法。又,若使開關i 16呈關閉,可實施只使直 流電源4 9動作之上述第!實施例的〇 C偏壓觸媒CVD法。 又,於本實施例之AC/DC偏墼觸媒CVD法,適用圖7、 圖8、圖9所示之實施例,照射電子束而中和電荷,可使 用網狀電極作爲加速電極。 第1 3實施例 其次’有關圖2 6,參照圖1 3而説明本發明之第3實施 例。 本實施例中,於上述各實施例,所使用之原料氣體做各 種改變’而形成對應之各種薄膜。此處,亦可適用上述 DC偏壓、RF/DC偏壓、AC/DC偏|之任一者觸媒CVD法。 以上敛述之本發明實施例,係可依據本發明之技術思想 而爲各種變形。 例如’以上之成膜條件或裝置構成、使用之原料氣體與 成膜的種類等亦可做各種變更。 依所使用之基板’於絕緣基板表面以乾蝕刻等之方法使 預定形狀之段差形成於預定位置,此段差之底的角形成薄 板’而在本發明之DC偏壓、AC/dC偏壓或RF/DC偏壓等之 電場施加下的觸媒CVD法,可在更低溫下進行單結晶矽之 堆積、所謂凹版磊晶成長。又,若於基板表面形成單結晶 石夕與格子整合佳的物質層、例如結晶性硫層或尖晶石構造 體、例如氧化鎂尖晶石(Mg〇 . Al2〇3)或氟化鈣(CaF2)之 層’再形成薄板’可藉本發明之DC偏壓、AC/DC偏壓或 RF/DC偏塾等之電場施加下的觸媒法而在更低溫下進 __ - 40 _ 本紙㈣度剌辟(CNS)A4職 ----------------------訂---------線 I , (請先閱讀背面之注意事項再填寫本頁) 4559 ί 2 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(38 ) 行單結晶矽之堆積即異磊晶成長。 如此,可在低溫下堆積’故歪點比較低之氣體基板等易 獲得’可使用低成本、物性亦良好的基板,基板可成爲大 型化。又’結晶性硫層等因會成爲各種原子的擴散障礙, 可抑制來自玻璃基板的雜質擴散。如此之矽單結晶薄膜的 電子移動度爲540 cm2/v _ sec以上,且可得到相當咬基板之 很大値’故可使以高速且大電流密度之電晶體爲首、高性 能之二極體、電容器、電阻等之半導體元件、或、此等積 體之電子回路作成於耐熱性樹脂基板或破璃基板等之絕緣 性基板上。 又’亦可照射其他負電荷之粒子或依充電之極性亦可月g 射質子等的正電荷粒子來取代用以防止上述充電之電子嗜 灑。又,在上述第9及第1 2之實施例形態中,亦可採用在 上述第3 ~第8實施例之電場施加裝置。 又’電場施加係如圖24A所示,對加速電極施加電源之 正極側,於晶座(基板)施加負極側或接地電位之方法,如 或如圖24B所示,以加速電極作爲接地電位,對晶座(基板) 施加負極側之方法的任一者。電場施加係亦可只對高周皮 父流電壓、或、低周波交流電壓、或低周波交流電壓重疊 高周波交流電壓而成之交流電壓。但,其交流電壓之絕對 値爲輝光放電開始電壓以下。或,亦可對直流電壓重藝古 周波交流電壓與低周波交流電壓而成之電蜃。但问 —%电壓之 絕對値爲輝光放電開始電壓以下。又,此電壓在成膜 ‘、 可改變。於電極與晶座之間施加直流電壓等之電場 人 -41 - 本紙張尺度適用中國國家標準(CNS)A4規格(2〗〇x297公釐) — II ί 1 I I I I— * f I I I I I I ^ - — —— — HI — (靖先閱讀背面之注意事項再填寫本頁) 4559ί2 Α7 ---— -__Β7__ 五、發明說明(39 ) -可測定流動於其間之電流的裝置,並具備顯…一 壓特性之曲線與燴圖器,俾於成膜中檢測膜質。2机电 在此施加電場中之特性値的電流佐回備至電場=加:^ 源、熱觸媒用電源或氣體供給系的質量流動控制器等之方 法,亦可得到經常一定的膜質。 之万 產業上之利用可能性 本發明係使反應氣體接觸於經加熱之觸媒體,對所生成 之反應種作用輝光放電開始電壓以下的電場,而賦予方向 性的運動能量,在基體上使預定的膜氣相成長,故,對於 反應種’除了觸媒體之觸媒作用與其熱能外尚賦予電壓產 生之加速電場,故方向性之運動能量會變大,可有效率地 引導至基體上’在基體上之泳動及生成過程之膜中的擴散 很充分,生成膜與基體之密接性提昇、生成膜密度之提 昇、生成膜均一性或平滑性之提昇、比爾孔等之填入性與 階梯覆蓋的提昇、基體溫度之更低溫化、生成膜之應力控 制等成爲可能,可得到高品質膜。 1.1 — — — — — —--· I-----1 ^ -------I I (請先閱璜背面之注急事項再填寫本頁) 經濟部智慧財產局員Η消費合作社印*'1衣 -42- 枚义度遇甲中國囤家標準(CNS)A·!規格(210 X 297公釐

Claims (1)

  1. 6^12 Α8 Β8 C8 D8 申請專利範圍 -濟部智Μ財產局員工消費合作社印製 ,一種成膜方法,係使反應氣體接觸於經加熱之觸媒體, 藉此反應氣體對反應體之接觸,對所生成之反應種作用 輝光放電開始電壓以下之電場而賦予運動能量,於基體 上使預定的膜氣相成長。 2*根據申請專利範圍第丨項之成膜方法,係施加輝光放電 開始電壓以下之直流電壓,使前述反應種朝向前述基體 側。 .根據申請專利範圍第1項之成膜方法,係施加一種電 壓’其爲輝光放電開始電壓以下且於直流電壓重疊交流 電壓者。 根據申請專利範圍第3項之成膜方法,係使前述交流電 壓形成高周波電壓及/或低周波電壓。 S ’根據申請專利範圍第4項之成膜方法,係使前述高周波 电壓之周波數爲1 MHz〜1 0 GHz,前述低周波電壓之周 波數爲1 M Hz以下。 6,根據申請專利範園第1項之成膜方法,施加一種電壓, 其係作爲前述形成電場之電壓(但,其絕對値爲輝光放電 開始電壓以下),且只使高周波交流電壓或低周波交流電 壓、或於低周波交流電壓重疊高周波交流電壓。 根據申請專利範圍第6項之成膜方法,前述高周波交流 電蜃之周波數爲1 MHz〜10 GHz,前述低周波交流電壓 之周波數爲1 MHz以下。 根據申請專利範圍第1項之成膜方法,於前述基體與施 力π電場用之電極之間設置觸媒體。 本紙賴2, 297公笼) (請先閱讀背面之注意事項再填寫本頁) ^.------—訂---------. 4^59 12 A8 H8 C8 m 濟 部 智 • ^· 时 產 局 ·.!· t 合 η 社 印 對前述反應種照 使用電子束或質 於前述預定膜之 在預定之電極間 六、申請專利範圍 9·根據申請專利範圍第8項之成膜方法,使可導入反應氣 體之氣體供給口形成前述電極。 10.根據申請專利範圍第1項之成膜方法,於前述基體與反 應氣體供給裝置之間設置觸媒體與施加電場用之電極。 11·根據申請專利範圍第1項之成膜方法,使前述觸媒體或 施加電場用之電極形成螺旋狀、鋼絲狀、網狀或多孔板 狀。 12. 根據申請專利範圍第1項之成膜方法 射帶電防止用之荷電粒子。 13. 根據申請專利範圍第1 2項之成膜方法 子作爲前述荷電粒子。 14. 根據申請專利範圍第!項之成膜方法 氣相成長後,將基體取出至成膜室外 施加電壓而產生電漿放電,藉此電漿放電來清淨成膜室 内。 15. 根據申請專利範圍第1項之成膜方法,在減壓或常壓下 進行氣相成長。 16. 根據申明專利範圍第!項之成膜方法,將觸媒體加熱至 。(:的範圍且其融點以下之溫度,藉此經加熱之 觸媒體’使反應氣體之至少—部分觸媒反應或熱分解反 應而生成的反應種作爲原㈣,在力口熱至室溫〜55(rc 之基板上藉熱CVD法堆積薄膜。 根據中請專利範園第16項之成"法,使前述觸媒體藉 其本身之電阻加熱來進行加熱。 n I n n n ] n o* n If I n I (請先閱讀背面之注意事項再填寫本頁) -44
    經濟郜智慧財產局員工消費合作枉印製 18.根據巾請專利範圍第B之成膜方法,使用下述之 的任一者作爲原料氣體: (a) 氫化矽或其衍生物; (b) 氫化⑦或其衍生物、與、含有氣、氧氮、緒、 碳、錫或鉛之氣體的混合物; (c) 氫化矽或其衍生物、與、含有由周期表第3族或第 5族7G素所構成之雜質的氣體之混合物: ⑷氫化碎或其衍生物、與、含有氬、氡、氮、緒、 碳1或鉛之氣體的混合物、與、含有由周期表第3族 或第5狹7L素所構成之雜質的氣體之混合物: (e)銘化合物氣體; ⑴鋁化合物氣體、與、含有氫或氧之氣體的混合物; (g)銦化合物氣體; ⑻銦化合物氣體、與、含有氧之氣體的混合物; ⑴高融點金屬之氟化物氣體、氣化物氣體或有機化 合物氣體; G)高融點金屬之氟化物氣體、氣化物氣體或有機化 合物氣體、與氫化矽或其衍生物之混合物; 00鈦之氣化物、含有氮及/或氧之氣體的混合物 ⑴銅化合物氣體; (m)鋁化合物氣體 '與、氫或氫化合物氣體 '氫化矽 或其衍生物及/或銅化合物氣體之混合物; (η)烴或其衍生物: (〇)烴或其衍生物與氫氣之混合物; -------:----—^·-------訂---------線- (請先閱讀背面之注意事項再填寫本頁) -45- 455s A8 B8 C8 08 六、申請專利範圍 (p)有機金屬錯合物、垸氧化物。 19.根據申請專利範圍第1 8項之成膜方法,使多結晶矽' 單 結晶珍、非結晶系、微結晶妙、钟-坤、钟_ f择、鉀_氮 化物 '鉀-銦-磷等之化合物半導體、碳化矽' 矽鍺等 之半導體薄膜、鑽石薄膜、含η型或p型載體雜質之鑽 石薄膜、類鑽石碳薄膜、氧化矽、含雜質之氧化矽、 氮化矽、氧氮化矽、氧化鈕、氧化鋁、氧化鈦等之絕 緣性薄膜、氧化銦、氧化銦錫、氧化鈀等之氧化性薄 膜、鎢、鉬、钽、鈦、錘等之高融點金屬、導電性氮 化金屬、銅、鋁、鋁_矽合金、鋁-矽-銅合金 '鋁_銅 合金等之金屬薄膜、BST等之高介電率薄膜、ρζτ、 LPZT、SBT、BIT等之強介電率薄膜所構成的薄膜、 及、管狀碳多面體氣相成長。 20·根據申請專利範圍第〖項之成膜方法,藉由至少一種選 自嫣、含乳化紅之鶴、銅、白金、紅、鈒 '砂、欽、氧 化铭、附著金屬之陶竞及破化砂所構成群的材料來形成 觸媒體。 21·根據申請專利範圍第】項之成膜方法,在供給原料氣體 之前’前述觸媒體在氫系氣體中加熱處理。 22,根據申請專利範圍第i項之成膜方法,係形成矽半導體 裝置、矽半導體積體回路裝置、矽-鍺半導體裝置、矽_ 鍺半導體積體回路裝置、化合物半導體裝置、化合物 半導體積體回路裝置、碳化矽半導體裝置、矽化矽 <半 …導體積體回路裝g、高介電性記憶半導體裝置、強介 -46 - 本紙張議用中四因家鮮(cksW規恪 < _ ^ ^---------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印*1^ 4559 A8 B8 C8 D8 經-部智慈財產局員工消費合作社印製 六、申請專利範圍 電性記憶半導體裝置、液晶顯示裝置、電致發光顯示 裝置、電漿顯示面板(PDP)裝置、場放射顯示(PED)裝 置、發光聚合物顯示裝置、發光二極體顯示裝置、 CCD區域/線性感測裝置、MOS感測裝置或太陽電池裝 置用之薄膜。 23. —種成膜裝置,係具有:反應氣體供給裝置、觸媒體、 此觸媒體之加熱裝置、施加輝光放電開始電壓以下之電 場的電場施加裝置、與、支撑一用以成膜之基體的晶座。 24. 根據申請專利範圍第2 3項之成膜裝置,前述電場施加裝 置乃具有一可施加輝光放電開始電壓以下之直流電壓的 電源。 25. 根據申請專利範圍第23項之成膜裝置,係前述電場施加 裝置具有一種電源,其爲輝光放電開始電壓以下1於直 洗電壓重疊交流電壓者。 26. 根據申請專利範圍第2 5項之成膜裝置,係使前述交流電 壓爲高周波電壓及/或低周波電壓。 27. 根據申請專利範圍第26項之成膜裝置,係使前述高周波 也壓之周波數爲1 MHz〜10 GHz,前述低周波電壓之周 波數爲1 MHz以下。 28. 根據申請專利範園第23項之成膜裝置,施加一種電壓, 其係作爲前述形成電場之電壓(但,其絕對値爲輝光放電 開始電壓以下),且只使高周波交流電壓或低周波交流電 壓、或於低周波交流電壓重疊高周波交流電壓。 29. 根據申請專利範圍第28項之成膜裝置,前述高周波交流 -47- ----------;--装--------訂---------線 (請先間讀背面之注意事項再填寫本頁} 本纸張尺度適用中g國家標準 規格公釐) A8 B8 C8 D8 4 5 59)2 六、申請專利範圍 電壓之周波數爲! MHz〜10GHz,前述低周波交流電壓 之周波數爲1 MHz以下。 30. 根據巾請專利範圍第23項之成膜裝置,於前述基體與施 加電場用之電極之間設置觸媒體。 31. 根據申請專利範園第30項之成膜裝置,使可導入反應氣 體之氣體供給口形成前述電極。 32. 根據申請專利範圍第23項之成膜裝置,於前述基體與反 應氣體供給裝置之間設置觸媒體與施加電場用之電極。 33. 根據申請專利範圍第23項之成膜裝置,使前述觸媒體或 施加電場用之電極形成螺旋狀、鋼絲狀、網狀或多孔板 狀0 34. 根據申請專利範圍第23項之成膜裝置,於前述晶座之附 近設置荷電粒子照射裝置。 35‘根據申請專利範圍第34項之成膜裝置’前述荷電粒子照 射裝置了由電子束照射裝置或質子照射裝置所構成。 36. 根據申請專利範圍第23項之成膜裝置,設有—電锻放電 开/成裝置,其係於預定之電極間施加電壓而清淨成膜室 内。 37. 根據申請專利範圍第2 3項之成膜裝置,在減壓或常歷下 進行成膜。 38. 根據申請專利範圍第23項之成膜裝置,將觸媒體加熱至 800~2O〇〇°C的範圍且其融點以下之溫度,藉此經加熱之 觸媒體,使反應氣體之至少一部分觸媒反應或熱分解反 應而生成的反應種作爲原料種,在加熱至室溫〜 (請先閱讀背面之注意事項再填寫本頁) I 1 一OJ» ϋ n t I 經-即智慧財產局員工消赀合作社印製 -48- 4
    8 888 ARCH 經-部智莛財產局員工消費合作社印裂 <基板上藉熱CVD法堆積薄膜。 39’根據申請專利範園第3 8項之成膜裝置,使前述觸媒體藉 其本身之電阻加熱來進行加熱。 40.根據申請專利範圍第23項之成膜裝置,使用下述之 (a)〜(ρ)的任一者作爲原料氣體: (a) 氫化妙或其衍生物; (b) 氫化矽或其衍生物 '與' 含有氫、氧、氮、緒、 碳、錫或鉛之氣體的混合物; (c) 氫化矽或其衍生物、與、含有由周期表第3族或第 5族元素所構成之雜質的氣體之混合物: (d) 氫化矽或其衍生物、與、含有氫、氧 '氮 '緒、 碳、錫或鉛之氣體的混合物、與、含有由周期表第3族 或第5族元素所構成之雜質的氣體之混合物; (e) 鋁化合物氣體; (f) 鋁化合物氣體、與、含有氫或氧之氣體的混合物; (g) 銦化合物氣體; (h) 銦化合物氣體、與、含有氧之氣體的混合物: ⑴高融點金屬之氟化物氣體、氣化物氣體〇或有機化 合物氣體; ⑴高融點金屬之氟化物氣體、氣化物氣體或有機化 合物氣體、與氫化秒或其衍生物之混合物: (k) 鈦之氣化物、含有氮及/或氧之氣體的混合物; (l) 銅化合物氣體; (m) 紹化合物氣體、與、氫或氫化合物氣體、氫化矽 49- 本纸張&度適用中國國家標準(〇VS〉Al規格(210 X 297公楚) I f I n ^ ^ n ^ n ^ ^ ---八,> .1 ^ I ^ n - 1 · I — l I I J ^ c請先閱讀背面之注意事項再填寫本頁) 露08 455912 六、申Μ專利範圍 或其衍生物及/或銅化合物氣體之混合物; (η)烴或其衍生物; (〇)烴或其衍生物與氫氣之混合物; (Ρ)有機金屬錯合物、烷氧化物。 41. 根據申請專利範圍第4〇項之成膜裝置,使多結晶矽、單 Ί Β3珍、非結晶系、微結晶硬 '卸-坤、钾-鱗、钾-氮 化物、鉀-銦-磷等之化合物半導體' 碳化矽、矽-鍺等 之半導體薄膜、鑽石薄膜、含η型或Ρ型載體雜質之鑽 石薄膜、類鎮石碳薄膜、氧化硬、含雜質之氧化珍、 氮化矽、氧氬化矽、氧化鈕'氧化鋁、氡化鈦等之絕 緣性薄膜、氧化銦、氧化銦錫、氧化鈀等之氧化性薄 膜 '鎢、鉬、钽、鈦、锆等之高融點金屬、導電性氮 化金屬 '銅、鋁、鋁-矽合金、鋁-矽-銅合金、鋁-銅 合金等之金屬薄膜、BST等之高介電率薄膜、ΡΖΤ ' LPZT、SBT、ΒΙΤ等之強介電率薄膜所構成的薄膜、 及 '管狀碳多面體氣相成長。 42. 根據申請專利範圍第2 3項之成膜裝置,藉由至少一種選 自鶴、含氧化钍之鎢、銅、白金、鈀、釩、矽、鈦、氧 化紹、附著金屬之陶瓷及碳化矽所構成群的材料來形成 觸媒體。 43. 根據申請專利範圍第2 3項之成膜裝置,係以在供给原料 氣體之前,前述觸媒體在氫系氣體中加熱處理的方式構 成。 根據申請專利範圍第2 3項之成膜裝置,係形成$夕半導體 -50- 、|1 π 本‘、度過用中國园家標準(CNS〉A-1規格(210 公釐) ------------^_ I I — — I I —1τ, —------- (請先閱讀背面之法意事項再填寫本頁) 經濟部智慧財產局員Η消費合作社印製 455912 Λ8 B8 C8 D8 六、申請專利範圍 裝置、矽半導體積體回路裝置、矽-鍺半導體裝置、矽_ 鍺半導體積體回路裝置、化合物半導體裝置、化合物 半導體積體回路裝置、碳化矽半導體裝置、矽化矽半 導體積體回路裝置、高介電性記憶半導體裝置、強介 電性記憶半導體裝置、液晶顯示裝置、電致發光顯示 裝置、電漿顯示面板(PDP)裝置、場放射顯示(PED)裝 置、發光聚合物顯示裝置、發光二極體顯示裝置、 CCD區域/線性感測裝置、m〇s感測裝置或太陽電池裝 置用之薄膜。 45,根據申請專利範圍第3 〇項之成膜裝置,具有一種裝置, 其係可測定一流動於前述電極與晶座之間的電流。 {請先閱讀背面之注意事項再填寫本I ) 經濟部智慧財產局員工消費合作社印製 -51 - 本纸張尺度適闬中周0家標iE (GVS)AJ規格(2】〇 d公釐)
TW089100991A 1999-01-22 2000-01-21 Method and apparatus for film deposition TW455912B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP1384399 1999-01-22
JP15146699 1999-05-31

Publications (1)

Publication Number Publication Date
TW455912B true TW455912B (en) 2001-09-21

Family

ID=26349693

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089100991A TW455912B (en) 1999-01-22 2000-01-21 Method and apparatus for film deposition

Country Status (4)

Country Link
US (2) US7011866B1 (zh)
KR (1) KR20010090427A (zh)
TW (1) TW455912B (zh)
WO (1) WO2000044033A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI393793B (zh) * 2005-06-15 2013-04-21 Ulvac Inc 成膜裝置,薄膜的製造裝置及成膜方法
TWI407828B (zh) * 2003-02-05 2013-09-01 Semiconductor Energy Lab 顯示裝置之製造方法

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW455912B (en) 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
KR100360470B1 (ko) * 2000-03-15 2002-11-09 삼성에스디아이 주식회사 저압-dc-열화학증착법을 이용한 탄소나노튜브 수직배향증착 방법
JP4710187B2 (ja) * 2000-08-30 2011-06-29 ソニー株式会社 多結晶シリコン層の成長方法および単結晶シリコン層のエピタキシャル成長方法
WO2002024985A1 (de) * 2000-09-22 2002-03-28 Aixtron Ag Gaseinlassorgan für cvd-verfahren und vorrichtung
JP4599734B2 (ja) * 2001-03-14 2010-12-15 ソニー株式会社 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
JP2002294450A (ja) * 2001-03-29 2002-10-09 Sony Corp 多結晶性半導体薄膜の形成方法、半導体装置の製造方法、並びにこれらの方法の実施に使用する装置
JP4599746B2 (ja) * 2001-04-04 2010-12-15 ソニー株式会社 多結晶性半導体薄膜の形成方法及び半導体装置の製造方法
CN100524652C (zh) * 2001-07-05 2009-08-05 东京毅力科创株式会社 基片处理装置及基片处理方法
SG114589A1 (en) * 2001-12-12 2005-09-28 Semiconductor Energy Lab Film formation apparatus and film formation method and cleaning method
JP4157718B2 (ja) * 2002-04-22 2008-10-01 キヤノンアネルバ株式会社 窒化シリコン膜作製方法及び窒化シリコン膜作製装置
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20040258547A1 (en) * 2003-04-02 2004-12-23 Kurt Burger Pump piston and/or elements sealing the pump piston, in particular a sealing ring of elastomeric material, and a device and method for coating an object of elastomeric material
JP2005082880A (ja) * 2003-09-11 2005-03-31 Shoka Kagi Kofun Yugenkoshi 有機el発光装置の成膜設備
JP2005179744A (ja) * 2003-12-19 2005-07-07 Toshiba Corp 触媒cvd装置及び触媒cvd法
KR20050086237A (ko) * 2004-02-25 2005-08-30 삼성에스디아이 주식회사 전자방출표시장치용 전자방출원의 형성방법과 이를 이용한전지방출표시장치
US20060233692A1 (en) * 2004-04-26 2006-10-19 Mainstream Engineering Corp. Nanotube/metal substrate composites and methods for producing such composites
ATE532203T1 (de) * 2004-08-27 2011-11-15 Fei Co Lokalisierte plasmabehandlung
JP4951501B2 (ja) * 2005-03-01 2012-06-13 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
KR100688836B1 (ko) * 2005-05-11 2007-03-02 삼성에스디아이 주식회사 촉매 화학기상증착장치
JP2007067157A (ja) * 2005-08-31 2007-03-15 Tokyo Ohka Kogyo Co Ltd 気相反応処理装置
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
US20100183818A1 (en) * 2006-09-06 2010-07-22 Seoul National University Industry Foundation Apparatus and method of depositing films using bias and charging behavior of nanoparticles formed during chemical vapor deposition
JP5253838B2 (ja) * 2007-02-23 2013-07-31 三井化学東セロ株式会社 薄膜製造方法
JP5088363B2 (ja) * 2007-03-15 2012-12-05 富士通セミコンダクター株式会社 化学気相成長装置、膜の形成方法及び半導体装置の製造方法
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
JP4308281B2 (ja) * 2007-04-23 2009-08-05 三洋電機株式会社 光起電力素子の製造方法
KR101028416B1 (ko) * 2007-08-20 2011-04-13 재단법인서울대학교산학협력재단 박막 제조 방법 및 박막 제조 장치
JP2009111397A (ja) * 2008-11-04 2009-05-21 Canon Anelva Corp 付着膜のエッチング法
JP2009044190A (ja) * 2008-11-07 2009-02-26 Canon Anelva Corp 付着膜のエッチング法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8536491B2 (en) 2009-03-24 2013-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110027719A1 (en) * 2009-07-31 2011-02-03 Pei-Chang Wang Photomask etching method for chemical vapor deposition film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP6324924B2 (ja) * 2010-03-30 2018-05-16 ソニー株式会社 吸着剤の製造方法及び浄化剤の製造方法
JP2011225521A (ja) 2010-03-30 2011-11-10 Sony Corp 殺菌剤、光触媒複合材料、吸着剤及び浄化剤
WO2011149615A2 (en) * 2010-05-24 2011-12-01 Applied Materials, Inc. Hybrid hotwire chemical vapor deposition and plasma enhanced chemical vapor deposition method and apparatus
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9194045B2 (en) * 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
FR2995913B1 (fr) * 2012-09-24 2014-10-10 Commissariat Energie Atomique Procede de formation d'une couche de silicium epitaxiee.
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6322131B2 (ja) * 2014-12-24 2018-05-09 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018157274A1 (zh) * 2017-02-28 2018-09-07 万魔声学科技有限公司 一种类钻碳振动膜的制作方法及一种扬声器
JP6954524B2 (ja) 2017-03-10 2021-10-27 昭和電工株式会社 薄膜製造方法、磁気ディスクの製造方法およびナノインプリント用モールドの製造方法
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108048816B (zh) * 2017-12-08 2023-09-22 中国科学技术大学 用于临近催化化学气相沉积的装置和方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3769670A (en) * 1972-05-08 1973-11-06 Gte Sylvania Inc Method of suppressing hydrogen absorption in tungsten filaments
US4404068A (en) * 1981-04-29 1983-09-13 Stanford University Solid state method for synthesis reactions
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPH0658879B2 (ja) 1985-04-24 1994-08-03 キヤノン株式会社 堆積膜形成法及び堆積膜形成装置
JPS6340314A (ja) 1986-08-05 1988-02-20 Hiroshima Univ 触媒cvd法による薄膜の製造法とその装置
US4974544A (en) 1986-10-07 1990-12-04 Ricoh Company, Co. Vapor deposition apparatus
US4960072A (en) 1987-08-05 1990-10-02 Ricoh Company, Ltd. Apparatus for forming a thin film
US4961958A (en) * 1989-06-30 1990-10-09 The Regents Of The Univ. Of Calif. Process for making diamond, and doped diamond films at low temperature
US5160544A (en) 1990-03-20 1992-11-03 Diamonex Incorporated Hot filament chemical vapor deposition reactor
US5058527A (en) 1990-07-24 1991-10-22 Ricoh Company, Ltd. Thin film forming apparatus
US5145712A (en) 1991-02-08 1992-09-08 Center For Innovative Technology Chemical deposition of diamond
JPH0625856A (ja) 1991-05-31 1994-02-01 Tonen Corp ダイヤモンドライクカーボン膜の製膜法
CH687111A5 (de) 1992-05-26 1996-09-13 Balzers Hochvakuum Verfahren zum Erzeugen einer Niederspannungsentladung, Vakuumbehandlungsanlage hierfuer sowie Anwendung des Verfahrens.
JP3041133B2 (ja) 1992-06-01 2000-05-15 松下電器産業株式会社 イオン化蒸着装置
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5378285A (en) 1993-02-10 1995-01-03 Matsushita Electric Industrial Co., Ltd. Apparatus for forming a diamond-like thin film
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US6291343B1 (en) * 1994-11-14 2001-09-18 Applied Materials, Inc. Plasma annealing of substrates to improve adhesion
JPH08193271A (ja) * 1995-01-13 1996-07-30 Aneruba Kk その場クリーニング処理後の予備的処理完了点検出装置および完了点検出法
US5888591A (en) * 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
JP3737221B2 (ja) 1996-09-06 2006-01-18 英樹 松村 薄膜作成方法及び薄膜作成装置
JPH1072284A (ja) 1996-08-28 1998-03-17 Tokai Univ 熱フィラメントcvd装置
JPH1072286A (ja) 1996-08-30 1998-03-17 Mitsubishi Electric Corp ダイヤモンドライクカーボン薄膜形成装置
JP3141805B2 (ja) * 1997-01-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
JP3336897B2 (ja) * 1997-02-07 2002-10-21 三菱住友シリコン株式会社 気相成長装置用サセプター
JP2868120B2 (ja) 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
US6161499A (en) 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
JP3411559B2 (ja) * 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
US6203862B1 (en) 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6101972A (en) 1998-05-13 2000-08-15 Intevac, Inc. Plasma processing system and method
JP2965293B1 (ja) 1998-11-10 1999-10-18 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
TW455912B (en) 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
WO2003006181A1 (en) * 2001-07-10 2003-01-23 3M Innovative Properties Company Coated medicinal inhalation devices and components method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI407828B (zh) * 2003-02-05 2013-09-01 Semiconductor Energy Lab 顯示裝置之製造方法
TWI393793B (zh) * 2005-06-15 2013-04-21 Ulvac Inc 成膜裝置,薄膜的製造裝置及成膜方法

Also Published As

Publication number Publication date
KR20010090427A (ko) 2001-10-18
US7011866B1 (en) 2006-03-14
US20040134429A1 (en) 2004-07-15
WO2000044033A1 (fr) 2000-07-27

Similar Documents

Publication Publication Date Title
TW455912B (en) Method and apparatus for film deposition
US6755151B2 (en) Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
JP2566914B2 (ja) 薄膜半導体素子及びその形成法
US7521341B2 (en) Method of direct deposition of polycrystalline silicon
JP2000223421A (ja) 成膜方法及びその装置
JPS6043819A (ja) 気相反応方法
JP4126517B2 (ja) 気相加工装置
JP2000012465A (ja) シリコン膜の形成方法及び太陽電池の製造方法
JP2000260721A (ja) 化学的気相成長装置、化学的気相成長方法および化学的気相成長装置のクリーニング方法
JP2002180257A (ja) プラズマ処理装置と薄膜形成方法および表面処理方法
JP2000243712A (ja) 成膜方法及びその装置
TW201137950A (en) Method for forming bond between hetero atoms
Li et al. Structural analysis of polycrystalline silicon thin films produced by two different ICPCVD approaches
JPS6062113A (ja) プラズマcvd装置
JP2013251470A (ja) ZnO膜形成方法及びZnO膜形成装置
JP2648684B2 (ja) プラズマ気相反応装置
JPS6150372B2 (zh)
JP2562686B2 (ja) プラズマ処理装置
US20230049118A1 (en) Substrate processing device and substrate processing method
JP2649331B2 (ja) プラズマ処理方法
JP2662388B2 (ja) 堆積膜形成法
JPH0249386B2 (ja) Purazumacvdsochi
JPH06291061A (ja) アモルファスシリコン膜の形成方法
JP2649330B2 (ja) プラズマ処理方法
JPH08100264A (ja) 薄膜の形成方法およびその装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees