KR970008335A - 매엽식(枚葉式) 처리장치 - Google Patents

매엽식(枚葉式) 처리장치 Download PDF

Info

Publication number
KR970008335A
KR970008335A KR1019960030659A KR19960030659A KR970008335A KR 970008335 A KR970008335 A KR 970008335A KR 1019960030659 A KR1019960030659 A KR 1019960030659A KR 19960030659 A KR19960030659 A KR 19960030659A KR 970008335 A KR970008335 A KR 970008335A
Authority
KR
South Korea
Prior art keywords
gas ejection
processing apparatus
gas
heating means
single wafer
Prior art date
Application number
KR1019960030659A
Other languages
English (en)
Other versions
KR100435119B1 (ko
Inventor
쥰이치 아라미
겐지 이시카와
마사유키 기타무라
Original Assignee
히가시 데츠로
도쿄 에레쿠토론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데츠로, 도쿄 에레쿠토론 가부시키가이샤 filed Critical 히가시 데츠로
Publication of KR970008335A publication Critical patent/KR970008335A/ko
Application granted granted Critical
Publication of KR100435119B1 publication Critical patent/KR100435119B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 막두께의 면내의 균일성이 우수한 피처리체에 대한 성막처리를 실시하는 것이 가능한 매엽식 처리 장치에 관한 것으로서, 반도체웨이퍼의 처리를 실시하는 처리용기를 구비하고 있으며, 처리용기 내에는 반도체 웨이퍼가 재치되기 위한 재치면을 갖는 서셉터가 설치되어 있고, 서셉터의 재치면에 대하여 간격을 두고 샤워 헤드부가 설치되어 있으며, 샤워헤드부에는 처리가스를 공급하는 처리가스공급파이프가 따로 따로 접속되어 있고, 샤워헤드부에는 복수의 가스분출구멍이 설치되어 있고, 또 서셉터에는 서섭터를 가열하는 제1~제3가열 수단이 부착되어 있으며, 제1가열수단은 서셉터의 안쪽면측의 대략 중앙에 원판상으로 설치되고, 제2가열수단은 제1가열수단을 둘러싸도록 동심원상으로 설치되어 있으며, 제3가열수단은 서셉터의 둘레틀부에 설치되어 있고, 샤워헤드부의 서셉터에 대향하는 면중 복수의 가스분출구멍이 형성된 가스분출영역의 직경은 제3가열수단의 직경과 실질적으로 동일하며, 또 제1~제3가열수단을 각각 독립적으로 제어 가능한 중앙제어부가 설치되어 있는 것을 특징으로 한다.

Description

매엽식(枚葉式) 처리장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제2도는 본 발명의 한 실시형태에 관련되는 CVD장치를 나타내는 단면도.

Claims (29)

  1. 피처리체의 처리를 실시하는 처리용기와, 상기 처리용기내에 설치되어 상기 피처리체가 재치되기 위한 채치면을 갖는 지지부품과, 상기 재치면에 대하여 간격을 두고 설치된 샤워헤드부와, 상기 샤워헤드부에 처리 가스를 공급하는 처리가스공급수단과, 상기 샤워헤드부에 설치된 복수의 가스분출구멍과, 상기 지지부품에 부착된 상기 지지부품을 가열하는 가열부를 구비하고, 상기 샤워헤드부의 상기 지지부품에 대향하는 면중 상기 복수의 가스분출구멍이 형성된 가스분출영역의 최대길이가 상기 처리가스가 반응을 일으키는 온도 이상으로 가열된 가스반응영역의 최대길이와 실질적으로 동일한 것을 특징으로 하는 매엽식 처리장치.
  2. 제1항에 있어서, 상기 가스분출영역의 최대길이가 상기 지지부품의 최대길이와 실질적으로 동일한 것을 특징으로 하는 매엽식 처리장치.
  3. 제1항에 있어서, 상기 가열부가 복수의 가열수단을 갖고, 상기 가열수단이 상기 지지부품과 같은 점을 중심으로 하여 서로 동심상으로 간격을 두고 배치되며, 그중의 1개가 상기 지지부품의 둘레틀부에 설치되어 있는 것을 특징으로 하는 매엽식 처리장치.
  4. 제3항에 있어서, 상기 지지부품의 둘레틀부에 설치되어 있는 상기 가열수단의 외부틀의 최대길이가 상기 가스분출영역의 최대길이와 실질적으로 동일한 것을 특징으로 하는 매엽식 처리장치.
  5. 제3항에 있어서, 상기 지지부품의 둘레틀부에 설치된 상기 가열수단이 상기 지지부품의 측벽면을 덮고 있는 것을 특징으로 하는 매엽식 처리장치.
  6. 제3항에 있어서, 복수의 상기 가열수단을 따로 따로 독립하여 제어 가능한 제어수단을 또한 구비하는 것을 특징으로 하는 매엽식 처리장치.
  7. 제6항에 있어서, 상기 제어수단이 상기 지지부품의 둘레틀부에 설치되어 있는 상기 가열수단에 의해 가열되는 부분의 온도가 다른 상기 가열수단에 의해 가열되는 부분의 온도보다보 높아지도록 복수의 상기 가열수단을 제어하는 것을 특징으로 하는 매엽식 처리장치.
  8. 제1항에 있어서, 상기 복수의 가스분출구멍이 상기 가스분출영역내에 단위면적당의 개구율이 실질적으로 동일해지도록 형성되어 있는 것을 특징으로 하는 매엽식 처리장치.
  9. 제8항에 있어서, 상기 복수의 가스분출구멍의 직경이 실질적으로 동일하며 상기 복수의 가스분출구멍이 상기 가스분출영역 내에 단위면적당의 수가 실질적으로 동일해지도록 형성되어 있는 것을 특징으로 하는 매엽식 처리장치.
  10. 제8항 또는 제9항에 있어서, 상기 복수의 가스분출구멍이 상기 가스분출영역 내에 실질적으로 동일한 피치로 배열되어 있는 것을 특징으로 하는 매엽식 처리장치.
  11. 제8항 또는 제9항에 있어서, 복수의 가스분출구멍이 井자형상으로 배열되어 있는 것을 특징으로 하는 매엽식 처리장치.
  12. 제8항 또는 제9항에 있어서, 상기 복수의 가스분출구멍이 상기 가스분출영역 내에 동심원상으로 실질적으로 동일한 간격을 두고 배치된 가상의 원의 위에 나열하고, 또한 동일한 원의 위에 나열해 있는 가스분출구멍은 실질적으로 동일한 피치로 나열해 있으며, 또한 1개의 원의 위에 나열한 가스분출구멍의 갯수는 해당원의 원주와 정비례관계에 있는 것을 특징으로 하는 매엽식 처리장치.
  13. 제1항에 있어서, 상기 샤워헤드부의 내부가 복수의 가스실로 분할되어 있는 것을 특징으로 하는 매엽식 처리장치.
  14. 제13항에 있어서, 상기 복수의 가스실에 처리가스를 공급하는 처리가스공급계가 따로따로 접속되어 있는 것을 특징으로 하는 매엽식 처리장치.
  15. 피처리체의 처리를 실시하는 처리용기와, 상기 처리용기내에 설치되어 상기 피처리체가 재치되기 위한 채지면을 갖는 지지부품과, 상기 재치면에 대하여 간격을 두고 설치된 샤워헤드부와, 상기 샤워헤드부에 처리 가스를 공급하는 처리가스공급수단과, 상기 샤워헤드부에 설치된 복수의 가스분출구멍과, 상기 지지부품을 복수의 영역으로 분할하여 가열하기 위한 복수의 가열수단과, 상기 복수의 가열수단을 각각 독립하여 제어하는 제어수단을 구비하는 것을 특징으로 하는 매엽식 처리장치.
  16. 제15항에 있어서, 상기 복수의 가열수단이 상기 지지부품과 동일한 점을 중심으로 하여 서로 동심상으로 간격을 두고 배치되어 있는 것을 특징으로 하는 매엽식 처리장치.
  17. 제16항에 있어서, 상기 복수의 가열수단 중 1개가 상기 지지부품의 둘레틀부에 설치되어 있는 것을 특징으로 하는 매엽식 처리장치.
  18. 제16항에 있어서, 상기 지지부품의 둘레틀부에 설치된 상기 가열수단이 상기 지지부품의 측벽면을 덮고 있는 것을 특징으로 하는 매엽식 처리장치.
  19. 제15항에 있어서, 상기 샤워헤드부의 상기 지지부품에 대향하는 면 중 상기 복수의 가스분출구멍이 형성된 가스분출영역의 최대길이가 상기 처리가스가 반응을 일으키는 온도 이상으로 상기 가열수단으로 가열된 가스반응영역의 최대길이와 실질적으로 동일한 것을 특징으로 하는 매엽식 처리장치.
  20. 제19항에 있어서, 상기 가스분출영역의 최대길이가 상기 지지부품의 최대길이와 실질적으로 동일한 것을 특징으로 하는 매엽식 처리장치.
  21. 제19항에 있어서, 상기 지지부품의 둘레틀부에 설치되어 있는 상기 가열수단의 외부틀의 최대길이가 상기 가스분출영역의 최대길이와 실질적으로 동일한 것을 특징으로 하는 매엽식 처리장치.
  22. 제17항에 있어서, 상기 제어수단이 상기 지지부품의 둘레틀부에 설치되어 있는 상기 가열수단에 의해 가열되는 부분의 온도가 다른 상기 가열수단에 의해 가열되는 부분의 온도보다도 높아지도록 복수의 상기 가열 수단을 제어하는 것을 특징으로 하는 매엽식 처리장치.
  23. 제15항에 있어서, 상기 복수의 가스분출구멍이 상기 가스분출영역 내에 단위면적당의 개구율이 실질적으로 동일해지도록 형성되어 있는 것을 특징으로 하는 매엽식 처리장치.
  24. 제23항에 있어서, 상기 복수의 가스분출구멍의 직경이 실질적으로 동일하며, 상기 복수의 가스분출구멍이 상기 가스분출영역 내에 단위면적당의 수가 실질적으로 동일해지도록 형성되어 있는 것을 특징으로 하는 매엽식 처리장치.
  25. 제23항 또는 제24항에 있어서, 상기 복수의 가스분출구멍이 상기 가스분출영역 내에 실질적으로 동일한 피치로 배열되어 있는 것을 특징으로 하는 매엽식 처리장치.
  26. 제23항 또는 제24항에 있어서, 복수의 가스분출구멍이 井자형상으로 배열되어 있는 것을 특징으로 하는 매엽식 처리장치.
  27. 제23항 또는 제24항에 있어서, 상기 복수의 가스분출구멍이 상기 가스분출영역 내에 동심원상으로 실질적으로 동일한 간격을 두고 배치된 가상의 원의 위에 나열하고, 또한 동일한 원의 위에 나열해 있는 가스분출구멍은 실질적으로 동일한 피치로 나열해 있으며, 또한 1개의 원의 위에 나열한 가스분출구멍의 갯수는 해당원의 원주와 정비례관계에 있는 것을 특징으로 하는 매엽식 처리장치.
  28. 제15항에 있어서, 상기 샤워헤드부의 내부가 복수의 가스실로 분할되어 있는 것을 특징으로 하는 매엽식 처리장치.
  29. 제28항에 있어서, 상기 복수의 가스실에 처리가스를 공급하는 처리가스공급계가 따로 따로 접속되어 있는 것을 특징으로 하는 매엽식 처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960030659A 1995-07-27 1996-07-26 매엽식처리장치 KR100435119B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP7210973A JPH0945624A (ja) 1995-07-27 1995-07-27 枚葉式の熱処理装置
JP95-210973 1995-07-27

Publications (2)

Publication Number Publication Date
KR970008335A true KR970008335A (ko) 1997-02-24
KR100435119B1 KR100435119B1 (ko) 2004-08-06

Family

ID=16598203

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960030659A KR100435119B1 (ko) 1995-07-27 1996-07-26 매엽식처리장치

Country Status (4)

Country Link
US (1) US5958140A (ko)
JP (1) JPH0945624A (ko)
KR (1) KR100435119B1 (ko)
TW (1) TW300319B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
KR100458294B1 (ko) * 1997-12-30 2005-02-23 주식회사 하이닉스반도체 반도체소자의장벽금속층형성방법
WO2013162851A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus

Families Citing this family (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6225601B1 (en) * 1998-07-13 2001-05-01 Applied Komatsu Technology, Inc. Heating a substrate support in a substrate handling chamber
JP2002530847A (ja) * 1998-11-13 2002-09-17 マットソン テクノロジイ インコーポレイテッド 半導体基板を処理する熱処理装置、システム及び方法
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000277521A (ja) * 1999-03-26 2000-10-06 Kobe Steel Ltd 半導体ウェーハの高温高圧処理方法及び装置
JP2000286267A (ja) 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
JP2001023959A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6345150B1 (en) 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2002025912A (ja) * 2000-07-04 2002-01-25 Sumitomo Electric Ind Ltd 半導体製造装置用サセプタとそれを用いた半導体製造装置
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6492625B1 (en) * 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6962732B2 (en) * 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
CN1881090B (zh) * 2001-08-28 2011-05-25 日本电气株式会社 在气体环境中执行曝光处理的基片处理系统
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
WO2003041140A1 (en) * 2001-11-05 2003-05-15 Eugene Technology Co., Ltd. Apparatus of chemical vapor deposition
KR100425031B1 (ko) * 2001-12-20 2004-03-30 주성엔지니어링(주) 웨이퍼 피데스탈 히터
JP4148346B2 (ja) * 2002-02-07 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
JP3671966B2 (ja) * 2002-09-20 2005-07-13 日新電機株式会社 薄膜形成装置及び方法
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040163765A1 (en) * 2003-02-25 2004-08-26 Ans Co., Ltd. Plasma reactor for manufacturing electronic components
JP4607865B2 (ja) * 2003-03-28 2011-01-05 東京エレクトロン株式会社 基板の温度コントロールのための方法とシステム
EP1612854A4 (en) * 2003-04-07 2007-10-17 Tokyo Electron Ltd LOADING TABLE AND HEAT TREATMENT DEVICE WITH LOADING TABLE
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
US20040261923A1 (en) * 2003-06-25 2004-12-30 Burns Steven M. Clean atmosphere heat treat for coated turbine components
US7013956B2 (en) 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US20050067147A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Loop thermosyphon for cooling semiconductors during burn-in testing
JP4235076B2 (ja) * 2003-10-08 2009-03-04 東京エレクトロン株式会社 半導体製造装置および半導体製造方法
JP2005123532A (ja) * 2003-10-20 2005-05-12 Tokyo Electron Ltd 成膜装置及び成膜方法
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
WO2005055297A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited 半導体処理装置
US8197638B2 (en) 2004-03-26 2012-06-12 Hitachi Kokusai Electric Inc. Semiconductor manufacturing device and method for manufacturing semiconductor devices
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100587688B1 (ko) * 2004-07-28 2006-06-08 삼성전자주식회사 화학 기상 증착 장치
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100721576B1 (ko) * 2005-04-06 2007-05-23 삼성에스디아이 주식회사 유기 전계 발광 소자 제조 방법
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7410910B2 (en) 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
DE102005056322A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag VPE-Reaktor mit koaxial zueinander angeordneten Quellgasrohren
US20070151668A1 (en) 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7540971B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP4515474B2 (ja) * 2007-02-21 2010-07-28 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
JP4515475B2 (ja) * 2007-02-21 2010-07-28 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP4972444B2 (ja) * 2007-03-30 2012-07-11 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
KR101094982B1 (ko) * 2008-02-27 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
US8373086B2 (en) * 2008-04-07 2013-02-12 Charm Engineering Co., Ltd. Plasma processing apparatus and method for plasma processing
KR101000093B1 (ko) * 2008-07-22 2010-12-09 엘아이지에이디피 주식회사 기판 처리용 가스 공급장치 및 이를 이용한 기판 처리장치
US20100071614A1 (en) * 2008-09-22 2010-03-25 Momentive Performance Materials, Inc. Fluid distribution apparatus and method of forming the same
JP5250408B2 (ja) * 2008-12-24 2013-07-31 新光電気工業株式会社 基板温調固定装置
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
JP5194036B2 (ja) * 2010-01-27 2013-05-08 株式会社日立国際電気 基板処理装置、半導体デバイスの製造方法およびクリーニング方法
JP5194047B2 (ja) * 2010-04-14 2013-05-08 株式会社日立国際電気 基板処理装置、半導体デバイスの製造方法およびクリーニング方法
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8986451B2 (en) 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
JP5902896B2 (ja) 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP2014003234A (ja) * 2012-06-20 2014-01-09 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
KR101936348B1 (ko) * 2012-09-17 2019-01-08 삼성전자주식회사 급속 온도 변환이 가능한 테스트 핸들러 및 그를 이용한 반도체 소자의 테스트 방법
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
JP6027490B2 (ja) 2013-05-13 2016-11-16 東京エレクトロン株式会社 ガスを供給する方法、及びプラズマ処理装置
WO2014189650A1 (en) * 2013-05-22 2014-11-27 Singulus Technologies Mocvd, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
KR102268959B1 (ko) * 2014-03-31 2021-06-24 삼성디스플레이 주식회사 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6444641B2 (ja) * 2014-07-24 2018-12-26 株式会社ニューフレアテクノロジー 成膜装置、サセプタ、及び成膜方法
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10266414B2 (en) * 2015-06-16 2019-04-23 Hemlock Semiconductor Operations Llc Susceptor arrangement for a reactor and method of heating a process gas for a reactor
JP6573559B2 (ja) * 2016-03-03 2019-09-11 東京エレクトロン株式会社 気化原料供給装置及びこれを用いた基板処理装置
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
CN108076683B (zh) * 2016-09-16 2021-03-09 佳能安内华股份有限公司 加热装置、基板加热装置及半导体装置的制造方法
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
CN107761077B (zh) * 2017-10-20 2019-12-03 京东方科技集团股份有限公司 一种镀膜方法、装置以及pecvd设备
JP7038563B2 (ja) 2018-02-15 2022-03-18 東京エレクトロン株式会社 基板処理装置、流量制御方法及び流量制御プログラム
KR102477354B1 (ko) * 2018-03-29 2022-12-15 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치
CN110872688A (zh) * 2018-08-29 2020-03-10 北京铂阳顶荣光伏科技有限公司 一种加热装置、镀膜设备、温度控制方法及系统
TWI709203B (zh) * 2018-09-11 2020-11-01 大陸商北京北方華創微電子裝備有限公司 腔室冷卻裝置及半導體加工設備
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
KR20220024568A (ko) * 2019-06-18 2022-03-03 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 감소된 직경 캐리어 링 하드웨어
US11542604B2 (en) * 2019-11-06 2023-01-03 PlayNitride Display Co., Ltd. Heating apparatus and chemical vapor deposition system
JP7364547B2 (ja) * 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2022260042A1 (ko) * 2021-06-07 2022-12-15
CN113699509B (zh) * 2021-10-27 2022-02-01 苏州长光华芯光电技术股份有限公司 一种半导体生长设备及其工作方法
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4741801A (en) * 1981-07-17 1988-05-03 Plasma Physics Corp. Glow discharge method and apparatus and photoreceptor devices made therewith
JPS59124140A (ja) * 1982-12-29 1984-07-18 Fujitsu Ltd 静電吸着装置
JPS6332930A (ja) * 1986-07-25 1988-02-12 Tokyo Electron Ltd アッシング装置
JPS63160355A (ja) * 1986-12-24 1988-07-04 Seiko Instr & Electronics Ltd 静電チヤツク
JPH0737359B2 (ja) * 1989-08-24 1995-04-26 三菱電機株式会社 気相成長装置
JPH0397222A (ja) * 1989-09-11 1991-04-23 Kokusai Electric Co Ltd 枚葉式cvd装置
US5127988A (en) * 1989-12-27 1992-07-07 Yoshida Kogyo K.K. Process for the surface treatment of conductive material
JPH04211115A (ja) * 1990-01-26 1992-08-03 Fujitsu Ltd Rfプラズマcvd装置ならびに該装置による薄膜形成方法
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JP2758755B2 (ja) * 1991-12-11 1998-05-28 松下電器産業株式会社 ドライエッチング装置及び方法
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JP2798570B2 (ja) * 1992-12-01 1998-09-17 京セラ株式会社 静電チャック
JP2749759B2 (ja) * 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JPH07273101A (ja) * 1994-03-31 1995-10-20 Tokyo Electron Ltd 枚葉式熱処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458294B1 (ko) * 1997-12-30 2005-02-23 주식회사 하이닉스반도체 반도체소자의장벽금속층형성방법
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
WO2013162851A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9162236B2 (en) 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus

Also Published As

Publication number Publication date
US5958140A (en) 1999-09-28
TW300319B (ko) 1997-03-11
KR100435119B1 (ko) 2004-08-06
JPH0945624A (ja) 1997-02-14

Similar Documents

Publication Publication Date Title
KR970008335A (ko) 매엽식(枚葉式) 처리장치
US7718930B2 (en) Loading table and heat treating apparatus having the loading table
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
KR970013106A (ko) 매엽식 열처리장치
US5968593A (en) Semiconductor manufacturing apparatus
JPS63227011A (ja) 化学気相成長装置
KR960002527A (ko) 기판의 후면상에 대한 재료의 증착을 감소시킬 수 있는 기판 처리장치
JP2000058518A (ja) 基板処理装置
US6007633A (en) Single-substrate-processing apparatus in semiconductor processing system
US5985089A (en) Plasma etch system
JPS63144513A (ja) バレル型エピタキシヤル成長装置
US6106628A (en) Heater unit for chemical vapor deposition systems
KR100433285B1 (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
US6254687B1 (en) Chemical vapor deposition system with reduced material deposition on chamber wall surfaces
JPS63199412A (ja) 気相成長装置
EP0330708B1 (en) Apparatus for forming thin films
KR101375621B1 (ko) 반도체 소자 제조 장치
JPH0461117A (ja) 枚葉式cvd装置
JPH0794435A (ja) 拡散装置
JPH03194924A (ja) 縦型処理装置
KR200157137Y1 (ko) 반도체 제조용 화학기상증착장치
JPH08330237A (ja) 半導体製造装置
JP2639547B2 (ja) 気相成長装置におけるウエハ加熱方法および装置
KR200298458Y1 (ko) 반도체 제조 설비의 공정 챔버
JPH042118A (ja) Cvd膜の形成方法及び形成装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090525

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee