KR20070086439A - 교번식 가스 공급을 통한 선택적 에피택셜 프로세스 - Google Patents

교번식 가스 공급을 통한 선택적 에피택셜 프로세스 Download PDF

Info

Publication number
KR20070086439A
KR20070086439A KR1020077013925A KR20077013925A KR20070086439A KR 20070086439 A KR20070086439 A KR 20070086439A KR 1020077013925 A KR1020077013925 A KR 1020077013925A KR 20077013925 A KR20077013925 A KR 20077013925A KR 20070086439 A KR20070086439 A KR 20070086439A
Authority
KR
South Korea
Prior art keywords
silicon
deposition
gas
substrate
layer
Prior art date
Application number
KR1020077013925A
Other languages
English (en)
Other versions
KR100938312B1 (ko
Inventor
이환 김
아르카디 브이. 사모이로브
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070086439A publication Critical patent/KR20070086439A/ko
Application granted granted Critical
Publication of KR100938312B1 publication Critical patent/KR100938312B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/969Simultaneous formation of monocrystalline and polycrystalline regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)

Abstract

일 실시예에서, 기판 표면 상에 실리콘-함유 물질을 에피택셜 형성하는 방법이 제공되며, 상기 방법은 실리콘 함유 단결정성 표면 및 적어도 하나의 제 2 표면(비정질 표면 또는 다결정성 표면)을 포함하는 기판을 프로세스 챔버에 배치하는 단계 및 단결정성 표면 상에 에피택셜층을 형성하고 제 2 표면 상에는 다결정성층을 형성하도록 기판을 증착 가스에 노출하는 단계를 포함한다. 증착 가스는 바람직하게 실리콘 소스 및 게르마늄 소스, 탄소 소스 또는 이들의 조합과 같은 적어도 하나의 제 2 원소 소스를 포함한다. 이후, 상기 방법은 다결정성층이 에피택셜층보다 빠른 속도로 에칭되는 방식으로 다결정성층과 에피택셜층을 에칭되도록 기판을 에천트 가스에 노출하는 단계를 더 포함한다. 기판은 실리콘-함유 물질이 형성되도록 증착 가스 및 에천트 가스에 순차적으로 반복적으로 노출될 수 있다. 일 실시예에서, 증착 가스는 실란을 포함하며 에천트 가스는 염소 및 질소를 포함한다.

Description

교번식 가스 공급을 통한 선택적 에피택셜 프로세스{SELECTIVE EPITAXY PROCESS WITH ALTERNATING GAS SUPPLY}
본 발명의 실시예들은 전반적으로 전자 제조 프로세스 및 장치 분야에 관한 것으로, 특히 전자 장치를 형성하면서 실리콘-함유막들을 증착하는 방법에 관한 것이다.
트랜지스터가 보다 작게 제조됨에 따라, 극도로 얕은 소스/드레인 접합부의 제조가 보다 중요시되고 있다. 일반적으로, 서브-100nm CMOS(상보형 금속-산화물 반도체) 장치는 30nm 이하의 접합 깊이를 요구한다. 접합부 속에 실리콘-함유 물질(예를 들어, Si, SiGe 및 SiC)의 에피층을 형성하기 위해 때로는 선택적 에피택셜 증착이 이용된다. 일반적으로, 선택적 에피택셜 증착은 유전체 영역 상에서의 성장 없이 실리콘 모트(moat)상에서의 에피층 성장을 허용한다. 선택적 에피택셜은 융기된(elevated) 소스/드레인, 소스/드레인 확장부, 바이폴라 장치의 콘택 플러그 또는 베이스층 증착과 같이 반도체 장치에서 이용된다.
일반적으로, 선택적 에피택셜 프로세스는 증착 반응 및 에칭 반응을 수반한다. 증착 및 에칭 반응은 에피택셜층 및 다결정성층에 대해 비교적 상이한 반응 속도로 동시에 발생한다. 증착 프로세스 동안, 에피택셜층은 단결정성 표면상에 형성되는 반면 다결정성층은 기존의 다결정성층 및/또는 비정질층과 같은, 적어도 제 2 층 상에 증착된다. 그러나 증착된 다결정성층은 일반적으로 에피택셜층보다 빠른 속도로 에칭된다. 따라서, 에천트 가스의 농도를 변화시킴으로써, 최종(net) 선택적 프로세스는 에피택셜 물질의 증착을 야기시키며 다결정성 물질의 증착을 제하거나 또는 다결정성 물질의 증착을 야기시키지 않는다. 예를 들어, 선택적 에피택셜 프로세스는 단결정성 실리콘 표면상에는 실리콘-함유 물질의 에피층을 형성하면서 스페이서 상에는 증착이 유지되지 않게 할 수 있다.
융기된 소스/드레인 및 소스/드레인 연장 피쳐(features)를 형성하는 동안, 예를 들어, 실리콘-함유 MOSFET(금속 산화물 반도체 전계효과 트랜지스터) 장치를 형성하는 동안, 실리콘-함유 물질의 선택적 에피택셜 증착은 유용한 기술이 되었다. 소스/드레인 연장 피쳐는 리세스형 소스/드레인 피쳐를 만들기 위해 실리콘 표면을 에칭하고 순차적으로 에칭된 표면을 실리콘 게르마늄(SiGe) 물질과 같이 선택적으로 성장된 에피층들로 채움으로써 제조된다. 선택적 에피택셜은 포스트(post) 어닐링 프로세스가 생략되도록, 인시튜(in-situ) 도핑으로 거의 완벽한 도핑 활성화를 허용한다. 따라서, 접합부 깊이는 실리콘 에칭 및 선택적 에피택셜에 의해 정확히 형성될 수 있다. 한편, 극도로 얕은 소스/드레인 접합부는 불가피하게 증가된 직렬 저항을 야기할 수 있다. 또한, 실리사이드를 형성하는 동안 접합부 감소는 직렬 저항을 더욱 더 증가시킨다. 접합부 감소를 보상하기 위해, 융기된 소스/드레인이 접합부 상에 에픽택셜방식으로 선택적으로 성장된다. 통상적으로, 융기된 소스/드레인층은 도핑되지 않은 실리콘이다.
그러나 현재의 선택적 에피택셜 프로세스에는 몇 가지 단점이 있다. 제공되는 에피택셜 프로세스 동안 선택도를 유지하기 위해서, 전구체들의 화학적 농도 및 반응 온도는 증착 프로세스 내내 제어 및 조절되어야 한다. 실리콘 전구체가 충분히 제어되지 못할 경우, 에칭 반응이 우세해져 전체 프로세스가 지체된다. 또한, 기판 피쳐의 바람직하지 못한 오버에칭이 발생할 수 있다. 에천트 전구체가 충분히 제어되지 못할 경우, 증착 반응이 우세해져 기판 표면 양단에 단결정성 및 다결정성 물질을 형성하는 데 있어 선택도가 감소될 수 있다. 또한, 현재의 선택적 에피택셜 프로세스는 통상적으로 약 800℃, 1000℃ 또는 그 이상의 높은 반응 온도를 요구한다. 이러한 높은 온도는 열적 예산을 고려할 때 제조 프로세스 동안 바람직하지 못하며 기판 표면에 대한 제어되지 못한 질화 반응을 야기할 수 있다.
따라서, 선택적 도펀트를 갖는 실리콘 및 실리콘-함유 화합물을 선택적으로 에피택셜방식으로 증착하기 위한 프로세스가 요구된다. 또한, 상기 프로세스는 빠른 증착 속도를 가지며 약 800℃ 이하, 바람직하게는 약 700℃ 이하의 프로세스 온도를 유지하면서 다양한 원소 농도를 갖는 실리콘-함유 화합물을 형성하도록 융통적이어야 한다.
일 실시예에서, 기판 표면 상에 실리콘-함유 물질을 에피택셜 형성하는 방법이 제공되며, 상기 방법은 실리콘 함유 단결정성 표면 및 비정질 표면 또는 다결정성 표면과 같은, 적어도 하나의 제 2 표면을 포함하는 기판을 프로세스 챔버에 배치하는 단계를 포함한다. 기판은 증착 가스에 노출되어 단결정성 표면 상에 에피택셜층을 형성하고 제 2 표면 상에는 다결정성층을 형성한다. 증착 가스는 바람직하게 실리콘 소스 및 게르마늄 소스, 탄소 소스 또는 이들의 조합과 같은 적어도 하나의 제 2 원소 소스를 포함한다. 이후, 상기 방법은 다결정성층이 에피택셜층보다 빠른 속도로 에칭되는 방식으로 다결정성층과 에피택셜층이 에칭되도록 기판을 에천트 가스에 노출하는 단계를 더 포함한다. 상기 방법은 예정된 두께를 갖는 실리콘-함유 물질을 형성하면서 순차적으로 기판을 증착 가스 및 에천트 가스에 노출하는 단계를 반복하는 증착 주기를 더 포함한다. 예시적인 일 실시예에서, 상기 방법은 에천트 가스 함유 염소 가스에 기판을 노출하는 단계를 더 포함한다.
예시적인 일 실시예에서, 상기 방법은 단결정성 표면 및 제 2 표면을 포함하는 기판을 프로세스 챔버에 배치하는 단계를 포함한다. 프로세스 챔버는 약 500℃ 내지 약 750℃ 범위 이내의 온도로 가열된다. 단결정성 표면 상에 에피택셜층을 증착하고 제 2 표면 상에 다결정성층을 증착하는 동안 기판은 증착 가스에 노출된다. 증착 가스는 단결정성 표면 상에 에피택셜층을 증착하고 제 2 표면 상에 다결정성층을 증착하기 위해 실리콘 소스 및 불활성 캐리어 가스를 포함한다. 이후, 상기 방법은 에천트 및 불활성 캐리어 가스를 포함하는 에천트 가스에 기판을 노출하는 단계 및 에피택셜층 보다 빠른 속도로 다결정성층을 에칭하는 단계를 더 포함한다. 다른 예에서, 증착 가스는 실리콘 소스 및 제 2 화합물 소스 또는 붕소, 비소, 인, 알루미늄, 갈륨, 게르마늄, 탄소 또는 이들의 조합을 포함할 수 있는 도펀트 화합물을 포함할 수 있다.
또 다른 실시예에서, 기판 표면 상에 실리콘-함유 물질을 에피택셜 형성하는 방법이 제공되며, 상기 방법은 단결정성 표면 및 비정질 표면 또는 다결정성 표면과 같은 적어도 하나의 제 2 표면을 포함하는 기판을 프로세스 챔버 내에 배치하는 단계를 포함한다. 기판은 단결정성 표면 상에 에피택셜층을 증착하고 제 2 표면 상에 다결정성층을 증착하기 위해 증착 가스에 노출된다. 상기 방법은 제 1 에피택셜층 상에 제 2 에패택셜층을 증착하고 제 1 다결정성층 상에 제 2 다결정성층을 증착하기 위해 기판을 제 2 증착 가스에 노출하는 단계를 더 포함한다. 제 2 증착 가스는 붕소, 비소, 인, 알루미늄, 갈륨, 게르마늄, 탄소 또는 이들의 조합을 포함할 수 있다. 이후, 상기 방법은 제 1 및 제 2 에피택셜층들보다 빠른 속도로 제 1 및 제 2 다결정성층들이 에칭되도록 기판을 에천트 가스에 노출하는 단계를 더 포함한다. 상기 방법은 예정된 두께로 실리콘-함유 물질을 형성하면서 기판을 증착 가스 및 에천트 가스에 노출하는 것을 반복하는 단계를 포함하는 증착 주기를 제공한다.
또 다른 실시예에서, 기판 표면상에 실리콘-함유 물질을 에피택셜 형성하는 방법이 제공되며, 상기 방법은 단결정성 표면 및 적어도 하나의 제 2 표면을 포함하는 기판을 프로세스 챔버에 배치하는 단계 및 단결정성 표면 상에 에피택셜층을 형성하고 제 2 표면 상에 다결정성층을 형성하기 위해 기판을 증착 가스에 노출하는 단계를 포함한다. 기판은 에피택셜층 보다 빠른 속도로 다결정성층을 에칭하기 위해 염소 함유 에칭 가스에 순차적으로 노출된다. 일 실시예에서, 증착 주기는 기판을 순차적으로 증착 가스 및 에칭 가스에 노출하는 단계를 포함하며 다수의 주기는 기판 상에 실리콘-함유 물질이 형성되도록 수행된다. 제 2 표면은 비정질 표면, 다결정성 표면 또는 이들의 조합을 포함하며 증착 가스는 실리콘 소스 및 게르마늄 소스, 탄소 소스 또는 이들의 조합을 포함하는 적어도 하나의 제 2 원소 소스를 포함한다. 일 실시예에서, 증착 가스는 실리콘 소스 및 게르마늄 소스를 포함한다. 또 다른 예에서, 증착 가스는 실리콘 소스 및 탄소 소스를 포함한다. 또 다른 예에서, 증착 가스는 실리콘 소스, 게르마늄 소스 및 탄소 소스를 포함한다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다.
도 1은 본 발명에서 개시된 실시예의해 실리콘-함유 물질을 선택적으로 에피택셜 증착하기 위한 프로세스를 나타내는 흐름도.
도 2A-2E는 본 발명의 실시예 동안 개시되는, MOSFET 내에서의 소스/드레인 연장 장치에 대한 제조 기술의 개략도.
도 3A-3C는 본 발명의 실시예 동안 개시되는 프로세스에 의해 형성될 수 있는 선택적으로 에피택셜 증착된 실리콘-함유층들을 포함하는 몇 가지 장치를 나타내는 도면.
도 4는 본 발명의 또 다른 실시예에 의해 실리콘-함유 물질을 선택적으로 에피택셜 증착하기 위한 프로세스를 나타내는 흐름도.
본 발명의 실시예들은 전자 장치를 제조하는 동안 기판의 단결정성 표면 상에 실리콘-함유 물질을 선택적으로 에피택셜 증착하기 위한 프로세스들을 제공한다. 단결정성 표면(예를 들어, 실리콘 또는 실리콘 게르마늄) 및 비정질 표면 및/또는 다결정성 표면(예를 들어, 산화물 또는 질화물)과 같은 적어도 하나의 제 2 표면을 포함하는 패터닝된 기판이 에피택셜 프로세스에 노출되어 제 2 표면 상에 다결정성층의 형성을 제한하면서 또는 다결정성층을 형성하지 않으면서 단결정성 표면상에 에피택셜층을 형성할 수 있다. 또한 교번식 가스 공급(AGS) 프로세스라 불리는 에피택셜 프로세스는 원하는 두께의 에피택셜층이 성장될 때까지 증착 프로세스 및 에칭 프로세스의 주기를 반복하는 단계를 포함한다.
증착 프로세스는 기판 표면을 적어도 실리콘 소스 및 캐리어 가스를 함유하는 증착 가스에 노출시키는 단계를 포함한다. 증착 가스는 게르마늄 소스 또는 탄소 소스 및 도펀트 소스를 포함할 수 있다. 증착 프로세스 동안, 기판의 단결정성 표면상에 에피택셜층이 형성되며 다결정성층은 비정질 및/또는 다결정성 표면과 같은 제 2차 표면에 형성된다. 순차적으로, 기판은 에칭 가스에 노출된다. 에칭 가스는 캐리어 가스 및 염소 가스 또는 염화 수소와 같은 에천트를 포함한다. 에칭 가스는 증착 프로세스 동안 증착된 실리콘-함유 물질을 제거한다. 에칭 프로세스 동안, 다결정성층은 에피택셜층 보다 빠른 속도로 제거된다. 따라서, 증착 및 에칭 프로세스의 최종 결과는 제 2차 표면상에서의 다결정성 실리콘-함유 물질의 성장을 최소화시키면서 단결정성 표면상에 에피택셜 성장된 실리콘-함유 물질을 형성 한다. 증착 및 에칭 프로세스의 주기는 원하는 두께의 실리콘-함유 물질을 얻는 것이 요구됨에 따라 반복될 수 있다. 본 발명의 실시예에 따라 증착될 수 있는 실리콘-함유 물질은 실리콘, 실리콘 게르마늄, 실리콘 탄소, 실리콘 게르마늄 탄소, 및 이들의 도펀트 변형물을 포함한다.
AGS 프로세의 일례에서, 에천트 가스로서 염소 가스의 사용으로 약 800℃ 보다 낮게 전체 프로세스 온도가 낮아진다. 일반적으로, 증착 프로세스는 에칭 반응 보다 낮은 온도에서 수행될 수 있으며, 이는 에천트가 활성화되기 위해서는 높은 온도를 요구하기 때문이다. 예를 들어, 실란은 약 500℃ 이하에서 실리콘을 증착하기 위해 열적으로 분해될 수 있으며, 염화 수소는 효과적인 에천트로서 작용하기 위해 약 700℃ 이상의 활성 온도를 요구한다. 따라서, 염화 수소가 AGS 프로세스 동안 사용될 경우, 전체 프로세스 온도는 에천트가 활성화되는데 필요한 보다 높은 온도에 따라 설정된다. 염소는 요구되는 전체 프로세스 온도를 감소시킴으로써 전체 AGS 프로세스에 기여한다. 염소는 약 500℃의 낮은 온도에서 활성화될 수 있다. 따라서 에천트로서 염소를 AGS 프로세스에 통합시킴으로써, 전체 AGS 프로세스 온도는 에천트로서 염화 수소를 사용하는 프로세스에 비해 200℃ 내지 300℃ 정도 크게 감소될 수 있다. 또한, 염소는 염화 수소 보다 실리콘-함유 물질을 빠르게 에칭한다. 따라서, 염소 에천트는 AGS 프로세스의 전체 속도를 증가시킨다.
AGS 프로세스의 또 다른 예에서, 질소와 같은 불활성 가스가 수소와 같은 통상적인 캐리어 가스 대신 증착 및 에칭 프로세스 동안 캐리어 가스로 이용된다. 불활성 캐리어 가스의 사용은 AGS 프로세스 동안 몇 가지 특성을 갖는다. 예를 들 어, 불활성 캐리어 가스는 실리콘-함유 물질의 증착 속도를 증가시킬 수 있다. 수소가 증착 프로세스 동안 캐리어 가스로 사용될 수 있지만, 수소는 수소-종결 표면을 형성하기 위해 표면에 흡수되거나 또는 표면과 반응하는 경향을 갖는다. 수소-종결 표면은 베어(bare) 실리콘 표면 보다 에피택셜 성장이 매우 느리다. 따라서, 불활성 캐리어 가스의 사용은 증착 반응에 악영향을 미치지 않아 증착 속도를 증가시킨다.
아르곤 또는 헬륨과 같은 희가스가 불활성 캐리어 가스로서 사용될 수 있지만, 질소는 경제적으로 선호되는 불활성 캐리어 가스이다. 일반적으로 질소는 수소, 아르곤 또는 헬륨 보다 훨씬 싸다. 캐리어 가스로서 질소를 사용함으로써 야기될 수 있는 문제점 중 하나는 증착 프로세스 동안 기판상에서 물질의 질화에 있다. 그러나 이러한 방식에서 질소를 활성화시키기 위해서는 800℃ 이상의 높은 온도가 요구된다. 따라서, 질소는 질소 활성화 한계치 이하의 온도에서 수행되는 AGS 프로세스에서 불활성 캐리어 가스로서 바람직하게 사용된다. 에천트 가스로서 염소 그리고 캐리어 가스로서 질소를 사용하는 조합된 효과는 전체 AGS 프로세스의 속도를 상당히 증가시킨다.
본 명세서 전반에서, '실리콘-함유' 물질, 화합물(compound), 막 또는 층이란 용어들은 적어도 실리콘을 함유하는 조성물을 포함하도록 구성되어야 하며 게르마늄, 탄소, 붕소, 비소, 포스포러스 갈륨 및/또는 알루미늄을 포함할 수 있다. 금속, 할로겐 또는 수소와 같은 다른 원소가 실리콘-함유 물질, 화합물, 막 또는 층에 ppm(part per million) 농도로 통합될 수 있다. 실리콘-함유 물질의 화합물 또는 합금은 실리콘에 대해 Si, 실리콘 게르마늄에 대해 SiGe, 실리콘 탄소에 대해 SiC, 그리고 실리콘 게르마늄 탄소에 대해 SiGeG와 같은 약어로 표현될 수 있다. 이러한 약어는 화학량론적인 관계를 갖는 화학 방정식 또는 실리콘-함유 물질의 임의의 특정한 환원/산화 상태를 나타내는 것은 아니다.
도 1은 실리콘-함유층을 증착하는데 이용되는 에피택셜 프로세스(100)의 예를 나타낸다. 프로세스(100)는 프로세스 챔버 속으로 패터닝된 기판을 장착하고 원하는 온도 및 압력으로 프로세스 챔버의 내부 조건을 조절하는 단계(110)를 포함한다. 단계(120)는 기판의 비정질 및/또는 다결정성 표면 상에 다결정성층을 형성하면서 기판의 단결정성 표면상에 에피택셜층을 형성하는 증착 프로세스를 제공한다. 단계(130) 동안, 증착 프로세스는 종결된다. 단계(140)는 기판 표면을 에칭하기 위한 에칭 프로세스를 제공한다. 바람직하게, 다결정성층은 에피택셜층보다 빠른 속도도 에칭된다. 에칭 단계는 에피택셜층의 가장자리 부분만을 남겨두면서 다결정성층을 최소화시키거나 또는 완전히 제거한다. 단계(150) 동안, 에칭 프로세스가 종결된다. 에피택셜층 및 다결정성층의 두께는 단계(160) 동안 결정된다. 에피택셜층 또는 다결정성층의 예정된 두께가 달성되면, 에피택셜 프로세스(100)는 단계(170)에서 종결된다. 그러나 예정된 두께에 도달되지 않았다면, 단계(120-160)는 예정된 두께가 달성될 때까지 주기에 따라 반복된다.
패터닝된 기판이 단계(110) 동안 프로세스 챔버에 장착된다. 패터닝된 기판은 기판 표면 속에 또는 기판 표면상에 형성된 전기적 피쳐들을 포함하는 기판이다. 패터닝된 기판은 통상적으로 단결정성 표면 및 다결정성 또는 비정질 표면과 같이 비-단결정성인 적어도 하나의 제 2 표면을 포함한다. 단결정성 표면은 베어 결정성 기판 또는 통상적으로 실리콘, 실리콘 게르마늄 또는 실리콘 탄소와 같은 물질로 이루어진 증착된 단일 결정층을 포함한다. 다결정성 또는 비정질 표면은 비정질 실리콘 표면과 마찬가지로 산화물 또는 질화물, 특히 실리콘 산화물 또는 실리콘 질화물과 같은 유전체 물질을 포함한다.
에피택셜 프로세스(100)는 단계(110) 동안 패터닝된 기판을 포함하는 프로세스 챔버를 예정된 온도 및 압력으로 조절함으로써 시작된다. 기판은 특정하게 수행되는 프로세스에 따라 조절된다. 일반적으로 프로세스 챔버는 에피택셜 프로세스(100) 동안 일정한 온도로 유지된다. 그러나 일부 단계들은 가변 온도에서 수행될 수 있다. 프로세스 챔버는 약 250℃ 내지 약 1000℃, 바람직하게는 약 500℃ 내지 약 800℃, 보다 더 바람직하게는 약 550℃ 내지 약 750℃ 범위의 온도에서 유지된다. 에피택셜 프로세스(100)를 수행하기에 적합한 온도는 단계(120-140) 동안 실리콘-함유 물질을 증착 및/또는 에칭하기 위해 사용되는 특정 전구체에 따라 좌우될 수 있다. 일 실시예에서, 염소(Cl2) 가스는 보다 보편적인 에천트를 사용하는 프로세스보다 낮은 온도에서 실리콘-함유 물질에 대한 에천트로서 예상한 대로 바람직하게 작용한다는 것이 밝혀졌다. 따라서, 일 실시예에서, 프로세스 챔버를 예비가열하는데 적합한 온도는 약 750℃ 이하, 바람직하게는 약 650℃ 이하, 보다 더 바람직하게는 약 550℃ 이하이다. 프로세스 챔버는 통상적으로 약 0.1 torr 내지 약 200 torr, 바람직하게는 약 1 torr 내지 약 50 torr의 압력으로 유지된다. 압 력은 프로세스 단계(110-160) 동안 및 사이에서 변동될 수 있으나, 일반적으로는 일정하게 유지된다.
증착 프로세스는 단계(120) 동안 수행된다. 패터닝된 기판은 제 2차 표면상에는 다결절성층을 형성하면서 단결정성 표면상에는 에피택셜층을 형성하기 위해 증착 가스에 노출된다. 기판은 약 0.5초 내지 약 30초, 바람직하게는 약 1초 내지 약 20초, 보다 더 바람직하게는 약 5초 내지 약 10초의 시간 주기 동안 증착 가스에 노출된다. 증착 프로세스의 특정 노출 시간은 단계(140)에서의 에칭 프로세스 동안 노출 시간, 및 프로세스에 이용되는 특정한 전구체 및 온도와의 관계에서 결정된다. 일반적으로, 기판은 순차적 단계(140) 동안 쉽게 에칭될 수 있는 최소 두께의 다결정성층을 형성하면서 최대 두께의 에피택셜층을 형성하도록 충분히 오랫동안 증착 가스에 노출된다.
증착 가스는 적어도 실리콘 소스 및 캐리어 가스를 포함하며, 갈륨 소스 및/또는 탄소 소스와 같은 적어도 하나의 제 2 원소 소스를 포함할 수 있다. 또한, 증착 가스는 붕소, 비소, 인, 갈륨 및/또는 알루미늄과 같이 도펀트 소스를 제공하기 위한 도펀트 화합물을 더 포함할 수 있다. 선택적 실시예에서, 증착 가스는 염화 수소 또는 수소와 같은, 적어도 하나의 에천트를 포함할 수 있다.
실리콘 소스는 통상적으로 약 5sccm 내지 약 500sccm, 바람직하게는 약 10sccm 내지 약 300sccm, 보다 더 바람직하게는 약 50sccm 내지 약 200sccm, 예를 들어 약 100sccm 범위의 유량으로 프로세스 챔버에 제공된다. 실리콘-함유 화합물을 증착하기 위한 증착 가스에 유용한 실리콘 소스는 실란, 할로겐화 실란 및 유기 실란을 포함한다. 실란은 실란(SiH4) 및 디실란(Si2H6), 트리실란(Si3H8) 및 테트라실란(Si4H10)과 같이, 실험식 SixH(2x+2)을 갖는 고급(higher) 실란 등을 포함한다. 할로겐화 실란은 헥사클로로디실란(Si2Cl6), 테트라클로로실란(SiCl4), 디클로로실란(Cl2SiH2) 및 트리클로로실란(Cl2SiH)과 같이, 실험식 X'ySixH(2x+2-y)를 가지는 화합물을 포함하며, 여기서 X'=F, Cl, Br 또는 I이다. 유기실란은 메틸실란((CH3)SiH3), 디메틸실란((CH3)2SiH2), 에틸실란((CH3CH2)SiH3), 메틸디실란((CH3)Si2H5), 디메틸디실란(CH3)2Si2H4) 및 헥사메틸디실란((CH3)6Si2)와 같이, 실험식 RySixH(2x+2-y)를 가지는 화합물을 포함하며, 여기서 R=메틸, 에틸, 프로필 또는 부틸이다. 유기실란 화합물은 증착된 실리콘-함유 화합물에 탄소가 통합되는 실시예에서 바람직한 실리콘 소스 및 탄소 소스인 것으로 밝혀졌다. 바람직한 실리콘 소스는 실란, 디클로로실란 및 디실란을 포함한다.
통상적으로 캐리어 가스와 함께 실리콘 소스가 프로세스 챔버에 제공된다. 캐리어 가스는 약 1 slm(standard liters per minute) 내지 약 100 slm, 바람직하게는 약 5slm 내지 약 75slm, 보다 더 바람직하게는 약 10slm 내지 약 50slm, 예를 들어 약 25slm의 유량을 갖는다. 캐리어 가스는 질소(N2), 수소(H2), 아르곤, 헬륨 및 이들의 조합을 포함할 수 있다. 불활성 캐리어 가스가 바람직하며 질소, 아르곤, 헬륨 및 이들의 조합이 포함된다. 캐리어 가스는 사용되는 전구체(들) 및/또는 에피택셜 프로세스(100) 동안 프로세스 온도에 기초하여 선택될 수 있다. 통상 적으로 캐리어 가스는 각각의 단계(110-150)에 대해 동일하다. 그러나 일부 실시예는 특정 단계에서 상이한 캐리어 가스를 사용할 수 있다. 예를 들어, 질소는 단계(120)에서 실리콘 소스와 함께 그리고 단계(140)에서는 에천트와 함께 캐리어 가스로 이용될 수 있다.
바람직하게, 질소는 저온(예를 들어, < 800℃) 프로세스를 특징으로 하는 실시예에서 캐리어 가스로서 이용된다. 저온 프로세스는 단계(140)에서 추가로 개시된 에칭 프로세스에서 부분적으로 염소 가스를 사용함으로써 달성된다. 질소는 저온 증착 프로세스 동안 불활성으로 유지된다. 따라서 질소는 저온 프로세스 동안 증착된 실리콘-함유 물질에 통합되지 않는다. 또한, 질소 캐리어 가스는 수소 캐리어 가스처럼 수소-종결 표면을 형성하지 않는다. 기판 표면 상에서 수소 캐리어 가스의 흡수에 의해 형성된 수소-종결 표면은 실리콘-함유층의 성장 속도를 방해한다. 마지막으로, 저온 프로세스는 캐리어 가스로서 질소의 경제적인 장점을 취할 수 있고, 이는 질소가 수소, 아르곤 또는 헬륨보다 상당히 저렴하기 때문이다.
단계(120) 동안 사용되는 증착 가스는 게르마늄 소스 및/또는 탄소 소스와 같이, 적어도 하나의 제 2 원소 소스를 포함할 수도 있다. 실리콘 게르마늄 물질과 같은 실리콘-함유 화합물을 형성하기 위해, 실리콘 소스 및 캐리어 가스와 함께 게르마늄 소스가 프로세스 챔버에 부가될 수 있다. 통상적으로 게르마늄 소스는 약 0.1sccm 내지 약 20sccm, 바람직하게 약 0.5sccm 내지 약 10sccm, 보다 더 바람직하게는 약 1sccm 내지 약 5sccm, 예를 들어 약 2sccm 범위의 유량으로 프로세스 챔버에 제공된다. 실리콘-함유 화합물을 증착하는데 유용한 게르마늄 소스는 게 르만(GeH4), 고급 게르만(higher germanes) 및 유기게르만을 포함한다. 고급 게르만은 디게르만(Ge2H6), 트리게르만(Ge3H8) 및 테트라게르만(Ge4H10) 등과 같이, 실험식 GxH(2x+2)을 가지는 화합물을 포함한다. 유기게르만은 메틸게르만((CH3)GeH3), 디메틸게르만((CH3)2GeH2), 에틸게르만((CH3CH2)GeH3), 메틸디게르만((CH3)Ge2H5), 디메틸디게르만((CH3)2GeH4) 및 헥사메틸디게르만((CH3)6Ge2)과 같은 화합물을 포함한다. 게르마늄 및 유기게르마늄 화합물은 증착된 실리콘-함유 화합물, 즉 SiGe 및 SiGeC 화합물 속에 게르마늄 및 탄소가 통합되는 실시예에서 바람직한 게르마늄 소스 및 탄소 소스라는 것이 밝혀졌다. 에피택셜층에서 게르마늄 농도는 약 1at% 내지 약 30 at% 범위, 예를 들어 약 20at%이다. 게르마늄 농도는 에피택셜층 내에서 차등화될 수 있으며, 바람직하게는 에피택셜층의 상부 부분 보다는 에피택셜층의 하부 부분에서 게르마늄 농도가 더 높도록 차등화된다.
선택적으로, 실리콘 탄소 물질과 같은 실리콘-함유 화합물을 형성하기 위해 단계(120) 동안 실리콘 소스 및 캐리어 가스와 함께 탄소 소스가 프로세스 챔버에 부가될 수 있다. 통상적으로 탄소 소스는 약 0.1sccm 내지 약 20sccm, 바람직하게 약 0.5sccm 내지 약 10sccm, 보다 더 바람직하게는 약 1sccm 내지 약 5sccm, 예를 들어 약 2sccm 범위의 유량으로 프로세스 챔버에 제공된다. 실리콘-함유 화합물을 증착하는데 유용한 탄소 소스는 유기실란, 알킬, 알켄 및 에틸, 프로필 및 부틸의 알킨을 포함한다. 이러한 탄소 소스는 메틸실란(CH3SiH3), 디메틸실 란((CH3)2SiH2), 에틸실란(CH3CH2SiH3), 메탄(CH4), 에틸렌(C2H4), 에티닐(C2H2), 프로판(C3H8), 프로필렌(C3H6), 부틴(C4H6) 등을 포함한다. 에피택셜층의 탄소 농도는 약 200ppm 내지 약 5at%, 바람직하게는 약 1at% 내지 약 3at%, 예를 들어 약 1.5at% 범위이다. 일 실시예에서, 탄소 농도는 에피택셜층 내에서 차등화될 수 있으며, 바람직하게는 에피택셜층의 최종 부분에서 보다는 에피택셜층의 초기 부분에서 더 낮은 탄소 농도를 갖도록 차등화된다. 선택적으로, 실리콘 게르마늄 탄소 물질과 같은 실리콘-함유 화합물을 형성하기 위해 단계(120) 동안 실리콘 소스 및 캐리어 가스와 함께 게르마늄 소스 및 탄소 소스가 부가될 수 있다.
단계(120) 동안 사용되는 증착 가스는 붕소, 비소, 인, 갈륨 또는 알루미늄과 같은, 원소 도펀트의 소스를 제공하기 위해 적어도 하나의 도펀트 화합물을 더 포함할 수 있다. 도펀트는 전자 장치에 의해 요구되는 제어 및 요구된 경로에서의 방향성 전자 흐름과 같이, 다양한 도전 특성을 가지게 증착된 실리콘-함유 화합물을 제공한다. 실리콘-함유 화합물의 막들은 원하는 도전 특성을 달성하기 위해 특정 도펀트로 도핑된다. 일 실시예에서, 실리콘-함유 화합물은 약 1015atoms/cm3 내지 약 1021atoms/cm3 범위의 농도로 붕소가 첨가되도록 디보란을 사용함으로써, p-형으로 도핑된다. 일 실시예에서, p-형 도펀트는 적어도 5×1019 atoms/cm3의 농도를 갖는다. 또 다른 예에서, p-형 도펀트는 약 1×1020 atoms/cm3 내지 약 2.5× 1021 atoms/cm3 범위이다. 또 다른 예에서, 실리콘-함유 화합물은 약 1015 atoms/cm3 내지 1021 atoms/cm3 범위의 농도의 인 및/또는 비소로 n-형 도핑된다.
통상적으로 도펀트 소스는 약 0.1sccm 내지 약 20sccm, 바람직하게는 약 0.5sccm 내지 약 10sccm, 보다 더 바람직하게는 약 1sccm 내지 약 5sccm, 예를 들어 약 2sccm의 범위에서 단계(120) 동안 프로세스 챔버에 제공된다. 도펀트 소스로서 유용한 붕소-함유 도펀트는 보란들 및 유기보란(organoboranes)을 포함한다. 보란들은 보란, 디보란(B2H6), 트리보란, 테트라보란 및 펜타보란을 포함하며, 알킬보란은 실험식 RxBH(3-x)를 갖는 화합물을 포함하며, 여기서 R=메틸, 에틸, 프로필 또는 부틸이며 x = 1, 2 또는 3이다. 알킬보란은 트리메틸보란((CH3)3B), 디메틸보란((CH3)2BH), 트리에틸보란((CH3CH2)3B) 및 디에틸보란((CH3CH2)2BH)를 포함한다. 도펀트는 비소(AsH3), 포스핀(PH3) 및 실험식 RxPH(3-x)을 가지는 알킬포스핀을 포함하며, 여기서 R=메틸, 에틸, 프로필 또는 부틸이며 x = 1, 2 또는 3이다. 알킬포스핀은 트리메틸포스핀((CH3)3P), 디메틸포스핀((CH3)2PH), 트리메틸포스핀((CH3CH2)3P) 및 디에틸포스핀((CH3CH2)2PH)를 포함한다. 알루미늄 및 갈륨 도펀트 소스들은 실험식 RxMX(3-x)으로 개시되는 알킬화 및/또는 할로겐화 유도체들을 포함하며, 여기서 M = Al 또는 Ga이며, R = 메틸, 에틸, 프로필 또는 부틸이며, X = Cl 또는 F 이며 x = 0, 1, 2 또는 3이다. 알루미늄 및 갈륨 도펀트 소스의 예로는 트 리메틸알루미늄(Me3Al), 트리에틸알루미늄(Et3Al), 디메틸알루미늄클로라이드(MeAlCl), 알루미늄클로라아드(AlCl3), 트리메틸갈륨(MeGa), 트리에틸갈륨(Et3Ga), 디메틸갈륨클로라이드(Me2GaCl) 및 갈륨클로라이드(GaCl3)를 포함한다.
단계(130) 동안, 증착 프로세스는 종결된다. 일 실시예에서, 프로세스 챔버는 정화(purge) 가스 또는 캐리어 가스로 세정될 수 있고/세정될 수 있거나 진공 펌프로 배기될 수 있다. 정화 및/또는 배기 프로세스는 과잉 증착 가스, 반응 부산물 및 다른 오염물들을 제거한다. 또 다른 예에서, 일단 증착 프로세스가 종결되면, 프로세스 챔버의 정화 및/또는 배기 없이 단계(140)에서 즉시 에칭 프로세스가 개시된다.
단계(140)에서 에칭 프로세스는 단계(120) 동안 기판 표면으로부터 증착된 실리콘-함유 물질을 제거한다. 에칭 프로세스는 에피택셜 또는 단결정성 물질 및 비정질 또는 다결정성 물질 모두를 제거한다. 기판 표면상에 증착된 다결정성층은 에피택셜층 보다 빠른 속도로 제거된다. 에칭 프로세스의 시간 주기는 증착 프로세스의 시간 주기와 일치하여 기판의 원하는 영역 상에 선택적으로 형성된 에피택셜층의 최종(net) 증착이 야기된다. 따라서, 단계(120)에서의 증착 프로세스 및 단계(140)에서의 에칭 프로세스의 최종 결과로 다결정성 실리콘-함유 물질의 성장을 최소화하면서 선택적으로 에피택셜 설장된 실리콘-함유 물질이 형성된다.
단계(140) 동안, 기판은 약 10초 내지 약 90초, 바람직하게는 약 20초 내지 약 60초, 보다 더 바람직하게는 약 30초 내지 약 45초 범위의 시간 주기 동안 에칭 프로세스에 노출된다. 에칭 가스는 에천트 및 캐리어 가스중 적어도 하나를 포함한다. 통상적으로 에천트는 약 10sccm 내지 약 700sccm, 바람직하게는 약 50sccm 내지 약 500sccm, 보다 더 바람직하게는 약 100sccm 내지 약 400sccm, 예를 들어 약 200sccm 범위의 유량으로 프로세스 챔버에 제공된다. 에칭 가스에 사용되는 에천트는 염소(Cl2), 염화 수소(HCl), 삼염화 붕소(BCl3), 사염화 탄소(CCl4), 삼불화 염소(ClF3) 및 이들의 조합을 포함할 수 있다. 바람직하게, 염소 또는 염화 수소가 에천트로서 사용된다.
통상적으로 에천트는 캐리어 가스와 함께 프로세스 챔버에 제공된다. 캐리어 가스는 약 1slm 내지 약 100slm, 바람직하게는 약 5slm 내지 약 75slm, 보다 더 바람직하게는 약 10slm 내지 약 50slm, 예를 들어 약 25slm 범위의 유량을 갖는다. 캐리어 가스는 질소(N2), 수소(H2), 아르곤, 헬륨 및 이들의 조합을 포함한다. 일부 실시예에서는 불활성 캐리어 가스가 바람직하며 불활성 캐리어 가스는 질소, 아르곤, 헬륨 및 이들의 조합을 포함한다. 캐리어 가스는 에피택셜 프로세스(100) 동안 사용되는 특정 전구체(들) 및/또는 온도에 따라 선택될 수 있다. 통상적으로는 각각의 단계(110-150)에 걸쳐 동일한 캐리어 가스가 사용된다. 그러나, 일부 실시예들은 에칭 프로세스 동안 증착 프로세스에서 사용되는 것과 상이한 캐리어 가스를 이용할 수 있다. 일 실시예에서, 특히 AGS 프로세스가 낮은 온도(예를 들어, < 800℃)에서 수행될 때, 바람직한 에천트는 염소 가스이다. 예를 들어, 에칭 가스는 에천트로서 염소를 포함하며 캐리어 가스로서 질소를 포함하며 약 500℃ 내 지 약 750℃ 범위의 온도에서 기판 표면에 노출된다. 또 다른 예에서, 염소 및 질소를 함유하는 에칭 가스는 약 250℃ 내지 약 500℃ 범위의 온도에서 기판 표면에 노출된다.
에칭 프로세스는 단계(150) 동안 종결된다. 일 실시예에서, 프로세스 챔버는 정화 가스 또는 캐리어 가스로 세정 및/또는 진공 펌프로 배기될 수 있다. 정화 및/또는 배기 프로세스는 과잉 에칭 가스, 반응 부산물 및 다른 오염물을 제거한다. 또 다른 예에서, 일단 에칭 프로세스가 종결되면, 프로세스 챔버의 정화 및/또는 배기없이 즉시 단계(160)가 시작된다.
에피택셜층 및 다결정성층의 두께는 단계(160) 동안 결정될 수 있다. 예정된 두께가 달성되면, 에피택셜 프로세스(100)가 단계(170)에서 종결된다. 그러나 예정된 두께가 달성되지 않았다면, 원하는 두께가 달성될 때까지 주기에 따라 단계(120-160)가 반복된다. 통상적으로 에피택셜층은 약 10Å 내지 약 2,000Å, 바람직하게는 약 100Å 내지 약 1,500Å, 보다 더 바람직하게는 약 400Å 내지 약 1,200Å, 예를 들어 800Å 범위의 두께를 갖도록 성장된다. 통상적으로 다결정성층은 원자층 내지 약 500Å 범위의 두께로 증착된다. 에피택셜 실리콘-함유층 또는 다결정성 실리콘-함유층의 원하는 또는 예정된 두께는 특정 제조 프로세스에 따라 정해진다. 일 실시예에서, 에피택셜층은 예정된 두께에 도달할 수 있지만 다결정성층은 너무 두껍다. 단계(120, 130)를 건너뛰면서 단계(140-160)를 반복함으로써 과잉의 다결정성층이 추가로 에칭될 수 있다.
도 2A-2E에 도시된 것처럼, 일 실시예에서, 소스/드레인 연장부가 MOSFET 장 치 내에 형성되며, 실리콘 함유층들은 기판 표면 상에 에피택셜방식으로 선택적으로 증착된다. 도 2A는 기판(230)의 표면 속에 이온들을 주입함으로써 형성된 소스/드레인 영역(232)을 나타낸다. 소스/드레인 영역(232)의 세그먼트들은 게이트 산화물층(235) 및 스페이서(234) 상에 형성된 게이트(236)에 의해 교락된다(bridged). 소스/드레인 연장부를 형성하기 위해, 소스/드레인 영역(232)의 부분이 에칭되고 도 2B에 도시된 것처럼 리세스(238)를 형성하도록 습식-세정된다. 게이트(236)의 에칭은 소스/드레인 영역(232)의 부분을 에칭하기 이전에 하드마스크를 증착함으로써 방지될 수 있다.
도 2C는 본 명세서에서 개시되는 에피택셜 프로세스의 일 실시예를 나타내며, 실리콘-함유 에피택셜층(240) 및 선택적 다결정성층(242)은 스페이서(234) 상에 증착되지 않고 동시적으로 선택적으로 증착된다. 다결정성층(242)은 에피택셜 프로세스(100)의 단계들(120, 140)에서 증착 및 에칭 프로세스를 조절함으로써 게이트(236) 상에 선택적으로 형성된다. 선택적으로, 다결정성층(242)은 에피택셜층(240)이 소스/드레인 영역(232) 상에 증착됨에 따라 게이트(236)로부터 연속적으로 에칭된다.
또 다른 예에서, 실리콘-함유 에피택셜층(140) 및 다결정성층(242)은 약 1at% 내지 약 50at%, 바람직하게는 약 24at% 이하 범위의 게르마늄 농도를 갖는 SiGe-함유층이다. 다양한 양의 실리콘 및 게르마늄을 포함하는 다수의 SiGe-함유층이 적층되어 차등화된 원소 농도를 가지는 실리콘-함유 에피택셜층(240)이 형성된다. 예를 들어, 제 1 SiGe-층은 약 15at% 내지 약 25at% 범위의 게르마늄 농도 로 증착될 수 있으며 제 2 SiGe-층은 약 25at% 내지 약 35at% 범위의 게르마늄 농도로 증착될 수 있다.
또 다른 예에서, 실리콘-함유 에피택셜층(240) 및 다결정성층(142)은 약 200ppm 내지 약 5at%, 바람직하게는 약 3at% 이하, 보다 더 바람직하게는 약 1at% 내지 약 2at%, 예를 들어 약 1.5at% 범위의 탄소 농도를 갖는 SiC-함유층이다. 또 다른 실시예에서, 실리콘-함유 에피택셜층(240) 및 다결정성층(242)은 약 1at% 내지 약 50at%, 바람직하게는 약 24at% 이하 범위의 게르마늄 농도 및 약 200ppm 내지 약 5at%, 바람직하게는 약 3at% 이하, 보다 바람직하게는 약 1at% 내지 약 2at%, 예를 들어 약 1.5at% 범위의 탄소 농도를 가지는 SiGeC-함유층이다.
Si, SiGe, SiC 또는 SiGeC를 포함하는 다수의 층들은 실리콘-함유 에피택셜층(240)내에서 차등화된 원소 농도가 형성되도록 순서가 변하게 증착될 수 있다. 일반적으로 실리콘-함유층들은 약 1×1019atoms/㎤ 내지 약 2.5×1021atoms/㎤, 바람직하게는 약 5×1019atoms/㎤ 내지 약 2×1020atoms/㎤ 범위의 농도를 가지는 도펀트(예를 들어, 붕소, 비소, 인, 갈륨 또는 알루미늄)로 도핑된다. 실리콘-함유 물질의 개별 층들에 첨가되는 도펀트들은 차등화된 도펀트들이다. 예를 들어, 실리콘-함유 에피택셜층(240)은 약 5×1019atoms/㎤ 내지 약 1×1020atoms/㎤ 범위의 도펀트 농도(예를 들어 붕소)를 갖는 제 1 SiGe-함유층 및 약 1×1020atoms/㎤ 내지 약 2×1020atoms/㎤ 범위의 도펀트 농도(예를 들어, 붕소)를 갖는 제 2 SiGe-함유층 을 증착함으로써 형성된다.
SiC-함유층들 및 SiGeC-함유층들에 통합된 탄소는 일반적으로 실리콘-함유층의 증착에 바로 이어서 결정 격자의 침입형(interstitial) 지점에 위치된다. 침입형 탄소 함량은 약 10at% 이하, 바람직하게는 약 5at% 미만 및 보다 더 바람직하게는 약 1at% 내지 약 3at%, 예를 들어, 약 2at%이다. 침입형 탄소 모두가 결정 격자의 치환형 지점으로 통합되지 않는 경우, 실리콘-함유 에피택셜층(240)은 적어도 일부분에 통합되도록 어닐링될 수 있다. 어닐링 프로세스는, 급속 열 프로세스(RTP)와 같은 스파이크 어닐링, 레이저 어닐링 또는 산소, 질소, 수소, 아르곤, 헬륨 또는 이들의조합과 같은 가스 분위기에서의 열 어닐링을 포함할 수 있다. 어닐링 프로세스는 약 800℃ 내지 약 1200℃, 바람직하게는 약 1050℃ 내지 약 1100℃ 범위 이내의 온도에서 수행된다. 어닐링 프로세스는 실리콘-함유층이 증착된 직후 또는 기판이 견딜 수 있는 다양한 다른 프로세스 단계들 이후 이루어진다.
다음 단계 동안, 도 2D는 스페이서(244), 일반적으로는 스페이서(234) 상에 증착된 질화물 스페이서(예를 들어, Si3N4)를 나타낸다. 통상적으로 스페이서(244)는 CVD 또는 ALD 기술에 의해 상이한 챔버내에 증착된다. 따라서, 기판은 실리콘-함유 에피택셜층(240)을 증착하기 위해 이용되는 프로세스 챔버로부터 제거된다. 2개 챔버들 사이에서의 이송 동안, 기판은 온도, 압력 또는 물 및 산소를 함유하는 공기(atmospheric air)와 같은, 대기 조건에 노출될 수 있다. 스페이서(244)가 증착됨에 따라, 또는 다른 반도체 프로세스(예를 들어, 어닐링, 증착 또는 이온 주 입)가 수행됨에 따라, 기판은 융기층(elevated layer)(248)을 증착하기 이전의 제 2 시간 동안 대기 조건에 노출될 수 있다. 일 실시예에서, 게르마늄을 갖지 않거나 또는 최소 게르마늄(예를 들어, 약 5at% 미만)을 가지는 에피택셜층(비도시)은 대기 조건에 기판이 노출되기 이전에 에피택셜층(240) 상부에 증착되며, 이는 자연 산화물이 약 5at% 보다 큰 게르마늄 농도로 형성된 에피택셜층으로부터 보다는 최소 게르마늄 농도를 함유한 에피택셜층으로부터 더 쉽게 제거되기 때문이다.
도 2E는 실리콘-함유 물질이 포함된 융기층(248)이 선택적으로 에피텍셜하게 증착된 에피택셜층(240)(예를 들어, 도핑된 SiGe)인 또 다른 예를 나타낸다. 증착 프로세스 동안, 다결정성층(242)은 게이트(236)상에 추가 성장되거나, 증착되거나 또는 에칭된다.
바람직한 실시예에서, 융기층(248)은 게르마늄 또는 탄소를 극소량으로 포함하거나 또는 포함하지 않게 에피택셜 증착된 실리콘이다. 그러나 선택적 실시예에서, 융기층(248)은 게르마늄 및/또는 탄소를 함유한다. 예를 들어, 융기층(248)은 약 5at% 이하의 게르마늄을 가질 수 있다. 또 다른 예에서, 융기층(248)은 약 2at% 이하의 탄소를 가질 수 있다. 융기층(248)에는 붕소, 비소, 인, 알루미늄 또는 갈륨이 도핑될 수 있다.
실리콘-함유 화합물은 바이폴라 장치 제조(예를 들어, 베이스, 이미터, 콜렉터, 이미터 콘택), BiCMOS 장치 제조(예를 들어, 베이스, 이미터, 콜렉터, 이미터 콘택) 및 CMOS 장치 제조(예를 들어, 채널, 소스/드레인, 소스/드레인 연장부, 융기된 소스/드레인, 기판, 변형된 실리콘, 실리콘 온 인슐레이터 및 콘택 플러그)를 위해 사용되는 실리콘-함유층을 증착하기 위해 프로세스의 실시예들에서 이용된다. 프로세의 또 다른 실시예들은 게이트, 베이스 콘택, 콜렉터 콘택, 이미터 콘택, 융기된 소스/드레인 및 다른 용도로 사용될 수 있는 실리콘-함유층들의 성장을 나타낸다.
프로세스들은 도 3A-3C에 도시된 것처럼 MOSFET 및 바이폴라 트랜지스터에 선택적, 에피택셜 실리콘-함유층들을 증착하는데 있어 매우 유용하다. 도 3A-3B는 MOSFET 장치상에서의 실리콘-함유 화합물의 에피택셜 성장을 나타낸다. 실리콘-함유 화합물은 장치의 소스/드레인 피쳐 상에 증착된다. 실리콘-함유 화합물은 하부층의 결정 격자들와 부착되어 성장되며 실리콘-함유 화합물이 원하는 두께로 성장될 때까지 이러한 배열을 유지한다. 도 3A는 리세스형 소스/드레인 층으로 증착된 실리콘-함유 화합물을 나타내며, 도 3B는 리세스형 소스/드레인 층 및 융기된 소스/드레인 층으로 증착된 실리콘-함유 화합물을 나타낸다.
소스/드레인 영역(312)은 이온 주입에 의해 형성된다. 일반적으로, 기판(310)은 n-형으로 도핑되는 반면, 소스/드레인 영역(312)은 p-형으로 도핑된다. 실리콘-함유 에피택셜층(313)은 소스/드레인 영역(312) 상에 선택적으로 및/또는 기판(310) 상에 직접 성장된다. 실리콘-함유 에피택셜층(314)은 본 발명에 따라 실리콘-함유층(313) 상에 선택적으로 성장된다. 게이트 산화물층(318)은 세그먼트 실리콘-함유층(313)을 교락시킨다. 일반적으로, 게이트 산화물층(318)은 실리콘 이산화물, 실리콘 산질화물 또는 하프늄 산화물로 구성된다. 스페이서(316)는 게이트 산화물층(318)를 부분적으로 둘러싸며, 스페이서(316)는 통상적으로 질화물/ 산화물 스택(예를 들어, Si3N4/SiO2/Si3N4)과 같은 절연 물질이다. 일 실시예에서, 스페이서(316)는 실리콘 질화물층, 실리콘 산화물층 및 다른 실리콘 질화물층(예를 들어, Si3N4/SiO2/Si3N4)를 포함하는 질화물/산화물 스택일 수 있다. 게이트층(322)(예를 들어, 폴리실리콘)은 도 3A에 도시된 것처럼, 수직 측면을 따르는, 실리콘 이산화물과 같은 보호층(319)을 포함할 수 있다. 선택적으로, 게이트층(322)은 한쪽 측면 상에 증착되는 오프-셋층(320)(예를 들어, Si3N4) 및 스페이서(316)를 포함할 수 있다.
또 다른 실시예에서, 도 3C는 바이폴라 트랜지스터의 베이스층으로 증착된 실리콘-함유 에피택셜층(334)을 나타낸다. 실리콘-함유 에피택셜층(334)은 본 발명의 다양한 실시예에 따라 선택적으로 성장된다. 실리콘-함유 에피택셜층(334)은 기판(330) 상에 미리 증착된 n-형 콜렉터층(332) 상에 증착된다. 또한 트랜지스터는 절연층(333)(예를 들어, SiO2 또는 Si3N4), 콘택층(336)(예를 들어, 고농도도 도핑된 폴리-Si), 오프-셋층(338)(예를 들어, Si3N4), 및 제 2 절연층(340)(예를 들어, SiO2 또는 Si3N4)을 더 포함한다.
선택적 실시예에서, 도 4는 실리콘-함유 물질/층을 선택적으로 증착하는데 이용될 수 있는 에피택셜 프로세스(400)를 나타낸다. 에피택셜 프로세스(400)는 에칭 프로세스가 이어지는 적어도 2개의 증착 프로세스를 포함한다. 제 1 증착 프로세스는 실리콘 소스를 함유하는 증착 가스를 포함하는 반면 제 2 증착 프로세스 는 게르마늄, 탄소 또는 도펀트(예를 들어, 붕소, 비소, 인, 갈륨 또는 알루미늄)와 같은, 제 2 원소 소스를 함유하는 증착 가스를 포함한다. 온도, 압력, 유량, 캐리어 가스 및 전구체와 같이, 에피택셜 프로세스(100)에 사용되는 것과 유사한 프로세스 파라미터들이 에피택셜 프로세스(400)에 사용된다.
에피택셜 프로세스(400)는 프로세스 챔버에 패터닝된 기판을 장착하고 예정된 온도로 프로세스 챔버를 조절하는 단계(410)를 포함한다. 단계(420)는 비정질 및/또는 다결정성 표면을 형성하면서 단결정성 표면 상에 에피택셜층을 형성하기 위한 제 1 증착 프로세스를 제공한다. 에피택셜층 및 단결정성층은 실리콘 소스를 함유하는 장착 가스로부터 형성된다. 단계(430) 동안, 제 1 증착 프로세스가 종결된다. 단계(440)는 단결정성 표면 상에 에피택셜층 성장을 지속하고 제 2 표면 상에 다결정성층 형성을 지속하기 위한 제 2 증착 프로세스를 제공한다. 에피택셜층 및 다결정성층은 제 2 원소 소스를 포함하는 증착 가스에 기판 표면을 노출시킴으로써 추가로 성장된다. 단계(450)에서, 제 2 증착 프로세스가 종결된다. 단계(460)는 노출된 실리콘-함유층들을 에칭하기 위한 에칭 프로세스를 제공한다. 에칭 프로세스는 각각의 물질이 제거되는 속도에 따라 에피택셜층의 가장자리 부분만을 제거하면서 다결정성층을 완전히 제거하거나 최소화시킨다. 단계(470) 동안, 에칭 프로세스가 종결된다. 에피택셜층과 다결정성층의 두께는 단계(480) 동안 결정된다. 예정된 두께가 달성될 경우, 에피택셜 프로세스(400)는 단계(490에서 종결된다. 그러나 어느 하나의 층이 예정된 두께에 도달하지 못했다면, 예정된 두께가 달성될 때까지 주기에 따라 단계(420-480)가 반복된다.
에피택셜 프로세스(400)는 패터닝된 기판을 포함하는 프로세스 챔버를 예정된 온도로 조절함으로써 단계(410)가 시작된다. 온도 및 압력은 수행되는 특정 프로세스에 따라 조절된다. 일반적으로, 프로세스 챔버는 에피택셜 프로세스(400) 동안 일정한 온도로 유지된다. 그러나 일분 단계들은 온도가 변하면서 수행될 수 있다. 프로세스 챔버는 약 250℃ 내지 약 1000℃, 바람직하게는 약 500℃ 내지 약 800℃, 보다 더 바람직하게는 약 550℃ 내지 약 750℃ 범위의 온도로 유지된다. 에피택셜 프로세스(400)를 수행하는데 적합한 온도는 단계(420-480) 동안 실리콘-함유 물질을 증착 및/또는 에칭하는데 이용되는 특정 선구체와 관련된다. 일 실시예에서, 염소(Cl2) 가스는 다른 일반적인 에천트를 사용하는 프로세스에서 보다 낮은 온도에서 실리콘-함유 물질에 대해 예상외로 바람직하게 작용한다는 것이 밝혀졌다. 따라서, 일 실시예에서, 프로세스 챔버를 예비가열하는데 적합한 온도는 약 750℃ 이하, 바람직하게는 약 650℃ 이하, 보다 더 바람직하게는 약 550℃ 이하이다. 통상적으로 프로세스 챔버는 약 0.1 Torr 내지 약 200 Torr 사이, 바람직하게는 약 1 Torr 내지 약 50 Torr 사이의 압력으로 유지된다. 압력은 프로세스 단계(410-480) 동안 및 그 사이에서 변동될 수 있으나, 일반적으로는 일정하게 유지된다.
제 1 증착 프로세스는 단계(420) 동안 수행된다. 패터닝된 기판은 제 2차 표면 상에 다결정성층을 형성하는 동안 단결정성 표면 상에 에피택셜층을 형성하기 위해 제 1 증착 가스에 노출된다. 기판은 약 0.5초 내지 약 20초, 바람직하게는 약 1 초 내지 약 20초, 보다 더 바람직하게는 약 5초 내지 약 10초의 시간 주기 동안 제 1 증착 가스에 노출된다. 증착 가스의 특정한 노출 시간은 단계(460)에서의 에칭 프로세스 동안의 노출 시간, 및 프로세스에 이용되는 특정 전구체 및 온도와 관련하여 결정된다. 일반적으로, 기판은 순차적인 단계(460) 동안 쉽게 에칭될 수 있는 다결정성층의 최소화된 두께를 형성하면서 에피택셜층의 최소화된 두께를 형성하도록 충분히 오랫동안 제 1 증착 가스에 노출된다.
제 1 증착 가스는 적어도 실리콘 소스 및 캐리어 가스를 포함한다. 제 1 증착 가스는 제 2 원소 소스 및/또는 도펀트 화합물을 포함할 수도 있으나, 바람직하게 제 2 원소 소스 및 도펀트 소스는 제 2 증착 가스에 포함된다. 따라서, 일면에서, 제 1 증착 가스는 실리콘 소스, 제 2 원소 소스 및 도펀트 소스를 포함할 수 있다. 또 다른 면에서, 제 1 증착 가스는 실리콘 소스 및 제 2 원소 소스를 포함한다. 또 다른 면에서, 제 1 증착 가스는 실리콘 소스 및 도펀트 소스를 포함한다. 선택적 실시예에서, 제 1 증착 가스는 염화 수소 또는 염소와 같은, 적어도 하나의 에천트를 포함할 수도 있다.
통상적으로 실리콘 소스는 약 5sccm 내지 약 500scmm, 바람직하게는 약 10sccm 내지 약 300sccm, 보다 더 바람직하게는 약 50sccm 내지 약 200sccm, 예를 들어 약 100sccm 범위의 유량으로 프로세스 챔버에 제공된다. 바람직한 실리콘 소스는 실란, 디클로로실란 및 디실란을 포함한다.
통상적으로 실리콘 소스는 캐리어 가스와 함께 프로세스 챔버에 제공된다. 캐리어 가스는 약 1slm 내지 약 100slm, 바람직하게는 약 5slm 내지 약 75slm, 보 다 더 바람직하게는 약 10slm 내지 50slm, 예를 들어 약 25slm의 유량을 갖는다. 캐리어 가스는 질소(N2), 수소(H2), 아르곤, 헬륨 및 이들의 조합을 포함할 수 있다. 일부 실시예에서는 불활성 캐리어 가스가 바람직하며 불활성 캐리어 가스는 질소, 아르곤, 헬륨 및 이들의 조합을 포함한다. 바람직하게, 전체 에피택셜 프로세스(400)에 사용되는 캐리어 가스는 질소이며, 그 이유는 앞서 개시되었다.
단계(430) 동안, 제 1 증착 프로세스가 종결된다. 일 예에서, 프로세스 챔버는 정화 가스 및/또는 캐리어 가스로 세정 및/또는 진공 펌프로 배기될 수 있다. 정화 및/또는 배기 프로세스는 과잉 증착 가스, 반응 부산물 및 다른 오염물을 제거한다. 또 다른 예에서, 일단 제 1 증착 프로세스가 종결되면, 프로세스 챔버의 정화 및/또는 배기 없이 즉시 단계(440)에서 제 2 증착 프로세스가 시작된다.
단계(440) 동안 이용되는 증착 가스는 캐리어 가스 및 게르마늄 소스, 탄소 소스 및/또는 도펀트 화합물과 같은, 적어도 하나의 제 2 원소 소스를 포함한다. 선택적으로, 실리콘 소스는 제 2 증착 가스에 포함될 수 있다. 제 2 원소 소스는 단계(420) 동안 증착된 실리콘-함유 화합물의 성장이 지속되도록 캐리어 가스와 함께 프로세스 챔버에 첨가된다. 실리콘-함유 화합물은 특정 제 2 원소 소스 및 제 2 원소 소스의 농도에 의해 제어된다. 통상적으로 제 2 원소 소스는 약 0.1sccm 내지 약 20sccm, 바람직하게는 약 0.5sccm 내지 약 10sccm, 보다 더 바람직하게는 약 1sccm 내지 약 10sccm, 예를 들어 약 2sccm 범위의 유량으로 프로세스에 챔버에 제공된다. 게르마늄 소스, 탄소 소스 및 도펀트 화합물은 앞서 개시된 전구체들로 부터 선택된다.
단계(450) 동안, 제 2 증착 프로세스가 종결된다. 일 예에서, 프로세스 챔버는 정화 가스 또는 캐리어 가스로 세정 및/또는 진공 펌프로 배기될 수 있다. 정화 및/또는 배기 프로세스는 과잉 증착 가스, 반응 부산물 및 다른 오염물을 제거한다. 또 다른 예에서, 일단 제 2 증착 프로세스가 종결되면, 프로세스 챔버의 정화 및/또는 배기 없이 단계(460)에서 에칭 프로세스가 즉시 시작된다.
단계(460)에서 에칭 프로세스는 기판 표면으로부터 단계(420-440) 동안 증착된 물질을 제거한다. 에칭 프로세스는 에피택셜 또는 단결정성 물질 및 비정질 및/또는 다결정성 물질 모두를 제거한다. 기판 표면 상에 증착된 다결정성층들은 에피택셜층들보다 빠른 속도로 제거된다. 에칭 프로세스의 시간 기간은 2 증착 프로세스의 시간 기간과 일치한다. 따라서, 단계(420-44)에서의 증착 프로세스 및 단계(460)에서의 에칭 프로세스의 최종 결과는 다결정성 실리콘-함유 물질의 성장을 최소화시키면서 선택적으로 에피텍셜 성장된 실리콘-함유 물질을 형성하는 것이다.
단계(460) 동안, 기판은 약 10초 내지 약 90초, 바람직하게는 약 20초 내지 약 60초, 보다 더 바람직하게는 약 30초 내지 약 45초 범위의 시간 주기 동안 에칭 가스에 노출된다. 에칭 가스는 적어도 하나의 에천트 및 캐리어 가스를 포함한다. 통상적으로 에천트는 약 10sccm 내지 약 700sccm, 바람직하게는 약 50sccm 내지 약 500sccm, 보다 더 바람직하게는 약 100sccm 내지 약 400sccm, 예를 들어 약 200sccm 범위의 유량으로 프로세스 챔버에 제공된다. 에칭 에칭 가스에 사용되는 에천트는 염소(Cl2), 염화 수소(HCl), 삼염화 붕소(BCl3), 사염화 탄소(CCl4), 삼불화 염소(ClF3) 및 이들의 조합을 포함할 수 있다. 바람직하게, 염소 또는 염화 수소가 에천트로서 사용된다.
통상적으로 에천트는 캐리어 가스와 함께 프로세스 챔버에 제공된다. 캐리어 가스는 약 1slm 내지 약 100slm, 바람직하게는 약 5slm 내지 약 75slm, 보다 더 바람직하게는 약 10slm 내지 약 50slm, 예를 들어 약 25slm 범위의 유량을 갖는다. 캐리어 가스는 질소(N2), 수소(H2), 아르곤, 헬륨 및 이들의 조합을 포함한다. 일부 실시예에서는 불활성 캐리어 가스가 바람직하며 불활성 캐리어 가스는 질소, 아르곤, 헬륨 및 이들의 조합을 포함한다. 캐리어 가스는 에피택셜 프로세스(400) 동안 사용되는 특정 전구체(들) 및/또는 온도에 따라 선택될 수 있다. 통상적으로는 각각의 단계(420-480)에 대해 동일한 캐리어 가스가 이용된다. 그러나, 일부 실시예들은 에칭 프로세스 동안 증착 프로세스에서 사용되는 것과 상이한 캐리어 가스를 이용할 수 있다. 일 실시예에서, 특히 AGS 프로세스가 낮은 온도(예를 들어, < 800℃)에서 수행될 때, 바람직한 에천트는 염소 가스이다. 예를 들어, 에칭 가스는 에천트로서 염소를 포함하며 캐리어 가스로서 질소를 포함하며 약 500℃ 내지 약 750℃ 범위의 온도에서 기판 표면에 노출된다.
에칭 프로세스는 단계(470) 동안 종결된다. 일 예에서, 프로세스 챔버는 정화 가스 또는 캐리어 가스로 세정 및/또는 진공 펌프로 배기될 수 있다. 정화 및/또는 배기 프로세스는 과잉 에칭 가스, 반응 부산물 및 다른 오염물들을 제거한다. 또 다른 예에서, 일단 에칭 프로세스가 종결되면, 프로세스 챔버의 정화 및/또는 배기 없이 즉시 단계(480)가 시작된다.
에피택셜층 및 다결정성층의 두께는 단계(480) 동안 결정될 수 있다. 예정된 두께가 달성되면, 에피택셜 프로세스(400)가 단계(490)에서 종결된다. 그러나 예정된 두께가 달성되지 않았다면, 원하는 두께가 달성될 때까지 주기에 따라 단계(420-480)가 반복된다. 통상적으로 에피택셜층은 약 10Å 내지 약 2,000Å, 바람직하게는 약 100Å 내지 약 1,500Å, 보다 더 바람직하게는 약 400Å 내지 약 1,200Å, 예를 들어 800Å 범위의 두께를 갖도록 성장된다. 통상적으로 다결정성층은 원자층 내지 약 500Å 범위의 두께로 증착된다. 에피택셜 실리콘-함유층 또는 다결정성 실리콘-함유층의 원하는 또는 예정된 두께는 특정 제조 프로세스에 따라 정해진다. 일 실시예에서, 에피택셜층은 예정된 두께에 도달할 수 있지만 다결정성층은 너무 두껍다. 단계(460, 470)를 생략하면서 단계(420-480)를 반복함으로써 과잉의 다결정성층이 추가로 에칭될 수 있다. 마찬가지로, 다른 실시예에서, 에피택셜 프로세스(400)를 수행하는 동안 단계(420, 440, 460)는 개별적으로 생략될 수 있다. 단계(420, 440, 460)를 건너뜀으로써, 증착된 실리콘-함유 물질의 원소 농도 및 두께가 제어될 수 있다.
본 발명의 실시예들은 다양한 기판 상에서 실리콘-함유 화합물을 증착하는 프로세스를 개시한다. 본 발명의 실시예에 이용될 수 있는 기판은 결정성 실리콘(예를 들어, Si<100> 및 Si<111>), 실리콘 산화물, 실리콘 게르마늄, 도핑 또는 비도핑 웨이퍼 및 패터닝 또는 패터닝되지 않은 웨이퍼와 같은 반도체 웨이퍼로 제한 되지 않는다. 기판은 다양한 기하학구조(예를 들어, 원형, 사각형 및 직사각형) 및 크기(예를 들어, 200mm OD, 300mm OD)를 가질 수 있다.
일 실시예에서, 본 명세서에 개시된 프로세스에 의해 증착된 실리콘-함유 화합물은 약 0at% 내지 약 95at% 범위내의 게르마늄 농도를 포함한다. 또 다른 실시예에서, 게르마늄 농도는 약 1at% 내지 약 30at%, 바람직하게는 약 15at% 내지 약 30at%, 예를 들어 약 20at% 범위내이다. 또한 실리콘-함유 화합물은 약 0at% 내지 약 5at% 범위 내의 탄소 농도를 포함한다. 또 다른 면에서, 탄소 농도는 약 200ppm 내지 약 3at%, 바람직하게는 약 1.5at% 범위내이다.
게르마늄 및/또는 탄소의 실리콘-함유 화합물막들은 본 발명의 다양한 프로세스에 의해 형성되며 일정한, 산발적 또는 차등적인 원소 농도를 가질 수 있다. 차등적 실리콘 게르마늄막들은 미국 특허 번호 6,770,134호 및 미국 특허 공개 20020174827호로서 공개된 미국 특허출원 번호 10/014,466호에 개시되어 있으며, 상기 문헌들은 모두 어플라이드 머티리얼스사에게 양도되었으며 차등 실리콘-함유 화합물막들을 증착하는 방법을 개시하기 위해 본 명세서에서 참조된다. 일 실시예에서, 실리콘 소스(예를 들어, SiH4) 및 게르마늄 소스(예를 들어, GeH4)는 실리콘 게르마늄 함유막들을 선택적으로 에피택셜 증착하는데 이용된다. 이 예에서, 실리콘 소스와 게르마늄 소스의 비율은 차등화 막들을 성장하는 동안, 실리콘 및 게르마늄과 같은 원소 농도를 제어하기 위해 변할 수 있다. 또 다른 예에서, 실리콘 소스 및 탄소 소스(예를 들어, CH3SiH3)는 실리콘 탄소-함유막들을 선택적으로 에피 택셜 증착하기 위해 이용된다. 실리콘 소스와 탄소 소스의 비율은 균질한 또는 차등 막들을 성장시키면서 원소 농도를 제어하기 위해 변할 수 있다. 또 다른 예에서, 실리콘 소스, 게르마늄 소스 및 탄소 소스는 실리콘 게르마늄 탄소-함유막들을 선택적으로 에피택셜 증착하기 위해 사용된다. 실리콘, 게르마늄 및 탄소 소스의 비율은 균질한 또는 차등 막들을 성장시키면서 원소 농도의 제어를 위해 독립적으로 변형된다.
본 명세서에 개시된 프로세스에 의해 형성된 MOSFET 장치는 PMOS 부품 또는 NMOS 부품을 포함할 수 있다. p-형 채널을 가지는 PMOS 부품은 채널 전도에 응답하는 홀들을 갖는 반면, n-형 채널을 가지는 NMOS 부품들은 채널 전도에 응답하는 전자들을 갖는다. 따라서, 예를 들어, SiGe와 같은 실리콘-함유 물질은 PMOS 부품을 형성하기 위해 리세스형 영역에 증착될 수 있다. 또 다른 예에서, SiC와 같은 실리콘-함유막은 NMOS 부품을 형성하도록 리세스형 영역에 증착될 수 있다. SiGe는 몇가지 이유에서 PMOS 분야에 이용된다. SiGe 물질은 실리콘 단독 보다는 붕소와 더 통합되어 접합부 저항률을 낮출 수 있다. 또한, 기판 표면에서 SiGe/실리사이드층 인터페이스는 Si/실리사이드 인터페이스 보다 낮은 쇼트키 배리어를 갖는다.
또한, 실리콘 상부에 에피택셜 성장된 SiGe는 막 내부에서 압축 응력(compressive stress)을 가지며, 이는 SiGe의 격자 상수가 실리콘의 격자 상수보다 크기 때문이다. 압축 응력은 PMOS 채널에 압축 응력을 생성하고 홀의 이동도를 증가시키기 위해 측방 디멘션(dimension)으로 전달된다. NMOS 분야에 대해, SiC는 채널에 인장 응력(tensile stress)이 생성되도록 리세스형 영역에 사용될 수 있으며, 이는 SiC의 격자 상수가 실리콘의 격자 상수보다 작기 때문이다. 인장 응력은 채널로 전달되어 전자 이동도를 증가시킨다. 따라서, 일 실시예에서, 제 1 실리콘-함유층은 제 1 격자 변형(strain) 값으로 형성되며 제 2 실리콘-함유층은 제 2 격자 변형 값으로 형성된다. 예를 들어, 약 50Å 내지 약 200Å 두께를 갖는 SiC층이 기판 표면 상에 증착되고, 순차적으로 약 150Å 내지 약 1000Å 두께를 갖는 SiGe층이 SiC층 상에 증착된다. SiC층은 에피택셜 성장되며 SiC층에서 에피택셜 성장된 SiGe 층 보다 적은 변형률을 갖는다.
본 명세서에서 개시되는 실시예에서, 실리콘-함유 화합물막들은 화학적 기상 증착(CVD) 프로세스에 의해 선택적으로 에피택셜 증착된다. 화학적 기상 증착 프로세스는 원자층 증착(ALD) 프로세스 및/또는 원자층 에피택셜(ALE) 프로세스를 포함한다. 화학적 기상 증착은 플라즈마 보조 CVD(PA-CVD), 원자층 CVD(ALCVD), 유기금속 또는 금속유기 CVD(OMCVD 또는 MOCVD), 레이저 보조 CVD(LA-CVD), 자외선 CVD(UV-CVD), 핫-와이어(HWCVD), 감압 CVD(RP-CVD), 초고진공 CVD(UHV-CVD) 등과 같은 다양한 기술의 사용을 포함한다. 일 실시예에서, 바람직한 프로세스는 실리콘-함유 화합물을 에피택셜 성장 또는 증착하는 열적 CVD를 이용하는 것이며, 실리콘-함유 화합물은 실리콘, SiGe, SiC, SiGeC, 이들의 도핑된 변형물 및 이들의 조합을 포함한다.
본 발명의 프로세스는 ALE, CVD 및 ALD 기술에 공지된 장비에서 수행될 수 있다. 장치는 프로세스 챔버에 진입하기 이전에 분리되는 증착 가스 및 에칭 가스 를 유지하기 위해 다수의 가스 라인을 포함할 수 있다. 이후, 가스는 실리콘-함유 화합물막들이 성장되는 가열된 기판과 접촉하게 된다. 실리콘-함유막들을 증착하기 위해 이용될 수 있는 하드웨어는 캘리포니아 산타 클라라에 위치된 어플라이드 머티리얼스사로부터 이용가능한 Epi Centura
Figure 112007044546666-PCT00001
시스템 및 Poly Gen
Figure 112007044546666-PCT00002
시스템을 포함한다. ALD 장치는 'Gas Delivery Apparatus and Methods for ALD"란 명칭으로, 어플라이드 머티리얼스사에 양도된 미국 특허 공개 번호 20030079686호로서 공개된, 2001년 12월 21일자로 출원된 미국 특허 출원 번호 10/032,284호에 개시되며, 상기 문헌은 상기 장치를 설명하기 위해 본 명세서에서 참조된다. 업계에 공지된 다른 장치로는 배치(batch), 고압 퍼니스가 포함된다.
실시예들
하기 가정되는 예들은 기판 표면 상에 융기된 소스 드레인(ESD) 구조물을 형성하도록 수행된다. 패터닝된 기판은 기판 표면 내에 형성된 소스/드레인 피쳐 및 게이트 및 이들 사이에 형성되는 스페이서를 갖는 단결정성 표면을 갖는다.
실시예 1 : Cl 2 에천트를 이용한 실리콘의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지된 프로세스 챔버에 위치된다. 프로세스 챔버는 약 15 Torr의 압력에서 유지된다. 기판 표면은 7초 동안 100sccm의 유량을 갖는 실란 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 이후 기판은 10초 동 안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 포함하는 에칭 가스의 흐름에 노출된다. 증착 가스 노출 및 에칭 가스 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘층이 형성되도록 50회 반복된다. 실리콘 에피택셜층은 약 1000Å의 두께를 갖는다.
실시예 2 : Cl 2 에천트를 이용한 실리콘 게르마늄의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지된 프로세스 챔버에 위치된다. 프로세스 챔버는 약 15 Torr의 압력에서 유지된다. 기판 표면은 8초 동안 100sccm의 유량을 갖는 실란, 3sccm의 유량을 갖는 게르마늄 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 이후 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 포함하는 에칭 가스의 흐름에 노출된다. 증착 가스 노출 및 에칭 가스 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 50회 반복된다. 실리콘-함유 에피택셜층은 약 1700Å의 두께를 갖는다.
실시예 3 : Cl 2 에천트를 이용한 실리콘 게르마늄의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지된 프로세스 챔버에 위치된다. 프로세스 챔버는 약 15 Torr의 압력에서 유지된다. 기판 표면은 7초 동안 100sccm의 유량을 갖는 실란 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 이후 기판은 7초 동안 5sccm의 유량을 갖는 게르마늄 및 25slm의 유량을 갖는 질소를 포함하는 제 2 증착 가스의 흐름에 노출된다. 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 함유하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 50회 반복된다. 실리콘-함유 에피택셜층은 약 1800Å의 두께를 갖는다.
실시예 4 : Cl 2 에천트를 이용한 실리콘 탄소의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지된 프로세스 챔버에 위치된다. 프로세스 챔버는 약 15 Torr의 압력에서 유지된다. 기판 표면은 8초 동안 100sccm의 유량을 갖는 실란, 1sccm의 유량을 갖는 메틸실란 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 포함하는 에칭 가스의 흐름에 노출된다. 증착 가스 노출 및 에칭 가스 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 50회 반복된다. 실리콘-함유 에피택셜층은 약 1600Å의 두께를 갖는다.
실시예 5 : Cl 2 에천트를 이용한 실리콘 탄소의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지된 프로세스 챔버에 위치된다. 프로세스 챔버는 약 15 Torr의 압력에서 유지된다. 기판 표면은 7초 동안 100sccm의 유량을 갖는 실란 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 기판 표면은 7초 동안 5sccm의 유량을 갖는 메틸실란 및 25slm의 유량을 갖는 질소를 포함하는 제 2 증착 가스의 흐름에 노출된다. 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 50회 반복된다. 실리콘-함유 에피택셜층은 약 1800Å의 두께를 갖는다.
실시예 6 : HCl 에천트를 이용한 실리콘의 선택적 에피택셜 - 기판은 700℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 7초 동안 100 sccm의 유량을 갖는 실란 및 25slm의 유량을 갖는 수소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 40초 동안 200sccm의 유량을 갖는 염화수소 및 25slm의 유량을 갖는 수소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘층이 형성되도록 10회 반복된다. 실리콘 에피택셜층은 약 800Å의 두께를 갖는다.
실시예 7: HCl 에천트를 이용한 실리콘 게르마늄의 선택적 에피택셜 - 기판은 700℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 8초 동안 100 sccm의 유량을 갖는 실란, 3sccm의 유량을 갖는 게르마늄 및 25slm의 유량을 갖는 수소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 40초 동안 200sccm의 유량을 갖는 염화수소 및 25slm의 유량을 갖는 수소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 20회 반복된다. 실리콘-함유 에피택셜층은 약 1500Å 의 두께를 갖는다.
실시예 8 : HCl 에천트를 이용한 실리콘 게르마늄의 선택적 에피택셜 - 기판은 700℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 7초 동안 100 sccm의 유량을 갖는 실란 및 25slm의 유량을 갖는 수소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 7초 동안 5sccm의 유량을 갖는 게르마늄 및 25slm의 유량을 갖는 수소를 함유하는 제 2 증착 가스의 흐름에 노출된다. 기판은 40초 동안 200sccm의 유량을 갖는 염화수소 및 25slm의 유량을 갖는 수소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 20회 반복된다. 실리콘-함유 에피택셜층은 약 1600Å의 두께를 갖는다.
실시예 9 : HCl 에천트를 이용한 실리콘 탄소의 선택적 에피택셜 - 기판은 700℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 8초 동안 100 sccm의 유량을 갖는 실란, 1sccm의 유량을 갖는 메틸실란 및 25slm의 유량을 갖는 수소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 40초 동안 200sccm의 유량을 갖는 염화수소 및 25slm의 유량을 갖는 수소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 20회 반복된다. 실리콘 에피택셜층은 약 1500Å의 두께를 갖는다.
실시예 10 : HCl 에천트를 이용한 실리콘 탄소의 선택적 에피택셜 - 기판은 700℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 7초 동안 100 sccm의 유량을 갖는 실란 및 25slm의 유량을 갖는 수소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 7초 동안 5sccm의 유량을 갖는 게르만 및 25slm의 유량을 갖는 수소를 포함하는 제 2 증착 가스의 흐름에 노출된다. 기판은 40초 동안 200sccm의 유량을 갖는 염화수소 및 25slm의 유량을 갖는 수소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 20회 반복된다. 실리콘-함유 에피택셜층은 약 1600Å의 두께를 갖는다. 게이트와 같이 기판 표면의 노출된 유전체 부분들은 증착 가스로부터 제한된 다결정성 성장 또는 다결정성 성장 없이 형성된다.
실시예 11 : B가 도핑되고 Cl 2 로 에칭된 실리콘의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 7초 동안 100 sccm의 유량을 갖는 실란, 3sccm의 유량을 갖는 디보란 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘층이 형성되도록 50회 반복된다. 실리콘 에피택셜층은 약 1000Å의 두께를 갖는 다.
실시예 12 : B가 도핑되고 Cl 2 로 에칭된 실리콘 게르마늄의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 8초 동안 100 sccm의 유량을 갖는 실란, 3sccm의 유량을 갖는 게르만, 3sccm의 유량을 갖는 디보란 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 50회 반복된다. 실리콘-함유 에피택셜층은 약 1700Å의 두께를 갖는다.
실시예 13 : B가 도핑되고 Cl 2 로 에칭된 실리콘 게르마늄의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 7초 동안 100 sccm의 유량을 갖는 실란, 3sccm의 유량을 갖는 디보란 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 7초 동안 5sccm의 유량을 갖는 게르만 및 25slm의 유량을 갖는 질소를 포함하는 제 2 증착 가스에 노출된다. 이후 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 50회 반복 된다. 실리콘-함유 에피택셜층은 약 1800Å의 두께를 갖는다.
실시예 14 : P가 도핑되고 Cl 2 로 에칭된 실리콘 탄소의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 8초 동안 100 sccm의 유량을 갖는 실란, 1sccm의 유량을 갖는 메틸실란, 3sccm의 유량을 갖는 포스핀 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 질소를 포함하는 에칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 80회 반복된다. 실리콘-함유 에피택셜층은 약 1600Å의 두께를 갖는다.
실시예 15 : P가 도핑되고 Cl 2 로 에칭된 실리콘 탄소의 선택적 에피택셜 - 기판은 550℃로 가열되어 유지되는 프로세스 챔버 속에 위치된다. 처리 챔버는 약 15 Torr의 압력에서 유지된다. 이후 기판 표면은 7초 동안 100 sccm의 유량을 갖는 실란, 3sccm의 유량을 갖는 포스핀 및 25slm의 유량을 갖는 질소를 포함하는 증착 가스의 흐름에 노출된다. 기판은 7초 동안 5sccm의 유량을 갖는 메틸실란 및 25slm의 유량을 갖는 질소를 포함하는 제 2 증착 가스의 흐름에 노출된다. 이후 기판은 10초 동안 20sccm의 유량을 갖는 염소 가스 및 25slm의 유량을 갖는 수소를 포함하는 데칭 가스에 노출된다. 증착 가스 노출 및 에칭 가스의 노출 주기는 기판의 노출된 단결정성 부분 상에 에피택셜 성장된 실리콘-함유층이 형성되도록 80 회 반복된다. 실리콘-함유 에피택셜층은 약 1800Å의 두께를 갖는다.
지금까지는 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 추가적 실시예들이 하기 특허청구범위에 의해 한정되는 본 발명의 기본 범주, 및 사상을 이탈하지 않고 고안될 수 있다.

Claims (36)

  1. 기판 표면 상에 실리콘-함유 물질을 에피택셜 형성하는 방법으로서,
    단결정성 표면, 및 비정질 표면, 다결정성 표면 및 이들의 조합으로 이루어진 그룹에서 선택된 적어도 하나의 제 2 표면을 포함하는 기판을 처리 챔버에 배치하는 단계;
    상기 단결정성 표면 상에는 에피택셜층이 형성되고 상기 제 2 표면 상에는 다결정성층이 형성되도록 상기 기판을 증착 가스에 노출하는 단계 - 상기 증착 가스는 실리콘 소스, 및 게르마늄 소스, 탄소 소스 및 이들의 조합으로 이루어진 그룹에서 선택된 적어도 하나의 원소 소스를 포함함 - ;
    이어서, 상기 다결정성층과 상기 에피택셜층이 에칭되도록 상기 기판을 에칭 가스에 노출하는 단계 - 상기 다결정성층은 상기 에피택셜층 보다 빠른 속도로 에칭됨 - ; 및
    실리콘-함유 물질이 형성되도록 상기 기판을 순차적으로 상기 증착 가스 및 상기 에칭 가스에 노출하는 단계를 포함하는 증착 주기를 형성하는 단계
    를 포함하는, 실리콘-함유 물질의 에피택셜 형성 방법.
  2. 제 1 항에 있어서,
    상기 증착 주기는 적어도 2번 반복되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  3. 제 2 항에 있어서,
    상기 증착 주기는 약 400Å 내지 약 1200Å 범위 이내의 예정된 두께로 상기 실리콘-함유 물질이 형성되도록 반복되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  4. 제 1 항에 있어서,
    상기 에칭 가스는 염소 및 질소를 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  5. 제 4 항에 있어서,
    상기 증착 주기는 약 500℃ 내지 약 750℃ 범위 이내의 온도에서 수행되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  6. 제 1 항에 있어서,
    상기 에칭 가스는 염화 수소, 염소 및 이들의 조합으로 이루어진 그룹에서 선택된 화합물을 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  7. 제 6 항에 있어서,
    상기 에칭 가스는 질소, 아르곤, 헬륨 및 이들의 조합으로 이루어진 그룹에서 선택된 불활성 가스를 더 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  8. 제 7 항에 있어서,
    상기 에피택셜층은 실리콘-게르마늄, 실리콘-탄소, 실리콘-게르마늄-탄소 및 이들의 조합으로 이루어진 그룹에서 선택된 물질을 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  9. 제 8 항에 있어서,
    상기 증착 가스는 도펀트 화합물을 더 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  10. 제 9 항에 있어서,
    상기 도펀트 화합물은 붕소, 비소, 인, 알루미늄, 갈륨, 게르마늄, 탄소 및 이들의 조합으로 이루어진 그룹에서 선택되는 원소 소스를 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  11. 제 10 항에 있어서,
    상기 증착 주기는 약 750℃ 이하의 온도로 상기 프로세스 챔버 내에서 이루 어지는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  12. 제 2 항에 있어서,
    상기 증착 가스 및 상기 에칭 가스는 개별 가스 라인에 의해 상기 프로세스 챔버에 독립적으로 전달되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  13. 제 12 항에 있어서,
    상기 증착 챔버는 열 화학적 기상 증착 챔버인 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  14. 기판 표면 상에 실리콘-함유 물질을 에피택셜 형성하는 방법으로서,
    단결정성 표면, 및 비정질 표면, 다결정성 표면 및 이들의 조합으로 이루어진 그룹에서 선택된 적어도 하나의 제 2 표면을 포함하는 기판을 프로세스 챔버에 배치하는 단계;
    상기 단결정성 표면 상에 에피택셜층이 형성되고 상기 제 2 표면 상에 다결정성층이 형성되도록, 상기 기판을 증착 가스에 노출하는 단계 - 상기 증착 가스는 실리콘 소스 , 및 게르마늄 소스, 탄소 소스 및 이들의 조합으로 이루어진 그룹에서 선택된 적어도 하나의 제 2 원소 소스를 포함함 - ;
    이어서, 상기 에피택셜층 보다 빠른 속도로 상기 다결정성층이 에칭되도록 상기 기판을 염소 함유 에칭 가스에 노출하는 단계; 및
    실리콘-함유 물질이 형성되도록 상기 기판을 순차적으로 상기 증착 가스 및 상기 에칭 가스에 노출하는 단계를 포함하는 증착 주기를 형성하는 단계
    를 포함하는, 실리콘-함유 물질의 에피택셜 형성 방법.
  15. 제 14 항에 있어서,
    상기 증착 주기는 적어도 2번 반복되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  16. 제 15 항에 있어서,
    상기 증착 주기는 약 400Å 내지 약 1200Å 범위 내의 예정된 두께로 상기 실리콘-함유 물질이 형성되도록 반복되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  17. 제 14 항에 있어서,
    상기 에칭 가스는 질소를 더 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  18. 제 17 항에 있어서,
    상기 증착 주기는 약 500℃ 내지 약 750℃ 범위 이내의 온도에서 수행되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  19. 제 14 항에 있어서,
    상기 에칭 가스는 질소, 아르곤, 헬륨 및 이들의 조합으로 이루어진 그룹에서 선택된 불활성 가스를 더 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  20. 제 19 항에 있어서,
    상기 증착 가스는 도펀트 화합물을 더 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  21. 제 20 항에 있어서,
    상기 도펀트 화합물은 붕소, 비소, 인, 알루미늄, 갈륨, 게르마늄, 탄소 및 이들의 조합으로 이루어진 그룹에서 선택된 원소 소스를 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  22. 제 15 항에 있어서,
    상기 프로세스 챔버는 상기 증착 주기 동안 약 750℃ 이하의 온도인 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  23. 제 22 항에 있어서,
    상기 증착 가스 및 상기 에칭 가스는 개별 가스 라인에 의해 상기 프로세스 챔버에 독립적으로 전달되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  24. 제 23 항에 있어서,
    상기 증착 챔버는 열 화학적 기상 증착 챔버인 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  25. 기판 표면 상에 실리콘-함유 물질을 에피택셜 형성하는 방법으로서,
    단결정성 표면, 및 비정질 표면, 다결정성 표면 및 이들의 조합으로 이루어진 그룹에서 선택된 적어도 하나의 제 2 표면을 포함하는 기판을 프로세스 챔버에 배치하는 단계;
    상기 단결정성 표면 상에 에피택셜층을 형성하고 상기 제 2 표면 상에 단결정성층이 형성되도록, 상기 기판을 증착 가스에 노출하는 단계 - 상기 증착 가스는 실리콘 소스 및 게르마늄 소스를 포함함 - ;
    이어서, 상기 에피택셜층 보다 빠른 속도로 상기 다결정성층이 에칭되도록 상기 기판을 염소 함유 에칭 가스에 노출하는 단계; 및
    실리콘-함유 물질이 형성되도록, 상기 기판을 상기 증착 가스 및 상기 에칭 가스에 순차적으로 노출하는 단계를 포함하는 증착 주기를 형성하는 단계
    를 포함하는, 실리콘-함유 물질의 에피택셜 형성 방법.
  26. 제 25 항에 있어서,
    상기 증착 주기는 약 400Å 내지 약 1200Å 범위 이내의 예정된 두께의 상기 실리콘-함유 물질이 형성되도록 반복되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  27. 제 26 항에 있어서,
    상기 에칭 가스는 염소 및 질소를 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  28. 제 25 항에 있어서,
    상기 프로세스 챔버는 상기 증착 주기 동안 약 750℃ 이하의 온도인 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  29. 제 28 항에 있어서,
    상기 증착 가스 및 상기 에칭 가스는 개별 가스 라인에 의해 상기 프로세스 챔버에 독립적으로 전달되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  30. 제 29 항에 있어서,
    상기 증착 챔버는 열 화학적 기상 증착 챔버인 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  31. 기판 표면 상에 실리콘-함유 물질을 에피택셜 형성하는 방법으로서,
    단결정성 표면, 및 비정질 표면, 다결정성 표면 및 이들의 조합으로 이루어진 그룹에서 선택된 적어도 하나의 제 2 표면을 포함하는 기판을 프로세스 챔버에 배치하는 단계;
    상기 단결정성 표면 상에 에피택셜층을 형성하고 상기 제 2 표면 상에 단결정성층이 형성되도록, 상기 기판을 증착 가스에 노출하는 단계 - 상기 증착 가스는 실리콘 소스 및 탄소 소스를 포함함 - ;
    이어서, 상기 에피택셜층 보다 빠른 속도로 상기 다결정성층이 에칭되도록 상기 기판을 염소 함유 에칭 가스에 노출하는 단계; 및
    실리콘-함유 물질이 형성되도록, 상기 기판을 상기 증착 가스 및 상기 에칭 가스에 순차적으로 노출하는 단계를 포함하는 증착 주기를 형성하는 단계
    를 포함하는, 실리콘-함유 물질의 에피택셜 형성 방법.
  32. 제 31 항에 있어서,
    상기 증착 주기는 약 400Å 내지 약 1200Å 범위 이내의 예정된 두께의 상기 실리콘-함유 물질이 형성되도록 반복되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  33. 제 32 항에 있어서,
    상기 에칭 가스는 염소 및 질소를 포함하는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  34. 제 31 항에 있어서,
    상기 프로세스 챔버는 상기 증착 주기 동안 약 750℃ 이하의 온도인 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  35. 제 34 항에 있어서,
    상기 증착 가스 및 상기 에칭 가스는 개별 가스 라인에 의해 상기 프로세스 챔버에 독립적으로 전달되는 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
  36. 제 35 항에 있어서,
    상기 증착 챔버는 열 화학적 기상 증착 챔버인 것을 특징으로 하는 실리콘-함유 물질의 에피택셜 형성 방법.
KR1020077013925A 2004-12-01 2005-11-28 교번식 가스 공급을 통한 선택적 에피택셜 프로세스 KR100938312B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/001,774 2004-12-01
US11/001,774 US7312128B2 (en) 2004-12-01 2004-12-01 Selective epitaxy process with alternating gas supply

Publications (2)

Publication Number Publication Date
KR20070086439A true KR20070086439A (ko) 2007-08-27
KR100938312B1 KR100938312B1 (ko) 2010-01-22

Family

ID=36565601

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077013925A KR100938312B1 (ko) 2004-12-01 2005-11-28 교번식 가스 공급을 통한 선택적 에피택셜 프로세스

Country Status (7)

Country Link
US (3) US7312128B2 (ko)
EP (1) EP1829086A4 (ko)
JP (1) JP5303148B2 (ko)
KR (1) KR100938312B1 (ko)
CN (2) CN101069264B (ko)
TW (1) TWI442457B (ko)
WO (1) WO2006060339A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293592B2 (en) 2008-04-16 2012-10-23 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
KR20130129930A (ko) * 2010-10-06 2013-11-29 어플라이드 머티어리얼스, 인코포레이티드 3d 메모리 어플리케이션을 위한 pecvd 산화물-질화물 및 산화물-실리콘 스택들

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
KR20050119662A (ko) * 2003-03-28 2005-12-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. N-도핑된 규소 층의 에피택시얼 증착 방법
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7163903B2 (en) 2004-04-30 2007-01-16 Freescale Semiconductor, Inc. Method for making a semiconductor structure using silicon germanium
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7465650B2 (en) * 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
KR100642646B1 (ko) * 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
US8466049B2 (en) * 2005-07-29 2013-06-18 Hitachi Kokusai Electric Inc. Semiconductor device producing method with selective epitaxial growth
JP4534041B2 (ja) * 2005-08-02 2010-09-01 株式会社デンソー 半導体装置の製造方法
US7892905B2 (en) * 2005-08-02 2011-02-22 Globalfoundries Singapore Pte. Ltd. Formation of strained Si channel and Si1-xGex source/drain structures using laser annealing
JP2007056288A (ja) * 2005-08-23 2007-03-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
TWI390603B (zh) * 2005-10-05 2013-03-21 Applied Materials Inc 用於磊晶薄膜形成的方法與裝置
US20090087967A1 (en) * 2005-11-14 2009-04-02 Todd Michael A Precursors and processes for low temperature selective epitaxial growth
US8216537B2 (en) 2005-11-23 2012-07-10 Arizona Board Of Regents Silicon-germanium hydrides and methods for making and using same
JP5265377B2 (ja) * 2005-11-23 2013-08-14 アリゾナ ボード オブ リージェンツ ア ボディー コーポレート アクティング オン ビハーフ オブ アリゾナ ステイト ユニバーシティ 新規な水素化シリコンゲルマニウム、その製造法および使用法
KR100707882B1 (ko) * 2005-12-14 2007-04-13 삼성전자주식회사 선택적 에피택시얼 성장 방법
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
US7410875B2 (en) * 2006-04-06 2008-08-12 United Microelectronics Corp. Semiconductor structure and fabrication thereof
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
EP2024531A2 (en) * 2006-05-01 2009-02-18 Applied Materials, Inc. A method of ultra-shallow junction formation using si film alloyed with carbon
CN101548032A (zh) * 2006-05-15 2009-09-30 阿里斯技术公司 硅片器件的低温掺杂方法
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7618866B2 (en) * 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
JP2008016523A (ja) * 2006-07-04 2008-01-24 Sony Corp 半導体装置およびその製造方法
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
JP5380827B2 (ja) 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7704840B2 (en) * 2006-12-15 2010-04-27 Advanced Micro Devices, Inc. Stress enhanced transistor and methods for its fabrication
US20080153266A1 (en) * 2006-12-21 2008-06-26 Interuniversitair Microeletronica Centrum (Imec) Vzw Method to improve the selective epitaxial growth (seg) process
WO2008083436A1 (en) * 2007-01-10 2008-07-17 Newcastle Innovation Limited Methods for gassing explosives especially at low temperatures
US20080173239A1 (en) * 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7557010B2 (en) * 2007-02-12 2009-07-07 Agere Systems Inc. Method to improve writer leakage in a SiGe bipolar device
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US20080242032A1 (en) * 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
KR101501700B1 (ko) * 2007-04-02 2015-03-11 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 신규한 할로실릴게르만의 제조 및 사용 방법
US8282733B2 (en) * 2007-04-02 2012-10-09 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor apparatus
JP2008277777A (ja) 2007-04-02 2008-11-13 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP5380794B2 (ja) * 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体層の形成方法
US9209088B2 (en) * 2007-08-01 2015-12-08 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7700424B2 (en) * 2008-02-27 2010-04-20 Applied Materials, Inc. Method of forming an embedded silicon carbon epitaxial layer
US20090267118A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Method for forming carbon silicon alloy (csa) and structures thereof
US8471307B2 (en) * 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
JP2010021525A (ja) * 2008-06-13 2010-01-28 Toshiba Corp 半導体装置の製造方法
DE102008035816B4 (de) * 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US8361895B2 (en) * 2008-09-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-shallow junctions using atomic-layer doping
US8373233B2 (en) * 2008-11-13 2013-02-12 Applied Materials, Inc. Highly N-type and P-type co-doping silicon for strain silicon application
JP5045686B2 (ja) * 2009-01-26 2012-10-10 ソニー株式会社 半導体装置の製造方法
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US7994015B2 (en) 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
JP5381382B2 (ja) * 2009-06-19 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8207023B2 (en) 2009-08-06 2012-06-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
KR101714003B1 (ko) 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
JP2012004275A (ja) * 2010-06-16 2012-01-05 Sumitomo Electric Ind Ltd 炭化珪素半導体装置の製造方法
EP2588650A4 (en) * 2010-07-02 2014-03-19 Matheson Tri Gas Inc SELECTIVE EPITAXY OF SI-CONTAINING MATERIALS AND SUBSTITUTIONALLY DOPED SI-CONTAINING CRYSTAL MATERIALS
US11876011B2 (en) * 2010-11-18 2024-01-16 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US20230352333A1 (en) * 2010-11-18 2023-11-02 Monolithic 3D Inc. 3d semiconductor devices and structures with at least two single-crystal layers
EP2641264A4 (en) * 2010-11-19 2015-02-18 Commissariat L Energie Atomique Et Aux Energies Alternatives HIGH LOW DEPTH SEMICONDUCTOR LAYER OBTAINED BY A CYCLIC SELECTIVE EPITAXIAL DEPOSITION METHOD
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10011920B2 (en) 2011-02-23 2018-07-03 International Business Machines Corporation Low-temperature selective epitaxial growth of silicon for device integration
FR2973566A1 (fr) * 2011-04-01 2012-10-05 St Microelectronics Crolles 2 Procédé de formation d'une couche epitaxiee, en particulier sur des régions de source et de drain de transistor a appauvrissement total
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
GB2495949B (en) 2011-10-26 2015-03-11 Anvil Semiconductors Ltd Silicon carbide epitaxy
US9515222B2 (en) 2011-10-26 2016-12-06 Anvil Semiconductors Limited Gallium nitride on 3C—SiC composite wafer
US9053939B2 (en) 2011-11-23 2015-06-09 International Business Machines Corporation Heterojunction bipolar transistor with epitaxial emitter stack to improve vertical scaling
CN102530936A (zh) * 2012-01-03 2012-07-04 西安电子科技大学 基于Cl2反应的SiC衬底上制备石墨烯的方法
US8728897B2 (en) 2012-01-03 2014-05-20 International Business Machines Corporation Power sige heterojunction bipolar transistor (HBT) with improved drive current by strain compensation
US8592916B2 (en) 2012-03-20 2013-11-26 International Business Machines Corporation Selectively raised source/drain transistor
CN102610529A (zh) * 2012-03-31 2012-07-25 上海华力微电子有限公司 基于体硅的三维阵列式后栅型SiNWFET制备方法
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US20140120678A1 (en) * 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
JP5488675B2 (ja) * 2012-11-14 2014-05-14 ソニー株式会社 半導体装置の製造方法
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP2014189442A (ja) * 2013-03-27 2014-10-06 Sumitomo Electric Ind Ltd 炭化珪素半導体基板の製造方法
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US9252014B2 (en) 2013-09-04 2016-02-02 Globalfoundries Inc. Trench sidewall protection for selective epitaxial semiconductor material formation
WO2016067918A1 (ja) 2014-10-31 2016-05-06 富士電機株式会社 炭化珪素エピタキシャル膜の成長方法
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
CN104851781B (zh) * 2015-06-08 2020-04-14 国网智能电网研究院 一种n型低偏角碳化硅外延片的制备方法
US10032873B2 (en) * 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9647122B2 (en) 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
WO2018052097A1 (ja) * 2016-09-15 2018-03-22 株式会社Flosfia 半導体膜の製造方法及び半導体膜並びにドーピング用錯化合物及びドーピング方法
KR102253546B1 (ko) 2016-09-19 2021-05-18 어플라이드 머티어리얼스, 인코포레이티드 도핑된 게르마늄 형성 방법
TWI812984B (zh) 2016-12-12 2023-08-21 美商應用材料股份有限公司 形成應變通道層的方法
US10504723B2 (en) 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US10256322B2 (en) 2017-04-04 2019-04-09 Applied Materials, Inc. Co-doping process for n-MOS source drain application
US9923081B1 (en) 2017-04-04 2018-03-20 Applied Materials, Inc. Selective process for source and drain formation
TWI711716B (zh) 2017-06-06 2020-12-01 美商應用材料股份有限公司 使用沉積-處理-蝕刻製程之矽的選擇性沉積
US11018002B2 (en) * 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
WO2020016915A1 (ja) * 2018-07-17 2020-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10811498B2 (en) 2018-08-30 2020-10-20 Atomera Incorporated Method for making superlattice structures with reduced defect densities
US10566191B1 (en) 2018-08-30 2020-02-18 Atomera Incorporated Semiconductor device including superlattice structures with reduced defect densities
US10978295B2 (en) * 2019-06-19 2021-04-13 Micron Technology, Inc. Epitaxial growth on semiconductor structures
CN110578166A (zh) * 2019-10-15 2019-12-17 上海新昇半导体科技有限公司 外延生长设备和外延生长方法
EP3832696A1 (en) * 2019-12-06 2021-06-09 Imec VZW Formation of a sige(:b):ga layer
FR3105571B1 (fr) * 2019-12-18 2023-01-13 Commissariat Energie Atomique Procédé de formation d’une couche par épitaxie cyclée
WO2021171458A1 (ja) * 2020-02-27 2021-09-02 株式会社日立ハイテク プラズマ処理方法
US20230223257A1 (en) * 2022-01-12 2023-07-13 Applied Materials, Inc. Methods of epitaxially growing boron-containing structures

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US620487A (en) * 1899-02-28 mcfetridge
US546806A (en) * 1895-09-24 Frequency-c hanger
US3675619A (en) * 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) * 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
EG18056A (en) * 1986-02-18 1991-11-30 Solarex Corp Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices
JPH0639357B2 (ja) * 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) * 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) * 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
US5294266A (en) * 1989-07-28 1994-03-15 Metallgesellschaft Aktiengesellschaft Process for a passivating postrinsing of conversion layers
JP2880322B2 (ja) * 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) * 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) * 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
DE19702200A1 (de) * 1997-01-23 1998-07-30 Hoechst Schering Agrevo Gmbh Phenylsulfonylharnstoffe, Verfahren zu ihrer Herstellung und ihre Verwendung als Herbizide und Pflanzenwachstumsregulatoren
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) * 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
JP2002505532A (ja) * 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) * 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6319789B1 (en) * 1999-01-25 2001-11-20 Micron Techonology, Inc. Method for improved processing and etchback of a container capacitor
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) * 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6821417B2 (en) * 2000-01-12 2004-11-23 The Board Of Governors, State Of Rhode Island And Providence Plantations Chromatographic and electrophoretic separation of chemicals using electrically conductive polymers
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6395221B1 (en) * 2000-03-23 2002-05-28 Mdy Engineering Corp. Tilting rotary furnace system for recovery of non-ferrous metals from scrap or dross and method of operation
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
SG99865A1 (en) * 2000-07-04 2003-11-27 Univ Singapore Photoinduced coversion of polyaniline from an insulating state to a conducting state
KR100373853B1 (ko) * 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US20020163013A1 (en) * 2000-09-11 2002-11-07 Kenji Toyoda Heterojunction bipolar transistor
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) * 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
KR100393208B1 (ko) * 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP3547419B2 (ja) * 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
JP3730962B2 (ja) * 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR20040008193A (ko) * 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
KR100434698B1 (ko) * 2001-09-05 2004-06-07 주식회사 하이닉스반도체 반도체소자의 선택적 에피성장법
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
CN1437073A (zh) * 2002-02-05 2003-08-20 旺宏电子股份有限公司 有机底部抗反射涂布层的蚀刻方法
JP3914064B2 (ja) * 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6979287B2 (en) * 2002-08-19 2005-12-27 Avi Elbaz Proprioceptive and kinesthetic footwear
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
CN1249787C (zh) * 2002-12-19 2006-04-05 友达光电股份有限公司 避免硅层蚀刻不均匀的方法
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7029995B2 (en) * 2003-06-13 2006-04-18 Asm America, Inc. Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7144779B2 (en) * 2004-09-01 2006-12-05 Micron Technology, Inc. Method of forming epitaxial silicon-comprising material
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293592B2 (en) 2008-04-16 2012-10-23 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
KR20130129930A (ko) * 2010-10-06 2013-11-29 어플라이드 머티어리얼스, 인코포레이티드 3d 메모리 어플리케이션을 위한 pecvd 산화물-질화물 및 산화물-실리콘 스택들

Also Published As

Publication number Publication date
CN101069264A (zh) 2007-11-07
JP5303148B2 (ja) 2013-10-02
TWI442457B (zh) 2014-06-21
CN101116173A (zh) 2008-01-30
CN101116173B (zh) 2011-05-18
CN101069264B (zh) 2017-05-10
US20060216876A1 (en) 2006-09-28
EP1829086A4 (en) 2009-07-01
WO2006060339A3 (en) 2007-01-25
US7312128B2 (en) 2007-12-25
WO2006060339A2 (en) 2006-06-08
TW200636826A (en) 2006-10-16
US7521365B2 (en) 2009-04-21
JP2008522437A (ja) 2008-06-26
US20060115934A1 (en) 2006-06-01
KR100938312B1 (ko) 2010-01-22
EP1829086A2 (en) 2007-09-05
US20070207596A1 (en) 2007-09-06
WO2006060339B1 (en) 2007-03-08
US7572715B2 (en) 2009-08-11

Similar Documents

Publication Publication Date Title
KR100938312B1 (ko) 교번식 가스 공급을 통한 선택적 에피택셜 프로세스
KR101037524B1 (ko) 선택적 증착
KR101181040B1 (ko) 실리콘 에피택셜막을 형성하는 동안 Cl2 및/또는HCl의 사용
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US7737007B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US7132338B2 (en) Methods to fabricate MOSFET devices using selective deposition process
US7897495B2 (en) Formation of epitaxial layer containing silicon and carbon
US20080138955A1 (en) Formation of epitaxial layer containing silicon
KR20070022046A (ko) 선택적인 증착 프로세스들을 이용하여 mosfet 소자를제조하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 11