KR102068636B1 - 개선된 인트렌치 프로파일 - Google Patents
개선된 인트렌치 프로파일 Download PDFInfo
- Publication number
- KR102068636B1 KR102068636B1 KR1020147011219A KR20147011219A KR102068636B1 KR 102068636 B1 KR102068636 B1 KR 102068636B1 KR 1020147011219 A KR1020147011219 A KR 1020147011219A KR 20147011219 A KR20147011219 A KR 20147011219A KR 102068636 B1 KR102068636 B1 KR 102068636B1
- Authority
- KR
- South Korea
- Prior art keywords
- dielectric
- etching
- layer
- semiconductor substrate
- deposited
- Prior art date
Links
- 238000000034 method Methods 0.000 claims abstract description 103
- 239000000758 substrate Substances 0.000 claims abstract description 78
- 238000005530 etching Methods 0.000 claims abstract description 51
- 238000000151 deposition Methods 0.000 claims abstract description 43
- 239000004065 semiconductor Substances 0.000 claims abstract description 36
- 230000009969 flowable effect Effects 0.000 claims abstract description 31
- 230000008021 deposition Effects 0.000 claims abstract description 28
- 239000011737 fluorine Substances 0.000 claims abstract description 18
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 18
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 15
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 12
- 150000001875 compounds Chemical class 0.000 claims abstract description 8
- 239000000463 material Substances 0.000 claims description 75
- 239000007789 gas Substances 0.000 claims description 59
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 54
- 239000003989 dielectric material Substances 0.000 claims description 53
- 229910021529 ammonia Inorganic materials 0.000 claims description 27
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- 238000011049 filling Methods 0.000 claims description 5
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 5
- 238000001312 dry etching Methods 0.000 abstract description 3
- 239000003795 chemical substances by application Substances 0.000 abstract description 2
- 239000002243 precursor Substances 0.000 description 38
- 230000008569 process Effects 0.000 description 34
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 31
- 229910052757 nitrogen Inorganic materials 0.000 description 21
- 229920005591 polysilicon Polymers 0.000 description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 239000010703 silicon Substances 0.000 description 12
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 10
- 238000002955 isolation Methods 0.000 description 9
- 239000000203 mixture Substances 0.000 description 9
- 229910017840 NH 3 Inorganic materials 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 7
- 239000012686 silicon precursor Substances 0.000 description 7
- 229910052799 carbon Inorganic materials 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 229910052739 hydrogen Inorganic materials 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 238000003917 TEM image Methods 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- LDDQLRUQCUTJBB-UHFFFAOYSA-O azanium;hydrofluoride Chemical compound [NH4+].F LDDQLRUQCUTJBB-UHFFFAOYSA-O 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000000052 comparative effect Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 230000002238 attenuated effect Effects 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 125000001153 fluoro group Chemical group F* 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- -1 H 2 N (SiH 3 ) Chemical class 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- UMVBXBACMIOFDO-UHFFFAOYSA-N [N].[Si] Chemical group [N].[Si] UMVBXBACMIOFDO-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 239000005388 borosilicate glass Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000013461 intermediate chemical Substances 0.000 description 1
- 230000005499 meniscus Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000005192 partition Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 230000002285 radioactive effect Effects 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Element Separation (AREA)
- Drying Of Semiconductors (AREA)
Abstract
반도체 기판 내에 리세스(recess)를 식각하는 방법이 설명된다. 방법은 기판의 트렌치 내에 유전체 라이너 층을 형성하는 단계를 포함할 수 있으며, 상기 라이너 층은 제1 밀도를 갖는다. 방법은 또한, 라이너 층 상의 트렌치 내에 적어도 부분적으로 제2 유전체 층을 증착하는 단계를 포함할 수 있다. 제2 유전체 층은 증착 후 초기에 유동가능(initially flowable)할 수 있고, 그리고 라이너의 제1 밀도 보다 적은 제2 밀도를 가질 수 있다. 방법은 기판을 건식 식각제(dry etchant)에 노출시키는 단계를 더 포함할 수 있으며, 상기 식각제는 리세스를 형성하기 위해 제2 유전체 층 및 제1 라이너 층의 일부를 제거하고, 상기 건식 식각제는 불소-함유 화합물(fluorine-containing compound) 및 분자 수소(molecular hydrogen)를 포함하며, 그리고 제1 유전체 라이너 층을 제거하는 것 대 제2 유전체 층을 제거하는 것에 대한 식각 레이트 비율은 약 1:1.2 내지 약 1:1 이다.
Description
관련 출원들에 대한 상호-참조들
본 출원은, "IMPROVED INTRENCH PROFILE"이라는 명칭으로 2012년 9월 21일자로 출원된 미국 특허 출원 번호 제13/624,724호의 PCT 출원이고, "IMPROVED INTRENCH PROFILE"이라는 명칭으로 2011년 9월 26일자로 출원된 미국 가특허 출원 번호 제61/539,279호에 관한 것으로 이 가특허 출원을 우선권으로 주장하며, 이들 모두는 모든 목적들을 위해 그 전체 내용이 인용에 의해 본원에 포함된다.
반도체 프로세싱은 종종 많은 개별 제조 단계들을 포함한다. 현재 기술 상황에서, 회로 컴포넌트들은 일상적으로 나노미터 규모(nanometer scales)로 형성되고, 민감한(sensitive) 제조 기법들이 요구된다. 예를 들어, 얕은-트렌치-격리(shallow-trench-isolation, "STI") 게이트 형성을 위한 집적 방식(integration scheme)들을 이용하게 되면, 나노미터의 얇은 트렌치 내의 선택적 재료(selective material)의 존재 시에 희생 필름(sacrificial film)이 우선적으로 제거되어야 한다. 반도체 기술이 계속해서 발전함에 따라, 이러한 반도체 기판 트렌치들의 폭이 계속해서 축소됨으로써, 필름 제거를 더욱 더 어렵게 한다.
이러한 작은 폭의 트렌치들은 정교한 식각 기법들에 대한 필요성을 생성한다. 비록 다양한 식각 기법들을 이용할 수 있기는 하지만, 소수(few)의 기법들 만이 이러한 복잡한 디테일(intricate detail)을 위해 필요한 선택적 제거(selective removal)를 제공한다. 예를 들어, 불화수소 용액(hydrogen-fluoride solution)들을 이용한 습식 제거가 선택적 제거에 이용될 수 있다. 하지만, 이러한 습식 제거는 STI 리세싱(recessing)에 대해서는 이용될 수 없는데, 왜냐하면 프로세스 화학작용(process chemistry) 및 배쓰 수명(bath life)이 종종, 이러한 디테일드 식각(detailed etching)에 대해 충분히 제어될 수 없기 때문이다.
건식 식각 기법들이 이용가능하며, 선택적 제거를 제공하는 것으로 나타났다. 예를 들어, 암모니아 및 불소-함유 가스를 포함하는 건식 식각제 가스(dry etchant gas)들의 조합을 이용하는 SiconiTM 프로세스들이, 제거 동안에 재료 제거의 보다 양호한 제어를 위해 이용되어 왔다. 하지만, 건식 식각제 가스는 여전히, 상이한 품질의 산화물들을 상이한 레이트들로 선택적으로 식각한다. 비록 이러한 산화물 선택성(selectivity)이 반도체 프로세싱 동안에 종종 받아들여질 수 있기는 하지만, STI 리세싱에서, 미세한(minute) 선택성은 라이너 산화물(liner oxide)이 유동가능한 산화물(flowable oxide)과 함께 존재하는 STI 트렌치들 내에서 오목한(concave) 프로파일들을 야기할 수 있다. 이러한 약간의 오목함(concavity) 또는 메니스커스(meniscus)는, 집적 수동 디바이스 스케일링(passive device scaling) 및 트렌치들 사이의 제어 게이트 폴리실리콘 충진(control gate polysilicon fill)과 관련하여 집적 문제(integration issue)들을 잠재적으로 야기할 수 있다.
따라서, STI 리세스 생산에 있어서 개선된 인트렌치 프로파일들에 대한 필요성이 존재한다. 이러한 필요성들 및 다른 필요성들이 본 발명에 의해 다루어진다.
본 기술은 반도체 기판 상에 식각된 트렌치 내로부터 상이한 품질들의 유전체 재료들을 제거하는 방법들을 제공한다. 이러한 제거는, 증착된 산화물의 품질에 둔감한(insensitive) 건식 식각제 가스들에 의해 수행될 수 있다. 이러한 둔감함으로 인해, 건식 식각제 가스들은 상이한 산화물들을 실질적으로 유사한 레이트들로 제거할 수 있다. 이러한 방식으로, 상이한 품질들의 다수의 산화물들을 포함하는 트렌치들은, 상이한 산화물들에 걸쳐서 트렌치 내의 프로파일이 균일하도록 식각될 수 있다.
반도체 기판들 내에 리세스(recess)들을 식각하는 방법들이 설명된다. 방법들은 기판의 트렌치 내에 유전체 라이너 층을 형성하는 단계를 포함할 수 있으며, 상기 라이너 층은 제1 밀도를 갖는다. 방법은 또한, 라이너 층 상의 트렌치 내에 적어도 부분적으로 제2 유전체 층을 증착하는 단계를 포함할 수 있다. 제2 유전체 층은 증착 후 초기에 유동가능(initially flowable)할 수 있고, 그리고 제2 유전체 층은 라이너 층의 제1 밀도 보다 적은 제2 밀도를 가질 수 있다. 방법은 기판을 건식 식각제에 노출시키는 단계를 더 포함할 수 있으며, 상기 식각제는 리세스를 형성하기 위해 제2 유전체 층 및 제1 라이너 층의 일부를 제거하며, 상기 건식 식각제는 불소-함유 화합물(fluorine-containing compound) 및 분자 수소(molecular hydrogen)를 포함한다. 제1 유전체 라이너 층을 제거하는 것 대 제2 유전체 층을 제거하는 것에 대한 식각 레이트 비율은 약 1:1.2 내지 약 1:1 이다.
본 발명의 실시예들은 또한, 반도체 기판 위의 선택적 재료의 부분(section)들 사이에 위치되는 유전체 재료를 식각하는 방법들을 포함한다. 선택적 재료들은, 이를 테면 플로팅 게이트들과 같은 구조들을 형성하는 데에 이용되는, 이를 테면 폴리실리콘 또는 다른 재료들과 같은 재료들을 포함할 수 있다. 이를 테면 폴리실리콘과 같은 선택적 재료들은, 다른 재료들을 제거하면서 선택적 재료를 가능한 많이 유지할 수 있는 제거 기법들을 필요로 할 수 있다. 다른 의미에서, 선택적 재료들은 희생 재료들과 대조적으로 특정 타입들의 습식 또는 부식성(corrosive) 식각 동안 우선적으로 제거될 수 있으며, 이에 따라, 선택적 재료들을 유지하는 제거 기법들이 이용될 수 있다. 방법들은 반도체 기판 위에 선택적 재료를 증착하는 단계를 포함한다. 방법들은 또한, 반도체 기판 및 선택적 재료 내에, 반도체 기판 위에서 서로로부터 격리되는 선택적 재료의 적어도 2개의 부분들을 생성하는 적어도 하나의 트렌치를 식각하는 단계를 포함할 수 있다. 선택적 재료의 격리되는 부분들 사이의 트렌치를 적어도 부분적으로 충진하기 위해, 유전체 재료가 증착될 수 있다. 이후, 기판은, 리세스를 형성하기 위해 선택적 재료의 격리된 부분들 사이의 유전체 층의 일부를 제거하는 건식 식각제 가스에 노출될 수 있다. 건식 식각제 가스는 불소-함유 화합물 및 분자 수소를 포함할 수 있다.
추가적인 실시예들 및 특징들이 후술하는 설명에서 일부가 제시되어 있고, 그리고 명세서의 검토를 통해 당업자에게 명확해지게 될 것이며, 및/또는 개시된 실시예들의 실시에 의해 학습될 수 있을 것이다. 본 명세서에 설명되는 수단들, 조합들, 및 방법들을 이용하여, 개시된 실시예들의 특징들 및 장점들이 실현되고 획득될 수 있다.
명세서의 나머지 부분들과 도면들을 참조하여, 개시된 실시예들의 본질 및 장점들의 추가적인 이해가 실현될 수 있을 것이다.
도 1은 개시된 실시예들에 따른 식각 프로세스의 플로우챠트를 도시한다.
도 2는 개시된 실시예들에 따른 식각 프로세스의 플로우챠트를 도시한다.
도 3a는 본 방법들에 따른 식각 프로세스가 수행된 기판의 단면도를 도시한다.
도 3b는 본 방법들에 따른 식각 프로세스가 수행된 기판의 단면도를 도시한다.
도 4a는 암모니아를 이용한 식각이 수행된 기판의 TEM 이미지를 도시한다.
도 4b는 본 방법들에 따른 식각 프로세스가 수행된 기판의 TEM 이미지를 도시한다.
첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피쳐들은 동일한 수치 참조 부호(numerical reference label)를 가질 수 있다. 또한, 동일한 타입의 다양한 컴포넌트들은 참조 부호에 이어서, 유사한 컴포넌트들 및/또는 피쳐들을 구별하는 문자(letter)를 뒤따르게 함으로써 구별될 수 있다. 만일 본 명세서에서 1차(first) 수치 참조 부호만이 사용되는 경우, 문자 접미사와는 무관하게, 동일한 1차 수치 참조 부호를 갖는 유사한 컴포넌트들 및/또는 피쳐들 중 임의의 것에 대해 설명이 적용될 수 있다.
도 1은 개시된 실시예들에 따른 식각 프로세스의 플로우챠트를 도시한다.
도 2는 개시된 실시예들에 따른 식각 프로세스의 플로우챠트를 도시한다.
도 3a는 본 방법들에 따른 식각 프로세스가 수행된 기판의 단면도를 도시한다.
도 3b는 본 방법들에 따른 식각 프로세스가 수행된 기판의 단면도를 도시한다.
도 4a는 암모니아를 이용한 식각이 수행된 기판의 TEM 이미지를 도시한다.
도 4b는 본 방법들에 따른 식각 프로세스가 수행된 기판의 TEM 이미지를 도시한다.
첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피쳐들은 동일한 수치 참조 부호(numerical reference label)를 가질 수 있다. 또한, 동일한 타입의 다양한 컴포넌트들은 참조 부호에 이어서, 유사한 컴포넌트들 및/또는 피쳐들을 구별하는 문자(letter)를 뒤따르게 함으로써 구별될 수 있다. 만일 본 명세서에서 1차(first) 수치 참조 부호만이 사용되는 경우, 문자 접미사와는 무관하게, 동일한 1차 수치 참조 부호를 갖는 유사한 컴포넌트들 및/또는 피쳐들 중 임의의 것에 대해 설명이 적용될 수 있다.
하기의 설명에서, 설명의 목적들로, 본 발명의 다양한 실시예들의 이해를 제공하기 위해 많은 상세사항들이 기술된다. 하지만, 특정 실시예들은 이러한 상세사항들 중 일부없이 실행될 수 있거나, 또는 부가적인 상세사항들을 가지면서 실행될 수 있음이 당업자에게 자명할 것이다.
본 기술은 반도체 기판들 내에 리세스들을 식각하는 방법들을 제공하며, 여기에서는, 실질적으로 암모니아가 없는 건식 식각제가 이용된다. 최소 농도의 암모니아를 포함시킴으로써, 식각제 가스 내의 불소 라디칼들의 양이 높아질 수 있게 됨(enhanced)으로써, 산화물 품질에 덜 민감한 제거를 가능하게 할 수 있다. 건식 식각제는 불소-함유 가스 및 분자 수소를 포함할 수 있다.
반도체 기판 내에 리세스를 식각하는 방법들이 설명된다. 방법들은 기판의 트렌치 내에 유전체 라이너 층을 형성하는 단계를 포함할 수 있으며, 상기 라이너 층은 제1 밀도를 갖는다. 방법들은 또한, 라이너 층 상의 트렌치 내에 적어도 부분적으로 제2 유전체 층을 증착하는 단계를 포함할 수 있다. 제2 유전체 층은 증착 후 초기에 유동가능할 수 있고, 그리고 제2 유전체 층은 라이너 층의 제1 밀도 보다 적은 제2 밀도를 가질 수 있다. 방법들은 기판을 건식 식각제에 노출시키는 단계를 더 포함할 수 있으며, 상기 식각제는 리세스를 형성하기 위해 제2 유전체 층 및 제1 라이너 층의 일부를 제거하며, 상기 건식 식각제는 불소-함유 화합물 및 분자 수소를 포함하며, 그리고 제1 유전체 라이너 층을 제거하는 것 대 제2 유전체 층을 제거하는 것에 대한 식각 레이트 비율은 약 1:1.2 내지 약 1:1 이다.
개시된 실시예들에 따른 식각 프로세스(100)를 도시하는 도 1을 참조하면, 반도체 기판 상에 유전체 라이너 층이 형성될 수 있다(110). 트렌치 내에 초기에 증착되는 유전체 라이너 층은, 실질적으로 컨포멀한(conformal) 라이너를 생성하도록 증착될 수 있다. 컨포멀리티(conformality)는, 증착된 필름 층이 수평 표면과 수직 표면 모두에서 균일한 두께를 갖거나, 또는 스텝 커버리지(step coverage)가 약 1과 같음을 나타낸다. 라이너는 또한, 패드 산화물들 및 플로팅 게이트들을 포함하는, 기판의 다른 층들 위에 형성될 수 있다. 이러한 라이너는, 더 낮은 품질(quality)의 유동가능한 유전체들을 통한 실리콘 내에서의 쇼트(shorting)를 막는 것을 돕는 바, 이러한 유전체들은 유동성(flowability)과 같은 이들의 보다 우수한 갭-충진 특성(gap-filling quality)들로 인해 갭을 충진하는 데에 이용될 수 있다. 몇몇 실시예들에서, 유전체 라이너 층은 덜-유동가능한(less-flowable) 또는 유동불가능한(non-flowable) 증착 기법에 의해 증착되며, 이러한 기법은 HDP-CVD일 수 있거나, 또는 다른 실시예들에서는, HARP와 같은 SACVD, 또는 플라즈마-인핸스드 TEOS 및 산소 또는 TEOS 및 오존과 같은 PECVD일 수 있다. 증착되는 유전체는 실리콘 산화물(이를 테면, 도핑되지 않은 실리카 유리(undoped silica glass)), 또는 도핑된 실리카(doped silica)(이를 테면, 포스포러스 실리케이트 유리(phosphorous silicate glass), 보로실리케이트 유리(borosilicate glass), 또는 보로포스포실리케이트 유리(borophosphosilicate glass))를 포함할 수 있다. 또 다른 유전체들은 실리콘 질화물 및 실리콘 산질화물을 포함할 수 있다.
HDP 증착은, 실리콘 산화물과 같은 HDP 품질 산화물에 의해 라이너 층을 생성하는데, 이는 제1 유전체 층이, 유동가능한 프로세스(flowable process)에 의해 증착되는 산화물 보다 더 높은 전체적인 품질 뿐 아니라 제1 밀도를 갖기 때문이다. HDP 필름은, 기판 표면 근처에 플라즈마를 생성하는 무선 주파수 에너지(radio frequency energy)를 종종 사용하여, 저압(low pressure) 또는 심지어 진공에서 반응 가스(reactant gas)들을 여기시킴으로써 생성된다. 플라즈마 에너지는 원소들을 고도로 반응성(highly reactive)이게 하며 그리고 고 밀도 및 고 품질 필름들을 생성한다. 다른 실시예들에서는, 라이너 산화물 층을 생성하기 위해 기판 상에서 열 프로세스(thermal process)가 수행될 수 있으며, 이러한 열 프로세스에서, 필름의 반응 및 형성을 유발하기 위해 기판을 높은 온도까지 가열함으로써 반응 가스들의 화학적 반응들이 야기된다.
제2 유전체 층이 증착될 수 있는데(115), 이러한 제2 유전체 층은, 예를 들어 스핀-온-글라스(spin-on-glass) 또는 유동가능한 CVD를 포함할 수 있는 유동가능한 증착 방법에 의해 생성된다. 몇몇 실시예들에서, 유동가능한 CVD는 유전체 라이너 층을 커버하고 기판 내의 트렌치를 충진하기 위해 이용된다. 유동가능한 산화물은, 전구체 가스들을 개별적으로 여기시킨 다음, 유동가능한 산화물을 생성하기 위해 이러한 전구체 가스들이 기판 바로 위의 프로세스 챔버의 영역에서 결합될 수 있게 허용함으로써 형성될 수 있으며, 상기 유동가능한 산화물은 트렌치의 상부(top)에서 시작한 다음 아래로 유동하여, 보이드(void)들 또는 시임(seam)들을 생성하지 않으면서 트렌치를 충진한다. 제2 유전체 층은 라이너 층의 제1 밀도 보다 적은 제2 밀도를 갖는다. 트렌치를 충진하는 것에 부가하여, 몇몇 실시예들에서, 유동가능한 산화물은 실리콘 질화물과 같은 패드 산화물 층들 사이를 부가적으로 충진할 수 있거나, 또는 예를 들어, 낸드 플래시(Nand flash)를 제조하는 것을 포함하는 상황들에 대해 폴리실리콘 플로팅 게이트들 사이를 부가적으로 충진할 수 있다.
증착 후 초기에 유동가능한 유전체 층들을 증착하기 위해 다양한 방법들이 사용될 수 있다. 예를 들어, 기판을 하우징하는 기판 프로세싱 영역에 실리콘 전구체가 도입되는 유동가능한 CVD 프로세스가 사용될 수 있다. 다른 전구체가, 질소 전구체와 같은 라디칼 전구체를 생성하기 위해 원격 플라즈마 영역을 통과한 이후에만 도입되며, 이후 라디칼 전구체는 기판 프로세싱 영역 내로 유동되고 실리콘 전구체와 결합된다. 이러한 기법에서, 기판 프로세싱 영역 내에서 플라즈마 전력의 인가에 의해 실리콘-함유 전구체가 직접 여기되지는 않는다. 대신에, 플라즈마 전력은 단지 기판 프로세싱 영역 바깥의 전구체를 여기시킨다. 이러한 방식(arrangement)은 결과적으로, 라이닝된 트렌치 내로 실리콘-및-질소-함유 층의 유동가능한 증착을 초래한다. 증착이 진행됨에 따라 필름의 유동성은 감쇠되며, 유동성은 하기 설명되는 경화 동작 동안에 본질적으로 제거된다.
갭충진(gapfill) 유전체 층 형성 동안에 유동성을 보장하기 위해, 실리콘-함유 전구체는 탄소 및/또는 질소를 함유할 수 있다. 몇몇 실시예들에서, 실리콘-함유 전구체는, 갭충진 층이 경화 프로세스 동안에 수축(shrinkage)을 덜 겪을 수 있게 하는 무-탄소(carbon-free) 실리콘-함유 전구체일 수 있다. 무-탄소 실리콘 전구체는, 실리콘 전구체들의 다른 부류들 중에서도, 예를 들어, 실리콘-및-질소 전구체, 실리콘-및-수소 전구체, 또는 실리콘-질소-및-수소 함유 전구체일 수 있다. 이러한 전구체들의 구체적인 예들은, 다른 실릴-아민(silyl-amine)들 중에서도, H2N(SiH3), HN(SiH3)2, 및 N(SiH3)3과 같은 실릴-아민들을 포함할 수 있다. 이러한 실릴-아민들은, 캐리어 가스들, 반응성 가스들, 또는 둘 모두의 역할을 할 수 있는 부가적인 가스들과 혼합될 수 있다. 이러한 부가적인 가스들의 예들은, 다른 가스들 중에서도, H2, N2, NH3, He, 및 Ar을 포함할 수 있다. 무-탄소 실리콘 전구체들의 예들은 또한, 단독으로 또는 다른 실리콘(예를 들어, N(SiH3)3), 수소(예를 들어, H2), 및/또는 질소(예를 들어, N2, NH3) 함유 가스들과 혼합된, 실란(SiH4)을 포함할 수 있다. 실리콘-함유 전구체들은 또한, 실란, 디실란 등과 같은, 탄소 또는 질소를 갖지 않는 실리콘 화합물들을 포함할 수 있다. 증착된 산화물 필름이 도핑된 산화물 필름이면, 다른 붕소 및 인 도펀트들 중에서도, TEB, TMB, B2H6, TEPO, PH3, P2H6, 및 TMP와 같은 도펀트 전구체들이 또한 사용될 수 있다.
질소는 라디칼 전구체 및 실리콘-함유 전구체 둘 중 하나 또는 이 둘 모두에 포함될 수 있다. 질소가 라디칼 전구체 내에 존재할 때, 이는 라디칼-질소 전구체로 지칭될 수 있다. 라디칼-질소 전구체는, 플라즈마 내에서 더 안정적인(stable) 질소-함유 전구체를 여기시킴으로써 생성되는 플라즈마 배출물(effluent)들을 포함한다. 예를 들어, 라디칼-질소 전구체를 형성하기 위해, NH3 및/또는 히드라진(N2H4)을 함유하는 비교적 안정적인 질소-함유 전구체가 프로세싱 챔버 바깥의 원격 플라즈마 시스템(RPS) 또는 챔버 플라즈마 영역 내에서 활성화될 수 있고, 라디칼-질소 전구체는 이후 무-플라즈마(plasma-free) 기판 프로세싱 영역 내로 운반된다. 상이한 실시예들에서, 안정적인 질소 전구체는 또한 NH3 & N2, NH3 & H2, NH3 & N2 & H2, 및 N2 & H2를 포함하는 혼합물일 수 있다. 히드라진은 또한, N2 및 H2를 갖는 혼합물들에서 NH3 대신에 또는 NH3와 결합하여 사용될 수 있다. 상이한 실시예들에서, 안정적인 질소 전구체의 유량(flow rate)은 약 200 sccm 또는 그 초과, 약 300 sccm 또는 그 초과, 약 500 sccm 또는 그 초과, 또는 약 700 sccm 또는 그 초과일 수 있다. 질소-함유 전구체들은 또한 N2O, NO, NO2 및 NH4OH를 포함할 수 있다.
생성된 라디칼-질소 전구체는 등 중에서 하나 또는 그 초과를 포함할 수 있고, 플라즈마 내에 형성된 이온화된 종을 또한 수반할 수 있다. 다른 실시예들에서, 라디칼-질소 전구체는 증착 기판(예를 들어, 반도체 웨이퍼) 상에 실리콘-및-질소 층을 증착하기 위해 전구체들이 혼합 및 반응하는 기판 프로세싱 영역으로부터 구획된(partitioned) 프로세싱 챔버의 한 부분(section)에서 발생된다. 구획(partition)은 기판 프로세싱 영역에 반응물들을 공급하는 샤워헤드 내에 포함될 수 있다. 라디칼-질소 전구체는 또한, 아르곤, 헬륨 등과 같은 캐리어 가스를 수반할 수 있다. 이러한 기법으로 증착된 라이너 또는 갭충진 층 및 라디칼-질소 전구체 내의 산소 함유량(amount of oxygen content)을 조정하기 위해 산소가 (O2 및/또는 O3의 형태로) 원격 플라즈마 영역 내로 동시에 전달될 수 있다.
유동성은, 증착된 필름 내의 상당한(significant) 수소 성분에 적어도 부분적으로 기인할 수 있다. 예를 들어, 증착된 필름은 실라잔-타입(silazane-type), Si-NH-Si 백본(즉, Si-N-H 필름)을 가질 수 있다. 유동성은 또한 실라잔 타입의 짧은 사슬(short chained) 폴리머들로 인한 것일 수 있다. 짧은 사슬 폴리머들의 형성 및 유동성을 가능하게 하는 질소는, 라디칼 전구체 또는 실리콘-함유 전구체로부터 비롯된 것일 수 있다. 실리콘 전구체 및 라디칼-질소 전구체 양자 모두가 무-탄소일 때, 증착된 실리콘-및-질소-함유 필름 또한 실질적으로 무-탄소이다. 물론, "무-탄소"가 반드시, 필름이 미량(trace amounts)의 탄소조차도 없음을 의미하는 것은 아니다. 탄소 오염물들은, 증착된 실리콘-및-질소-함유 필름 내에 도달하는 전구체 재료들 내에 존재할 수 있다. 그러나, 이러한 탄소 불순물들의 양은, 탄소 모이어티(moiety)를 갖는 실리콘 전구체(예를 들어, TEOS, TMDSO 등) 내에서 발견될 탄소 불순물들의 양 보다 훨씬 적다.
다른 실시예들에서, 제1 및 제2 유전체 층들은 둘 모두가 유동가능하거나 둘 모두가 유동가능하지 않을 수 있다. 몇몇 실시예들에서, 상이한 메커니즘들에 의해 유전체들이 증착되지만(예를 들어, 제1 유전체 층은 유동가능하지 않고, 제2 유전체 층은 유동가능함), 사용되는 반응물들에 의존하여 유사한 유전체 품질들을 갖는다. 또 다른 실시예들에서, 제1 및 제2 유전체들이 동일한 메커니즘에 의해 증착되지만, 두 유전체들에 대한 상이한 반응 종(reactant species)의 사용으로 인해 상이한 품질들을 갖는다.
실리콘-함유 필름들의 증착 동안에 기판 온도가 비교적 낮은 온도로 유지되면서, 유동가능한 필름 성장이 진행될 수 있다. 증착 동안에 기판을 냉각함으로써 유지되는 낮은 온도에서, 유동가능한 산화물 필름이 기판 표면 상에 증착될 수 있다. 페디스털은, 상이한 실시예들에서, 페디스털 및 기판의 온도를 약 -40 ℃ 내지 약 1000 ℃, 약 100 ℃ 내지 약 600 ℃, 약 500 ℃ 미만, 또는 약 400 ℃ 또는 그 미만으로 설정하는 가열 및/또는 냉각 도관(conduit)들을 포함할 수 있다.
유동가능한 유전체가 기판 상에 증착된 이후, 후속적인 집적 수동 디바이스 제조 단계들에 대한 준비로, 초과의 유전체를 제거하기 위해 식각 프로세스가 수행될 수 있다. 몇몇 실시예들에서, 유전체 층들을 식각(120)하기 위해 건식 식각제 가스가 사용된다. 식각제는 제1 라이너 층과 제2 유전체 층 양자의 일부를 제거한다. 식각제에 포함되는 가스들은, 반도체 프로세싱 영역에 들어가기 전에 여기되도록 원격 플라즈마 영역을 통과하는 가스들을 포함할 수 있다. 식각제는 불소-함유 화합물 및 분자 수소를 포함할 수 있으며, 그리고 유전체 층들과 반응하여, 기판의 온도가 승화 온도를 초과하여 상승될 때 승화하는 고체 부산물(solid byproduct)들을 생성하며, 이에 의해 초과의 유전체를 제거한다. 제1 유전체 라이너 층을 제거하는 것 대 제2 유전체 층을 제거하는 것의 식각 레이트 비율은 약 1:2일 수 있거나, 다른 실시예들에서는, 약 1:1.5, 1:1.3, 1:1.2, 1:1.1, 또는 약 1:1일 수 있다. 식각 레이트 비율이 1:1과 같을 때, 별개의 유전체들이 동일한 레이트로 제거된다.
몇몇 실시예들에서, 건식 식각제 가스는 분자 수소와 함께 삼불화질소를 함유한다. 다른 실시예들에서, 건식 식각제 가스는 실질적으로 암모니아가 없다. 삼불화질소와 수소의 건식 식각제 가스 조합은, 암모니아를 포함하는 건식 식각제 가스 보다 산화물 품질에 대해 덜 선택적인 더 느린 반응을 생성할 수 있다. 암모니아의 부가는 반응 종(reactive species) 내에서의 불소의 농도를 감소시킬 수 있으며, 그에 의해 불화암모늄(ammonium fluoride) 및 암모늄 불화수소(ammonium hydrogen fluoride)를 생성할 수 있다. 이러한 생성물(product)들은, 예를 들어, HDP에 의해 증착되는 더 높은 밀도 및 더 높은 품질의 라이너 산화물 층 보다 더 빠른 레이트로, 더 낮은 밀도 및 더 낮은 품질의 유동가능한 유전체를 제거할 수 있다. 1:1에 더 가까운, HDP 산화물에 대한 선택비를 가짐으로써, 실질적으로 암모니아가 없는 건식 식각제 가스는, 암모니아를 포함하는 건식 식각제 가스 보다 덜 오목한 코너 프로파일을 갖는 리세스들을 생성할 수 있다. 몇몇 실시예들에서, 실질적으로 암모니아가 없는 건식 식각제 가스는, 리세스의 측벽에 대하여 실질적으로 평평한(flat) 코너 프로파일을 생성한다.
유전체 필름 품질을 개선하기 위해, 유동가능한 유전체는 증착 후에 경화될 수 있다. 경화는 스팀과 같은 산화 환경들, 질소와 같은 비활성(inert) 환경들, 또는 다양한 실시예들의 다른 환경들에서 행해질 수 있다. 증착이 진행됨에 따라 필름의 유동성이 감쇠되며, 유동성은 경화 동작 중에 본질적으로 제거된다. 경화 동작은 실리콘-및-질소 함유 층을 실리콘 산화물로 변환하는 것을 수반할 수 있다. 경화는, 패터닝된 기판 온도를 상승시키는 것 및 갭충진 유전체 층을 산소 함유 환경에 노출시키는 것을 수반한다. 몇몇 실시예들에서, 높은 온도(elevated temperature)는 산화물이 라이너 층으로부터 갭충진 층 내로 확산되도록 유발하여, 갭충진 유전체 층 아래로부터 추가적인 산소 소스를 제공한다. 경화는 어닐(anneal)일 수 있고, 약 1000 ℃ 미만의 온도들에서 수행될 수 있다. 다른 실시예들에서, 경화는 약 800℃ 미만, 600℃, 500℃, 400℃, 300℃, 또는 약 200℃ 미만에서 발생할 수 있다. 유동가능한 유전체를 이용하게 되면, 제조 프로세스들의 열 버짓(thermal budget)을 감소시킬 수 있으며, 그리고 몇몇 경우들에서, 프로세스들은 유동가능한 유전체를 유지하기 위해, 약 600℃ 미만, 500℃, 400℃, 300℃, 약 200℃, 또는 100℃ 미만에서 수행될 수 있다.
이제, 도 2를 참조하면, 반도체 기판 위의 선택적 재료의 부분들 사이에 위치되는 유전체 재료를 식각하는 방법(200)이 설명된다. 방법은 반도체 기판 위에 선택적 재료를 증착하는 단계(210)를 포함한다. 선택적 재료는, 별개의 재료(separate material)가 제거되는 동안 유지될 것이 요구되는 임의의 재료일 수 있다. 예를 들어, 그리고 본 발명을 제한하는 것으로 의도하지 않으면서, 선택적 재료는 플래시 메모리 셀에서 플로팅 게이트로서 이용되는 폴리실리콘일 수 있다. 유전체와 같은 다른 재료가, 선택적 폴리실리콘과 함께, 기판 상에서 같은 장소에 배치될(co-located) 수 있다. 몇몇 실시예들에서, 이러한 의도는 선택적 폴리실리콘을 유지하면서 유전체 재료를 제거하기 위한 것일 수 있다. 이러한 경우, 폴리실리콘의 제거를 제한하거나 폴리실리콘을 제거하지 않는 방식으로, 유전체가 제거될 수 있다. 이는, 유전체를 우선적으로 제거하는 특정의 식각 기법들에 의해 수행될 수 있다. 예를 들어, 산화물들 또는 질화물들과는 반응하지만 폴리실리콘과는 반응하지 않는 건식 식각제 가스들을 이용하게 되면, 선택적 재료를 유지하면서 유전체를 제거하는 방법을 제공한다. 다른 실시예들에서, 선택적 재료는 실리콘, 증착되는 금속, 유전체, 또는 기판 상에 증착될 수 있는 임의의 다른 재료이며, 여기에서는, 별개의 재료를 제거하는 동안 선택적 재료를 현저하게 덜 제거하고자 의도된다.
선택적 재료가 증착된 후, 선택적 재료를 통해서 그리고 일부 경우들에서는 반도체 기판을 통해서 트렌치들이 식각될 수 있다(215). 이러한 식각은, 식각되는 트렌치들에 의해 분리되는, 반도체 기판 위에 위치되는 선택적 재료의 격리된 부분들을 생성한다. 트렌치들은, 트렌치의 깊이가 트렌치의 폭 보다 상당히 더 클 수 있는 높은 종횡비(aspect ratio)들을 나타낼 수 있다. 예시적인 트렌치들은 약 2:1 또는 그 초과, 약 3:1 또는 그 초과, 약 5:1, 약 7:1, 또는 약 10:1 또는 그 초과 등등의 종횡비를 가질 수 있다.
방법들은 트렌치 내에 유전체 재료를 증착하는 단계(220)를 더 포함할 수 있다. 이러한 증착은 트렌치를 완전히 충진하고 선택적 재료를 커버하기에 충분한 유전체를 증착하는 것을 포함할 수 있거나, 또는 다른 실시예들에서, 이러한 증착은 트렌치를 부분적으로 충진할 수 있다. 유전체는 기판의 레벨을 지나서 증착될 수 있으며, 이에 따라 유전체는 선택적 재료의 격리된 부분들 사이를 적어도 부분적으로 충진한다. 트렌치들의 특성들에 의존하여, 유전체 재료는 유동가능한 또는 유동불가능한 방법에 의해 증착될 수 있다. 좁고 깊은 트렌치들을 이용하는 몇몇 실시예들에서는, 보이드들을 발생시키는(developing) 가능성을 제한하기 위해, 유동가능한 방식으로 유전체가 증착될 수 있다. 다른 실시예들에서는, 필드 컴포넌트(field component)들 간의 개선된 절연을 위해, 더 높은 품질의 유전체가 이용될 수 있다. 몇몇 실시예들에서는, 스핀-온-글라스가 유전체 재료를 증착하기 위해 이용된다. 대안적인 실시예들에서, 유전체는 유동가능한 CVD에 의해 증착된다.
몇몇 실시예들에서, 트렌치를 충진하기 위해 다중(multiple) 유전체 증착들이 수행될 수 있다. 예를 들어, 유동가능한 유전체로 트렌치들이 충진되기 전에, 트렌치들 내에 라이너 층이 증착될 수 있다. 이러한 조합은, 유동가능한 유전체의 개선된 충진 특성들 뿐 아니라, 라이너 층으로부터의 개선된 절연의 이득들을 제공할 수 있다. 부가적인 예들은, 브레드-로우핑(bread-loafing) 및 보이드 형성을 최소화하기 위해 유전체의 증착 및 에치백(etch-back)을 모두 포함하는 일련의 단계들로 유전체를 증착하는 것을 포함한다. 트렌치 내에 초기 유전체 층이 증착될 수 있으며, 이후 트렌치의 상부(top)를 따라 유전체 빌드업(buildup)을 제거하기 위해 중간 식각 프로세스가 뒤따른다. 식각 이후, 유전체 재료의 이후의 증착에 의해 트렌치의 나머지 부분(remainder)이 충진될 수 있다.
유전체 층의 증착 이후 식각 프로세스(225)가 수행될 수 있다. 이러한 식각은, 리세스를 형성하기 위해 선택적 재료의 격리된 부분들 사이의 유전체 층의 일부를 제거하는 건식 식각제 가스에 기판을 노출시키는 것을 포함할 수 있다. 건식 식각제 가스는, 불소-함유 화합물 뿐만 아니라 분자 수소를 포함하는 가스들의 혼합물일 수 있다. 가스들은 기판이 상주하는 프로세싱 챔버 내로 개별적으로 유동될 수 있으며, 그리고 몇몇 실시예들에서, 건식 식각제 가스가 프로세스 챔버 내로 유동되기 전에, 이러한 건식 식각제 가스는 원격 플라즈마 소스에 의해 여기된다. 몇몇 실시예들에서, 건식 식각제 가스는 실질적으로 암모니아가 없으며, 이는 반응에 이용할 수 있는 더 많은 양의 불소 라디칼들에 의해 더 느린 반응을 제공할 수 있다. 실질적으로 암모니아가 없는 건식 식각제 가스의 이용은, 불화암모늄 및 암모늄 불화수소를 포함하는 생성물들로의 불소 라디칼들의 환원(reduction)의 방지로 인해, 실질적으로 평평한 코너 프로파일을 갖는 리세스를 생성할 수 있다. 건식 식각제 가스는, 불화암모늄 및 암모늄 불화수소를 포함하는 중간 화학물질(chemical)들의 형성에 의한 불소 라디칼들의 제거를 더 방지하기 위해, 암모니아가 완전히 없을 수 있다.
일부 증착들에 있어서, 유전체 층들은 선택적 재료의 레벨 상당히(well) 위에 증착되며, 중간 유전체 제거가 수행될 수 있다. 화학 기계적 연마(chemical mechanical polishing)과 같은 프로세스들이 초과의(excess) 유전체를 제거하기 위해 이용될 수 있다. 선택적 재료는 식각 중지 층(etch stop layer)으로서 이용될 수 있으며, 이러한 식각 중지 층은, 예를 들어 필드 게이트 폴리실리콘 또는 실리콘 질화물일 수 있다. 일단 유전체가 선택적 재료의 층까지 아래로 제거되면, 선택적 재료의 부분들 사이에 위치된 유전체를 제거하기 위해 건식 식각제가 이용될 수 있다.
선택적 재료의 부분들 사이에 위치되는 유전체는 선택적 재료의 유효 필드 높이(effective field height)에 기초하여 제거될 수 있다. 예를 들어, 유전체는 약 200 내지 약 1200 옹스트롬 만큼 식각될 수 있다. 부가적인 예들은 약 400 내지 약 1000 옹스트롬, 약 600 내지 약 800 옹스트롬 등등 만큼 식각되는 유전체를 가질 수 있다. 선택적 재료의 다중(multiple) 부분들이 존재하고, 그리고 유전체가 제거되어야 하는, 이러한 부분들 사이의 다중 영역들이 존재하는 경우, 건식 식각제 가스는 약 10nm 미만의 셀간 편차(cell to cell variation)를 갖는 리세스들을 제공할 수 있다. 셀간의(intercell) 리세스 깊이들 간의 예시적인 유효 필드 높이 편차는 약 8nm 미만, 또는 약 6nm 미만 이다. 건식 식각제 가스는, 셀 리세스 깊이와 형상 간의 편차(deviation)들이 5% 미만인 경우 셀들 간에 식각 균일성을 제공할 수 있다. 셀 깊이와 형상 간의 차이들은 약 3% 미만, 약 2%, 약 1.5%, 약 1%, 약 0.5%, 약 0.1% 등등일 수 있다.
유전체가 선택적 재료의 부분들 사이로부터 제거된 이후의 리세스의 결과적인 프로파일은, 기판의 얕은 트렌치 격리 내의 나머지 유전체 재료에 의해 정의되는 바닥(floor)을 가질 수 있다. 바닥 프로파일(floor profile)은, 유전체 재료가 선택적 재료와 교차하는 위치까지 유전체에 걸쳐서 실질적으로 평평할 수 있다. 이러한 교차점(point of intersection)이 리세스의 코너를 정의할 수 있으며, 그리고 유전체 재료의 코너 프로파일은 선택적 재료와 거의 직각을 이룰 수 있다. 리세스를 정의하는, 유전체 재료 바닥과 선택적 재료 벽 사이에 직각이 형성될 때, 평평한 코너 프로파일이 형성되었다. 90o 초과의 또는 90o 미만의 각도가 형성될 수 있는데, 이 경우, 코너 프로파일은 실질적으로 평평할 수 있다. 유전체는 코너들 내에서 완전히 제거되지 않을 수 있으며, 이에 의해 리세스 코너에서 유전체의 약간의 오목함(slight concavity)을 생성한다. 이러한 오목함이 완전히 원형(circular)의 단면을 정의하지 못할 수도 있지만, 리세스 측부들 및 바닥에 의한 곡률 반경(radius of curvature)은 약 5nm 미만일 수 있다. 몇몇 실시예들에서, 곡률 반경은 약 3nm 미만, 2nm, 1nm, 5 옹스트롬, 3 옹스트롬, 2 옹스트롬, 또는 약 1 옹스트롬일 수 있으며, 이에 의해 실질적으로 평평한 코너 프로파일을 제공할 수 있다.
유전체 필름 품질을 개선하기 위해, 증착 이후에 그리고 식각 이전에, 유전체는 경화될 수 있다. 경화는 이전에 논의된 방법들 중 임의의 방법에 의해 수행될 수 있다. 경화는 어닐(anneal)일 수 있으며, 약 1000℃ 미만의 온도들에서 수행될 수 있다. 예를 들어, 경화는 약 800℃ 미만, 600℃, 500℃, 400℃, 300℃, 또는 약 200℃ 미만에서 일어날 수 있다. 유동가능한 유전체를 이용하게 되면, 제조 프로세스들의 열 버짓을 감소시킬 수 있으며, 그리고 몇몇 경우들에서, 프로세스들은 유동가능한 유전체를 유지하기 위해, 약 600℃ 미만, 500℃, 400℃, 300℃, 약 200℃, 또는 약 100℃ 미만에서 수행될 수 있다.
몇몇 실시예들에서, 플로팅 게이트들의 격리를 보장하기 위해, 터널 산화물로서 알려져있는 산화물 층이 반도체 기판과 선택적 재료 사이에 증착된다. 이러한 터널 산화물은 선택적 재료의 초기 증착 및 트렌치 형성 이전에 증착된다. 트렌치들 내에 그리고 선택 재료의 부분들 사이에 증착된 유전체는 터널 산화물의 레벨까지 아래로 식각될 수 있다. 대안적으로, 유전체 재료는 선택적 재료의 부분들 사이에서는 식각될 수 있지만, 터널 산화물의 레벨까지 아래로 식각되지 않는다.
유전체 재료가 선택적 재료의 부분들 사이로부터 식각된 후, 후속 제조가 일어날 수 있다. 선택적 층 위에 그리고 식각된 리세스들 내에 격리 층(isolation layer)이 증착될 수 있다. 이러한 격리 층은, 예를 들어, 이후 증착될 수 있는 제어 게이트와 플로팅 게이트들 사이에 라이너를 제공할 수 있다. 격리 층이 축적된(laid down) 후, 다른 재료, 이를 테면 금속, 유전체 또는 어떠한 다른 재료의 증착물(deposit)이 증착될 수 있다. 후속 재료는 폴리실리콘일 수 있으며, 이러한 폴리실리콘은 낸드 플래시 디바이스와 같은 플래시 메모리 셀에서 제어 게이트의 역할을 한다. 식각된 유전체 리세스의 실질적으로 평평한 코너 프로파일은, 예를 들어 폭이 몇 나노미터일 수 있는 트렌치들 내부에 후속 집적 수동 디바이스 층들이 충진될 수 있게 할 수 있다. 실질적으로 평평한 코너 프로파일 및/또는 더 양호한 셀 균일성을 갖는 리세스 내에 격리 층 및 후속 제어 게이트 층이 증착될 때, IPD 스케일링(scaling)을 위해 개선된 인터페이스들을 제공함으로써, 추가의 집적 문제들이 방지될 수 있다.
이제, 도 3a를 참조하면, 본 방법들에 따른 식각 프로세스가 수행된 기판(310)의 단면도가 도시된다. 터널 산화물(320)이 기판(310)과 선택적 재료(325) 사이에 증착된다. 선택적 재료(325)는 금속, 유전체 또는 산화물, 또는 어떠한 다른 재료일 수 있다. 몇몇 실시예들에서, 선택적 재료(325)는, 도핑되거나 또는 도핑되지 않은 폴리실리콘일 수 있다. 트렌치들(315)이 층들 내에 생성되며, 유전체 재료(319)로 충진된다. 유전체 재료는 증착 후 초기에 유동가능할 수 있으며, 그리고 증착 이후에 경화될 수 있다. 그런 다음, 유전체가 에치백되어(etched back), 코너들(335)을 갖는 리세스 셀들(330)을 형성할 수 있다. 식각 프로세스는 불소-함유 가스와 분자 수소의 건식 식각제 가스 혼합물을 이용할 수 있으며, 그리고 건식 식각제 가스는 실질적으로 암모니아가 없을 수 있다. 코너들(335)이 약간의 오목함을 나타내기는 하지만, 다른 실시예들은 유전체 바닥과 선택적 재료 벽들 간의 인터페이스들에서 평평한 또는 실질적으로 평평한 코너들을 가질 수 있으며, 그에 의해 직각의 교차점을 생성하는 리세스 셀들(330)을 정의할 수 있다. 도 3a의 단면도는, 형성된 리세스 셀들 내에서의 폴리실리콘과 같은 후속 재료 층의 증착을 포함하게 될, 반도체 디바이스를 프로세싱함에 있어서의 중간 단계일 수 있다. 이러한 후속 재료는, 선택적 재료 위에 그리고 리세스들 내에 격리 또는 라이너 층을 형성한 후에 증착될 수 있다. 유전체 층(319)은 라이너 층 뿐 아니라 부가적인 갭충진 유전체 층의 양자 모두를 포함할 수 있다.
도 3b에는, 본 방법들에 따른 식각 프로세스가 수행된 기판(310)의 단면도가 도시되어 있다. 기판(310)은, 트렌치들(315)을 형성하기 전에 증착되는 패드 층(340)을 갖는다. 트렌치 형성 이후, 유전체 라이너 재료(317)가 증착될 수 있다. 라이너(317)는, 예를 들어, HDP 증착에 의해 증착될 수 있다. 이후, 유전체 재료(319)가 트렌치(315) 내의 라이너 층(317) 위에 증착된다. 유전체 재료(319)는 증착 후 초기에 유동가능할 수 있으며, 그리고 증착 이후에 경화될 수 있다. 유전체 재료(319)는 유전체 라이너 층(317)의 품질 및/또는 밀도와 동일하거나 상이할 수 있다. 예를 들어, 라이너 층(317)은 유전체 재료(319) 보다 더 높은 품질로 이루어질 수 있다.
유전체(319)는 패드 산화물(340) 위로 연장할 수 있으며, 그리고 화학 기계적 연마와 같은 프로세스에 의해 이러한 패드 산화물의 층까지 아래로 초기에 제거될 수 있다. 유전체 재료(319) 및 유전체 라이너 층(317)을 제거하기 위해 건식 식각제 가스 혼합물이 이용되는 식각 프로세스가 수행될 수 있다. 이러한 건식 식각제 가스 혼합물은 불소-함유 가스 및 분자 수소를 포함할 수 있으며, 그리고 암모니아가 실질적으로 없을 수 있거나, 또는 암모니아가 완전히 없을 수 있다. 건식 식각제 가스는, 코너(335)를 포함하는 리세스(330)를 생성하기 위해 유전체 재료(319) 및 라이너 층(317)을 제거한다. 코너(335) 프로파일은 실질적으로 평평할 수 있는데, 이는 유전체 재료(319) 및 유전체 라이너(317)가 실질적으로 동일한 깊이로 제거됨을 나타낸다. 동등한 깊이로의 제거는 건식 식각제 가스가 산화물 품질에 대해 실질적으로 둔감함을 나타낸다.
예시들
암모니아를 갖는 그리고 암모니아를 갖지 않는 식각제 가스 혼합물을 이용하여 식각 선택비(etch selectivity) 간의 비교 예시들이 만들어졌다. 트렌치 상에서 식각들이 수행되었는데, 이러한 트렌치는 먼저 HDP 라이너 층으로 라이닝되었고, 이후 유동가능한 산화물로 충진되었다. 유전체들은, 삼불화질소 및 분자 수소를 함유하는 건식 식각제 가스 혼합물들에 노출되었다. 일 예에서, 건식 식각제 가스는 암모니아를 또한 함유하였지만, 비교 예시에서, 건식 식각제 가스는 실질적으로 암모니아가 없었다. 하기의 표 1로부터 알 수 있는 바와 같이, 암모니아를 함유하는 건식 식각제 가스는, 실질적으로 암모니아가 없는 건식 식각제 가스가 제거하는 것 보다, HDP 산화물과 비교하여 유동가능한 산화물을 더 많이 제거한다.
건식 식각제 가스의 식각 깊이 | |||
HDP 산화물 식각 깊이(옴스트롬) |
유동가능한 산화물 식각 깊이(옹스트롬) |
HDP 산화물에 대한 유동가능한 산화물의 식각 선택비 | |
암모니아를 함유하는 건식 식각제 가스 | 114 | 134 | 1.19 |
실질적으로 암모니아가 없는 건식 식각제 가스 | 114 | 123 | 1.09 |
도 4a 및 4b는 식각이 수행된 이후의 기판들의 비교 TEM 이미지들을 도시한다. 도 4a는 암모니아를 이용한 식각이 수행된 기판을 도시한다. 이미지 내에서 보여지는 코너 프로파일은 오목함을 도시하는데, 이는 유전체가 트렌치 내에서 균일하게 제거되지 않았음을 나타낸다. 하지만, 도 4b는, 실질적으로 암모니아가 없었던 건식 식각제 가스들에 의해 식각이 수행되었던 기판을 도시한다. 이미지 내에서 볼 수 있는 바와 같이, 코너 프로파일은 실질적으로 평평하며, 여기서 유전체 층은 질화물 패드와 교차하여, 거의 직각의 코너 프로파일을 생성한다. 평평한 코너 프로파일은 유전체가 트렌치 내에서 균일하게 제거되었음을 나타낸다.
몇몇 실시예들을 개시하였지만, 개시된 실시예들의 사상을 벗어나지 않으면서 다양한 변형들, 대안적 구성들, 및 등가물들이 이용될 수 있다는 것을 당업자들은 인식할 것이다. 아울러, 본 발명이 불필요하게 불명료해지는 것을 방지하기 위해서, 잘 알려져있는 많은 프로세스들 및 엘리먼트들은 설명하지 않았다. 따라서, 상기 설명은 본 발명의 범주를 제한하는 것으로 간주되어서는 안된다.
개별적인 실시예들은 플로우차트, 플로우 다이어그램, 또는 블록 다이어그램으로서 도시되는 프로세스로서 설명될 수 있음이 주목된다. 플로우차트가 방법을 순차적인 프로세스로서 설명할 수 있기는 하지만, 많은 동작들은 병렬로 또는 동시에 수행될 수 있다. 또한, 동작들의 순서는 재배열될 수 있다. 프로세스는 프로세스의 동작들이 완료될 때 종료될 수 있지만, 도면에 포함되지 않았거나 논의되지 않은 부가적인 단계들을 가질 수 있다. 또한, 특정하게 설명된 임의의 프로세스 내의 모든 동작들이 모든 실시예들에서 발생할 수 있는 것은 아니다. 프로세스는 방법, 함수, 절차, 서브루틴, 서브프로그램 등에 대응할 수 있다. 프로세스가 함수에 대응할 때, 프로세스의 종료는 호출 함수(calling function) 또는 주 함수(main function)로의 함수의 복귀(return)에 대응한다.
수치 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않은 한 하한의 단위의 최소 프랙션(smallest fraction)까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이들 소범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되는지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.
본 명세서 및 첨부되는 청구항들에서 사용되는 바와 같이, 단수 형태들 "a" "an" 및 "the"는 문맥상 명백히 달리 지시되지 않는 한, 복수의 지시대상들을 포함한다. 따라서, 예를 들어, "유전체 재료(the dielectric material)"라는 언급은 복수의 그러한 재료들을 포함하고, "어플리케이션(application)"이라는 언급은 당업자들에게 알려진 하나 또는 그 초과의 어플리케이션들 및 그 등가물들에 대한 언급을 포함하며, 기타의 경우도 유사하다.
또한, "포함하다(comprise)", "포함하는(comprising)", "구비하다(include)", "구비하는(including)", 및 "구비하다(includes)"라는 단어들은, 본 명세서 및 다음의 청구항들에서 사용되는 경우에, 명시된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들이 하나 또는 그 초과의 다른 특징들, 정수들, 컴포넌트들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.
Claims (16)
- 반도체 기판 내에 리세스(recess)를 식각하는 방법으로서,
상기 기판의 트렌치 내에 제1 유전체 라이너 층(dielectric liner layer)을 형성하는 단계 ― 상기 제1 유전체 라이너 층은 제1 밀도를 가짐 ― ;
상기 제1 유전체 라이너 층 상의 상기 트렌치 내에 적어도 부분적으로 제2 유전체 층을 증착하는 단계 ― 상기 제2 유전체 층은 증착 후 초기에 유동가능(initially flowable)하고, 상기 제2 유전체 층은 상기 제1 유전체 라이너 층의 상기 제1 밀도 보다 작은 제2 밀도를 가짐 ― ; 및
상기 기판을 건식 식각제(dry etchant)에 노출시키는 단계 ― 상기 건식 식각제는 상기 리세스를 형성하기 위해 상기 제2 유전체 층 및 상기 제1 유전체 라이너 층의 일부를 제거하고, 상기 건식 식각제는 불소-함유 화합물(fluorine-containing compound) 및 분자 수소(molecular hydrogen)를 포함하며, 그리고 상기 제1 유전체 라이너 층을 제거하는 것 대 상기 제2 유전체 층을 제거하는 것에 대한 식각 레이트 비율(etch rate ratio)은 1:1.2 내지 1:1 임 ―
를 포함하는,
반도체 기판 내에 리세스를 식각하는 방법. - 제1 항에 있어서,
상기 제1 유전체 라이너 층은 고밀도 플라즈마로 형성되는(high-density plasma formed) 실리콘 산화물 층을 포함하는,
반도체 기판 내에 리세스를 식각하는 방법. - 제1 항에 있어서,
상기 제2 유전체 층은 FCVD에 의해 증착되는 실리콘 산화물 층을 포함하는,
반도체 기판 내에 리세스를 식각하는 방법. - 제1 항에 있어서,
상기 건식 식각제는 암모니아가 없는,
반도체 기판 내에 리세스를 식각하는 방법. - 제1 항에 있어서,
상기 리세스는 평평한(flat) 코너 프로파일을 갖는,
반도체 기판 내에 리세스를 식각하는 방법. - 제1 항에 있어서,
상기 제2 유전체 층이 증착된 후, 상기 제2 유전체 층을 경화시키는 단계를 더 포함하는,
반도체 기판 내에 리세스를 식각하는 방법. - 제1 항에 있어서,
상기 제1 유전체 라이너 층 및 상기 제2 유전체 층은 400℃ 또는 그 미만의 온도에서 증착 및 식각되는,
반도체 기판 내에 리세스를 식각하는 방법. - 반도체 기판 위의 선택적 재료의 부분(section)들 사이에 위치되는 유전체 재료를 식각하는 방법으로서,
반도체 기판 위에 선택적 재료를 증착하는 단계;
상기 선택적 재료 및 상기 반도체 기판 내에, 상기 반도체 기판 위에서 서로로부터 격리되는 상기 선택적 재료의 적어도 2개의 부분들을 생성하는 적어도 하나의 트렌치를 식각하는 단계;
상기 트렌치 내에 라이너 층으로서 제1 유전체 층을 형성하는 단계;
상기 트렌치 내에 제2 유전체 재료를 증착하는 단계 ― 상기 제2 유전체 재료는 상기 선택적 재료의 격리되는 부분들 사이를 적어도 부분적으로 충진함 ― ; 및
상기 선택적 재료의 상기 격리되는 부분들의 최고 높이 아래에 리세스를 형성하기 위해 상기 선택적 재료의 상기 격리되는 부분들 사이의 상기 제2 유전체 재료 및 상기 제1 유전체 층의 일부를 제거하는 건식 식각제 가스에 상기 반도체 기판을 노출시키는 단계 ― 상기 건식 식각제 가스는 불소-함유 화합물 및 분자 수소를 포함하고, 상기 제1 유전체 층 및 상기 제2 유전체 재료는 400℃ 또는 그 미만의 온도에서 형성 및 식각됨 ―
를 포함하는,
유전체 재료를 식각하는 방법. - 제8 항에 있어서,
상기 건식 식각제 가스는 암모니아가 없는,
유전체 재료를 식각하는 방법. - 제8 항에 있어서,
상기 선택적 재료는 다결정 실리콘(polycrystalline silicon)인,
유전체 재료를 식각하는 방법. - 제8 항에 있어서,
상기 선택적 재료를 증착하는 단계 이전에, 상기 반도체 기판 상에 터널 산화물을 증착하는 단계를 더 포함하며, 상기 선택적 재료는 상기 터널 산화물 상에 증착되는,
유전체 재료를 식각하는 방법. - 제8 항에 있어서,
상기 제2 유전체 재료는 CVD에 의해 증착되는,
유전체 재료를 식각하는 방법. - 제8 항에 있어서,
상기 리세스는 평평한 코너 프로파일을 갖는,
유전체 재료를 식각하는 방법. - 제8 항에 있어서,
상기 제2 유전체 재료가 증착된 후, 상기 제2 유전체 재료를 경화시키는 단계를 더 포함하는,
유전체 재료를 식각하는 방법. - 제8 항에 있어서,
상기 제1 유전체 층을 제거하는 것 대 상기 제2 유전체 재료를 제거하는 것에 대한 식각 레이트 비율은 1:1.2 내지 1:1 인,
유전체 재료를 식각하는 방법.
- 삭제
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161539279P | 2011-09-26 | 2011-09-26 | |
US61/539,279 | 2011-09-26 | ||
US13/624,724 | 2012-09-21 | ||
US13/624,724 US8927390B2 (en) | 2011-09-26 | 2012-09-21 | Intrench profile |
PCT/US2012/057294 WO2013049173A2 (en) | 2011-09-26 | 2012-09-26 | Improved intrench profile |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20140065478A KR20140065478A (ko) | 2014-05-29 |
KR102068636B1 true KR102068636B1 (ko) | 2020-01-21 |
Family
ID=47996719
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020147011219A KR102068636B1 (ko) | 2011-09-26 | 2012-09-26 | 개선된 인트렌치 프로파일 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8927390B2 (ko) |
KR (1) | KR102068636B1 (ko) |
CN (1) | CN103907182B (ko) |
TW (1) | TWI553726B (ko) |
WO (1) | WO2013049173A2 (ko) |
Families Citing this family (157)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US20130260564A1 (en) * | 2011-09-26 | 2013-10-03 | Applied Materials, Inc. | Insensitive dry removal process for semiconductor integration |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US8921235B2 (en) * | 2013-03-04 | 2014-12-30 | Applied Materials, Inc. | Controlled air gap formation |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN105097500B (zh) * | 2014-05-23 | 2020-02-11 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法、电子装置 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
CN105448920A (zh) * | 2014-07-09 | 2016-03-30 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制作方法和电子装置 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9576788B2 (en) | 2015-04-24 | 2017-02-21 | Applied Materials, Inc. | Cleaning high aspect ratio vias |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP2017152531A (ja) * | 2016-02-24 | 2017-08-31 | 東京エレクトロン株式会社 | 基板処理方法 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
CN113506731B (zh) * | 2016-10-08 | 2024-07-23 | 北京北方华创微电子装备有限公司 | 一种集成电路的制造工艺 |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10277535B2 (en) * | 2017-03-31 | 2019-04-30 | Hewlett Packard Enterprise Development Lp | Network switch systems including logical switches |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN113035715B (zh) * | 2019-12-25 | 2022-11-22 | 华润微电子(重庆)有限公司 | 屏蔽栅沟槽场效应晶体管及其制备方法 |
US11164878B2 (en) | 2020-01-30 | 2021-11-02 | International Business Machines Corporation | Interconnect and memory structures having reduced topography variation formed in the BEOL |
US11615966B2 (en) * | 2020-07-19 | 2023-03-28 | Applied Materials, Inc. | Flowable film formation and treatments |
US11699571B2 (en) | 2020-09-08 | 2023-07-11 | Applied Materials, Inc. | Semiconductor processing chambers for deposition and etch |
US11887811B2 (en) | 2020-09-08 | 2024-01-30 | Applied Materials, Inc. | Semiconductor processing chambers for deposition and etch |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020197823A1 (en) * | 2001-05-18 | 2002-12-26 | Yoo Jae-Yoon | Isolation method for semiconductor device |
US20080182382A1 (en) * | 2006-12-07 | 2008-07-31 | Applied Materials, Inc. | Methods of thin film process |
Family Cites Families (667)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2369620A (en) | 1941-03-07 | 1945-02-13 | Battelle Development Corp | Method of coating cupreous metal with tin |
US3451840A (en) | 1965-10-06 | 1969-06-24 | Us Air Force | Wire coated with boron nitride and boron |
US4232060A (en) | 1979-01-22 | 1980-11-04 | Richardson Chemical Company | Method of preparing substrate surface for electroless plating and products produced thereby |
US4632857A (en) | 1974-05-24 | 1986-12-30 | Richardson Chemical Company | Electrolessly plated product having a polymetallic catalytic film underlayer |
US4397812A (en) | 1974-05-24 | 1983-08-09 | Richardson Chemical Company | Electroless nickel polyalloys |
US3937857A (en) | 1974-07-22 | 1976-02-10 | Amp Incorporated | Catalyst for electroless deposition of metals |
US4006047A (en) | 1974-07-22 | 1977-02-01 | Amp Incorporated | Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates |
US4265943A (en) | 1978-11-27 | 1981-05-05 | Macdermid Incorporated | Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions |
US4234628A (en) | 1978-11-28 | 1980-11-18 | The Harshaw Chemical Company | Two-step preplate system for polymeric surfaces |
US4214946A (en) | 1979-02-21 | 1980-07-29 | International Business Machines Corporation | Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant |
US4209357A (en) | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
IT1130955B (it) | 1980-03-11 | 1986-06-18 | Oronzio De Nora Impianti | Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti |
US4368223A (en) | 1981-06-01 | 1983-01-11 | Asahi Glass Company, Ltd. | Process for preparing nickel layer |
DE3205345A1 (de) | 1982-02-15 | 1983-09-01 | Philips Patentverwaltung Gmbh, 2000 Hamburg | "verfahren zur herstellung von fluordotierten lichtleitfasern" |
US4585920A (en) | 1982-05-21 | 1986-04-29 | Tegal Corporation | Plasma reactor removable insert |
JPS591671A (ja) | 1982-05-28 | 1984-01-07 | Fujitsu Ltd | プラズマcvd装置 |
JPS6060060A (ja) | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
US4656052A (en) | 1984-02-13 | 1987-04-07 | Kyocera Corporation | Process for production of high-hardness boron nitride film |
US4571819A (en) | 1984-11-01 | 1986-02-25 | Ncr Corporation | Method for forming trench isolation structures |
JPS61276977A (ja) | 1985-05-30 | 1986-12-06 | Canon Inc | 堆積膜形成法 |
US4807016A (en) | 1985-07-15 | 1989-02-21 | Texas Instruments Incorporated | Dry etch of phosphosilicate glass with selectivity to undoped oxide |
US4714520A (en) | 1985-07-25 | 1987-12-22 | Advanced Micro Devices, Inc. | Method for filling a trench in an integrated circuit structure without producing voids |
US4749440A (en) | 1985-08-28 | 1988-06-07 | Fsi Corporation | Gaseous process and apparatus for removing films from substrates |
US4690746A (en) | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US5228501A (en) | 1986-12-19 | 1993-07-20 | Applied Materials, Inc. | Physical vapor deposition clamping mechanism and heater/cooler |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US4872947A (en) | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
JPS63204726A (ja) | 1987-02-20 | 1988-08-24 | Anelva Corp | 真空処理装置 |
US4868071A (en) | 1987-02-24 | 1989-09-19 | Polyonics Corporation | Thermally stable dual metal coated laminate products made from textured polyimide film |
US5322976A (en) | 1987-02-24 | 1994-06-21 | Polyonics Corporation | Process for forming polyimide-metal laminates |
KR910006164B1 (ko) | 1987-03-18 | 1991-08-16 | 가부시키가이샤 도시바 | 박막형성방법과 그 장치 |
US4793897A (en) | 1987-03-20 | 1988-12-27 | Applied Materials, Inc. | Selective thin film etch process |
US5198034A (en) | 1987-03-31 | 1993-03-30 | Epsilon Technology, Inc. | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
DE3884653T2 (de) | 1987-04-03 | 1994-02-03 | Fujitsu Ltd | Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant. |
US4753898A (en) | 1987-07-09 | 1988-06-28 | Motorola, Inc. | LDD CMOS process |
US4878994A (en) | 1987-07-16 | 1989-11-07 | Texas Instruments Incorporated | Method for etching titanium nitride local interconnects |
US4886570A (en) | 1987-07-16 | 1989-12-12 | Texas Instruments Incorporated | Processing apparatus and method |
US4810520A (en) | 1987-09-23 | 1989-03-07 | Magnetic Peripherals Inc. | Method for controlling electroless magnetic plating |
US4865685A (en) | 1987-11-03 | 1989-09-12 | North Carolina State University | Dry etching of silicon carbide |
US4981551A (en) | 1987-11-03 | 1991-01-01 | North Carolina State University | Dry etching of silicon carbide |
US4851370A (en) | 1987-12-28 | 1989-07-25 | American Telephone And Telegraph Company, At&T Bell Laboratories | Fabricating a semiconductor device with low defect density oxide |
US4904341A (en) | 1988-08-22 | 1990-02-27 | Westinghouse Electric Corp. | Selective silicon dioxide etchant for superconductor integrated circuits |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
KR930004115B1 (ko) | 1988-10-31 | 1993-05-20 | 후지쓰 가부시끼가이샤 | 애싱(ashing)처리방법 및 장치 |
JPH02121330A (ja) | 1988-10-31 | 1990-05-09 | Hitachi Ltd | プラズマ処理方法及び装置 |
JP2981243B2 (ja) | 1988-12-27 | 1999-11-22 | 株式会社東芝 | 表面処理方法 |
US5030319A (en) | 1988-12-27 | 1991-07-09 | Kabushiki Kaisha Toshiba | Method of oxide etching with condensed plasma reaction product |
US4985372A (en) | 1989-02-17 | 1991-01-15 | Tokyo Electron Limited | Method of forming conductive layer including removal of native oxide |
IT216961Z2 (it) | 1989-03-07 | 1991-10-21 | Roltra Spa | Dispositivo attuatore per bloccaserratura elettrico |
JPH02121330U (ko) | 1989-03-15 | 1990-10-02 | ||
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US5270125A (en) | 1989-07-11 | 1993-12-14 | Redwood Microsystems, Inc. | Boron nutride membrane in wafer structure |
US5013691A (en) | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US4994404A (en) | 1989-08-28 | 1991-02-19 | Motorola, Inc. | Method for forming a lightly-doped drain (LDD) structure in a semiconductor device |
US4980018A (en) | 1989-11-14 | 1990-12-25 | Intel Corporation | Plasma etching process for refractory metal vias |
EP0447155B1 (en) | 1990-03-12 | 1995-07-26 | Ngk Insulators, Ltd. | Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters |
JP2960466B2 (ja) | 1990-03-19 | 1999-10-06 | 株式会社日立製作所 | 半導体デバイスの配線絶縁膜の形成方法及びその装置 |
US5089441A (en) | 1990-04-16 | 1992-02-18 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafers |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5147692A (en) | 1990-05-08 | 1992-09-15 | Macdermid, Incorporated | Electroless plating of nickel onto surfaces such as copper or fused tungston |
US5238499A (en) | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
JPH04228572A (ja) | 1990-08-10 | 1992-08-18 | Sumitomo Electric Ind Ltd | 硬質窒化ホウ素合成法 |
US5235139A (en) | 1990-09-12 | 1993-08-10 | Macdermid, Incorprated | Method for fabricating printed circuits |
US5089442A (en) | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
KR930011413B1 (ko) | 1990-09-25 | 1993-12-06 | 가부시키가이샤 한도오따이 에네루기 겐큐쇼 | 펄스형 전자파를 사용한 플라즈마 cvd 법 |
JPH04142738A (ja) | 1990-10-04 | 1992-05-15 | Sony Corp | ドライエッチング方法 |
US5549780A (en) | 1990-10-23 | 1996-08-27 | Semiconductor Energy Laboratory Co., Ltd. | Method for plasma processing and apparatus for plasma processing |
JP2640174B2 (ja) | 1990-10-30 | 1997-08-13 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
JP3206916B2 (ja) | 1990-11-28 | 2001-09-10 | 住友電気工業株式会社 | 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス |
US5578130A (en) | 1990-12-12 | 1996-11-26 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for depositing a film |
WO1992012535A1 (en) | 1991-01-08 | 1992-07-23 | Fujitsu Limited | Process for forming silicon oxide film |
JPH04239723A (ja) | 1991-01-23 | 1992-08-27 | Nec Corp | 半導体装置の製造方法 |
JP2697315B2 (ja) | 1991-01-23 | 1998-01-14 | 日本電気株式会社 | フッ素含有シリコン酸化膜の形成方法 |
JP2787142B2 (ja) | 1991-03-01 | 1998-08-13 | 上村工業 株式会社 | 無電解錫、鉛又はそれらの合金めっき方法 |
US5897751A (en) | 1991-03-11 | 1999-04-27 | Regents Of The University Of California | Method of fabricating boron containing coatings |
JPH04341568A (ja) | 1991-05-16 | 1992-11-27 | Toshiba Corp | 薄膜形成方法及び薄膜形成装置 |
JP3670277B2 (ja) | 1991-05-17 | 2005-07-13 | ラム リサーチ コーポレーション | 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法 |
JP2699695B2 (ja) | 1991-06-07 | 1998-01-19 | 日本電気株式会社 | 化学気相成長法 |
US5203911A (en) | 1991-06-24 | 1993-04-20 | Shipley Company Inc. | Controlled electroless plating |
US5279865A (en) | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
US5240497A (en) | 1991-10-08 | 1993-08-31 | Cornell Research Foundation, Inc. | Alkaline free electroless deposition |
JPH05226480A (ja) | 1991-12-04 | 1993-09-03 | Nec Corp | 半導体装置の製造方法 |
US5290382A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5352636A (en) | 1992-01-16 | 1994-10-04 | Applied Materials, Inc. | In situ method for cleaning silicon surface and forming layer thereon in same chamber |
US5300463A (en) | 1992-03-06 | 1994-04-05 | Micron Technology, Inc. | Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers |
JP3084497B2 (ja) | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | SiO2膜のエッチング方法 |
JP2773530B2 (ja) | 1992-04-15 | 1998-07-09 | 日本電気株式会社 | 半導体装置の製造方法 |
JP2792335B2 (ja) | 1992-05-27 | 1998-09-03 | 日本電気株式会社 | 半導体装置の製造方法 |
US5252178A (en) | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JP3688726B2 (ja) | 1992-07-17 | 2005-08-31 | 株式会社東芝 | 半導体装置の製造方法 |
US5380560A (en) | 1992-07-28 | 1995-01-10 | International Business Machines Corporation | Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5326427A (en) | 1992-09-11 | 1994-07-05 | Lsi Logic Corporation | Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation |
US5306530A (en) | 1992-11-23 | 1994-04-26 | Associated Universities, Inc. | Method for producing high quality thin layer films on substrates |
US5382311A (en) | 1992-12-17 | 1995-01-17 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
US5500249A (en) | 1992-12-22 | 1996-03-19 | Applied Materials, Inc. | Uniform tungsten silicide films produced by chemical vapor deposition |
US5756402A (en) | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US5624582A (en) | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5345999A (en) | 1993-03-17 | 1994-09-13 | Applied Materials, Inc. | Method and apparatus for cooling semiconductor wafers |
US5302233A (en) | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
JP3236111B2 (ja) | 1993-03-31 | 2001-12-10 | キヤノン株式会社 | プラズマ処理装置及び処理方法 |
US5800686A (en) | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
KR0142150B1 (ko) | 1993-04-09 | 1998-07-15 | 윌리엄 티. 엘리스 | 붕소 질화물을 에칭하기 위한 방법 |
US5416048A (en) | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
EP0628644B1 (en) | 1993-05-27 | 2003-04-02 | Applied Materials, Inc. | Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices |
US5591269A (en) | 1993-06-24 | 1997-01-07 | Tokyo Electron Limited | Vacuum processing apparatus |
US5560779A (en) | 1993-07-12 | 1996-10-01 | Olin Corporation | Apparatus for synthesizing diamond films utilizing an arc plasma |
WO1995002900A1 (en) | 1993-07-15 | 1995-01-26 | Astarix, Inc. | Aluminum-palladium alloy for initiation of electroless plating |
DE69421465T2 (de) | 1993-07-30 | 2000-02-10 | Applied Materials, Inc. | Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen |
US5483920A (en) | 1993-08-05 | 1996-01-16 | Board Of Governors Of Wayne State University | Method of forming cubic boron nitride films |
US5468597A (en) | 1993-08-25 | 1995-11-21 | Shipley Company, L.L.C. | Selective metallization process |
US5384284A (en) | 1993-10-01 | 1995-01-24 | Micron Semiconductor, Inc. | Method to form a low resistant bond pad interconnect |
SE501888C2 (sv) | 1993-10-18 | 1995-06-12 | Ladislav Bardos | En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden |
JPH07130713A (ja) | 1993-11-04 | 1995-05-19 | Fujitsu Ltd | ダウンフローエッチング装置 |
JPH07161703A (ja) | 1993-12-03 | 1995-06-23 | Ricoh Co Ltd | 半導体装置の製造方法 |
US5505816A (en) | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
JPH07193214A (ja) | 1993-12-27 | 1995-07-28 | Mitsubishi Electric Corp | バイアホール及びその形成方法 |
US5415890A (en) | 1994-01-03 | 1995-05-16 | Eaton Corporation | Modular apparatus and method for surface treatment of parts with liquid baths |
US5403434A (en) | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
US5399237A (en) | 1994-01-27 | 1995-03-21 | Applied Materials, Inc. | Etching titanium nitride using carbon-fluoride and carbon-oxide gas |
US5451259A (en) | 1994-02-17 | 1995-09-19 | Krogh; Ole D. | ECR plasma source for remote processing |
US5439553A (en) | 1994-03-30 | 1995-08-08 | Penn State Research Foundation | Controlled etching of oxides via gas phase reactions |
US5468342A (en) | 1994-04-28 | 1995-11-21 | Cypress Semiconductor Corp. | Method of etching an oxide layer |
US6110838A (en) | 1994-04-29 | 2000-08-29 | Texas Instruments Incorporated | Isotropic polysilicon plus nitride stripping |
US5531835A (en) | 1994-05-18 | 1996-07-02 | Applied Materials, Inc. | Patterned susceptor to reduce electrostatic force in a CVD chamber |
US5767373A (en) | 1994-06-16 | 1998-06-16 | Novartis Finance Corporation | Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms |
EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
US5563105A (en) | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
JPH08148470A (ja) | 1994-11-21 | 1996-06-07 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
US6039851A (en) | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
US5571577A (en) | 1995-04-07 | 1996-11-05 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
JP3386287B2 (ja) | 1995-05-08 | 2003-03-17 | 堀池 靖浩 | プラズマエッチング装置 |
US20010028922A1 (en) | 1995-06-07 | 2001-10-11 | Sandhu Gurtej S. | High throughput ILD fill process for high aspect ratio gap fill |
JP2814370B2 (ja) | 1995-06-18 | 1998-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6197364B1 (en) | 1995-08-22 | 2001-03-06 | International Business Machines Corporation | Production of electroless Co(P) with designed coercivity |
US5755859A (en) | 1995-08-24 | 1998-05-26 | International Business Machines Corporation | Cobalt-tin alloys and their applications for devices, chip interconnections and packaging |
WO1997009737A1 (en) | 1995-09-01 | 1997-03-13 | Advanced Semiconductor Materials America, Inc. | Wafer support system |
US6228751B1 (en) | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US5719085A (en) | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5716506A (en) | 1995-10-06 | 1998-02-10 | Board Of Trustees Of The University Of Illinois | Electrochemical sensors for gas detection |
US5635086A (en) | 1995-10-10 | 1997-06-03 | The Esab Group, Inc. | Laser-plasma arc metal cutting apparatus |
JPH09106899A (ja) | 1995-10-11 | 1997-04-22 | Anelva Corp | プラズマcvd装置及び方法並びにドライエッチング装置及び方法 |
US5910340A (en) | 1995-10-23 | 1999-06-08 | C. Uyemura & Co., Ltd. | Electroless nickel plating solution and method |
US6015724A (en) | 1995-11-02 | 2000-01-18 | Semiconductor Energy Laboratory Co. | Manufacturing method of a semiconductor device |
US5599740A (en) | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5648125A (en) | 1995-11-16 | 1997-07-15 | Cane; Frank N. | Electroless plating process for the manufacture of printed circuit boards |
JP4420986B2 (ja) | 1995-11-21 | 2010-02-24 | 株式会社東芝 | シャロウ・トレンチ分離半導体基板及びその製造方法 |
US5846598A (en) | 1995-11-30 | 1998-12-08 | International Business Machines Corporation | Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating |
JPH09153481A (ja) | 1995-11-30 | 1997-06-10 | Sumitomo Metal Ind Ltd | プラズマ処理装置 |
US5733816A (en) | 1995-12-13 | 1998-03-31 | Micron Technology, Inc. | Method for depositing a tungsten layer on silicon |
US6261637B1 (en) | 1995-12-15 | 2001-07-17 | Enthone-Omi, Inc. | Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication |
WO1997022733A1 (en) | 1995-12-19 | 1997-06-26 | Fsi International | Electroless deposition of metal films with spray processor |
EP0870327B1 (en) | 1995-12-27 | 2002-09-11 | Lam Research Corporation | Method for filling trenches in a semiconductor wafer |
US5679606A (en) | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5891513A (en) | 1996-01-16 | 1999-04-06 | Cornell Research Foundation | Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications |
US5824599A (en) | 1996-01-16 | 1998-10-20 | Cornell Research Foundation, Inc. | Protected encapsulation of catalytic layer for electroless copper interconnect |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US5648175A (en) | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US6004884A (en) | 1996-02-15 | 1999-12-21 | Lam Research Corporation | Methods and apparatus for etching semiconductor wafers |
US5656093A (en) | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
EP0891684B1 (en) | 1996-03-25 | 2008-11-12 | S. George Lesinski | Attaching of an implantable hearing aid microactuator |
US5858876A (en) | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5712185A (en) | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US6313035B1 (en) | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
US5820723A (en) | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US6048798A (en) | 1996-06-05 | 2000-04-11 | Lam Research Corporation | Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer |
US5993916A (en) | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US6170428B1 (en) | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US5781693A (en) | 1996-07-24 | 1998-07-14 | Applied Materials, Inc. | Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween |
US20010012700A1 (en) | 1998-12-15 | 2001-08-09 | Klaus F. Schuegraf | Semiconductor processing methods of chemical vapor depositing sio2 on a substrate |
US5661093A (en) | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5888906A (en) | 1996-09-16 | 1999-03-30 | Micron Technology, Inc. | Plasmaless dry contact cleaning method using interhalogen compounds |
US5747373A (en) | 1996-09-24 | 1998-05-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Nitride-oxide sidewall spacer for salicide formation |
US5846375A (en) | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
US5904827A (en) | 1996-10-15 | 1999-05-18 | Reynolds Tech Fabricators, Inc. | Plating cell with rotary wiper and megasonic transducer |
US5951776A (en) | 1996-10-25 | 1999-09-14 | Applied Materials, Inc. | Self aligning lift mechanism |
KR100237825B1 (ko) | 1996-11-05 | 2000-01-15 | 윤종용 | 반도체장치 제조설비의 페디스탈 |
US5804259A (en) | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US5939831A (en) | 1996-11-13 | 1999-08-17 | Applied Materials, Inc. | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications |
US5812403A (en) | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
US5935334A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
US5882786A (en) | 1996-11-15 | 1999-03-16 | C3, Inc. | Gemstones formed of silicon carbide with diamond coating |
US5855681A (en) | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US6152070A (en) | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US5830805A (en) | 1996-11-18 | 1998-11-03 | Cornell Research Foundation | Electroless deposition equipment or apparatus and method of performing electroless deposition |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
FR2756663B1 (fr) | 1996-12-04 | 1999-02-26 | Berenguer Marc | Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface |
US5843538A (en) | 1996-12-09 | 1998-12-01 | John L. Raymond | Method for electroless nickel plating of metal substrates |
US5953635A (en) | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
US5913140A (en) | 1996-12-23 | 1999-06-15 | Lam Research Corporation | Method for reduction of plasma charging damage during chemical vapor deposition |
DE19700231C2 (de) | 1997-01-07 | 2001-10-04 | Geesthacht Gkss Forschung | Vorrichtung zum Filtern und Trennen von Strömungsmedien |
US5913147A (en) | 1997-01-21 | 1999-06-15 | Advanced Micro Devices, Inc. | Method for fabricating copper-aluminum metallization |
JPH10223608A (ja) | 1997-02-04 | 1998-08-21 | Sony Corp | 半導体装置の製造方法 |
US5800621A (en) | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
US6013584A (en) | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US6190233B1 (en) | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US5990000A (en) | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6479373B2 (en) | 1997-02-20 | 2002-11-12 | Infineon Technologies Ag | Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases |
US6059643A (en) | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US5789300A (en) | 1997-02-25 | 1998-08-04 | Advanced Micro Devices, Inc. | Method of making IGFETs in densely and sparsely populated areas of a substrate |
US5850105A (en) | 1997-03-21 | 1998-12-15 | Advanced Micro Devices, Inc. | Substantially planar semiconductor topography using dielectrics and chemical mechanical polish |
US5786276A (en) | 1997-03-31 | 1998-07-28 | Applied Materials, Inc. | Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2 |
US6030666A (en) | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
JPH10284360A (ja) | 1997-04-02 | 1998-10-23 | Hitachi Ltd | 基板温度制御装置及び方法 |
US5968610A (en) | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
US6204200B1 (en) | 1997-05-05 | 2001-03-20 | Texas Instruments Incorporated | Process scheme to form controlled airgaps between interconnect lines to reduce capacitance |
US6149828A (en) | 1997-05-05 | 2000-11-21 | Micron Technology, Inc. | Supercritical etching compositions and method of using same |
US5969422A (en) | 1997-05-15 | 1999-10-19 | Advanced Micro Devices, Inc. | Plated copper interconnect structure |
US5838055A (en) | 1997-05-29 | 1998-11-17 | International Business Machines Corporation | Trench sidewall patterned by vapor phase etching |
US6189483B1 (en) | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US6083344A (en) | 1997-05-29 | 2000-07-04 | Applied Materials, Inc. | Multi-zone RF inductively coupled source configuration |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6136685A (en) | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US6706334B1 (en) | 1997-06-04 | 2004-03-16 | Tokyo Electron Limited | Processing method and apparatus for removing oxide film |
US5872058A (en) | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US5885749A (en) | 1997-06-20 | 1999-03-23 | Clear Logic, Inc. | Method of customizing integrated circuits by selective secondary deposition of layer interconnect material |
US5933757A (en) | 1997-06-23 | 1999-08-03 | Lsi Logic Corporation | Etch process selective to cobalt silicide for formation of integrated circuit structures |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6518155B1 (en) | 1997-06-30 | 2003-02-11 | Intel Corporation | Device structure and method for reducing silicide encroachment |
US6364957B1 (en) | 1997-10-09 | 2002-04-02 | Applied Materials, Inc. | Support assembly with thermal expansion compensation |
JP3874911B2 (ja) | 1997-10-15 | 2007-01-31 | 株式会社Neomaxマテリアル | 微小プラスチック球へのめっき方法 |
GB9722028D0 (en) | 1997-10-17 | 1997-12-17 | Shipley Company Ll C | Plating of polymers |
US6379575B1 (en) | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US6013191A (en) | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US6136693A (en) | 1997-10-27 | 2000-10-24 | Chartered Semiconductor Manufacturing Ltd. | Method for planarized interconnect vias using electroless plating and CMP |
WO1999026277A1 (en) | 1997-11-17 | 1999-05-27 | Mattson Technology, Inc. | Systems and methods for plasma enhanced processing of semiconductor wafers |
US6063712A (en) | 1997-11-25 | 2000-05-16 | Micron Technology, Inc. | Oxide etch and method of etching |
US5849639A (en) | 1997-11-26 | 1998-12-15 | Lucent Technologies Inc. | Method for removing etching residues and contaminants |
US6077780A (en) | 1997-12-03 | 2000-06-20 | Advanced Micro Devices, Inc. | Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure |
US6143476A (en) | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
US5976327A (en) | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
US6083844A (en) | 1997-12-22 | 2000-07-04 | Lam Research Corporation | Techniques for etching an oxide layer |
US6406759B1 (en) | 1998-01-08 | 2002-06-18 | The University Of Tennessee Research Corporation | Remote exposure of workpieces using a recirculated plasma |
JPH11204442A (ja) | 1998-01-12 | 1999-07-30 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
US6140234A (en) | 1998-01-20 | 2000-10-31 | International Business Machines Corporation | Method to selectively fill recesses with conductive metal |
US5932077A (en) | 1998-02-09 | 1999-08-03 | Reynolds Tech Fabricators, Inc. | Plating cell with horizontal product load mechanism |
US6635578B1 (en) | 1998-02-09 | 2003-10-21 | Applied Materials, Inc | Method of operating a dual chamber reactor with neutral density decoupled from ion density |
US6627532B1 (en) | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6197688B1 (en) | 1998-02-12 | 2001-03-06 | Motorola Inc. | Interconnect structure in a semiconductor device and method of formation |
US6171661B1 (en) | 1998-02-25 | 2001-01-09 | Applied Materials, Inc. | Deposition of copper with increased adhesion |
US6551939B2 (en) | 1998-03-17 | 2003-04-22 | Anneal Corporation | Plasma surface treatment method and resulting device |
US5920792A (en) | 1998-03-19 | 1999-07-06 | Winbond Electronics Corp | High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers |
US6565729B2 (en) | 1998-03-20 | 2003-05-20 | Semitool, Inc. | Method for electrochemically depositing metal on a semiconductor workpiece |
US6197181B1 (en) | 1998-03-20 | 2001-03-06 | Semitool, Inc. | Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece |
US6194038B1 (en) | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6602434B1 (en) | 1998-03-27 | 2003-08-05 | Applied Materials, Inc. | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window |
US6395150B1 (en) | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
KR20010042419A (ko) | 1998-04-02 | 2001-05-25 | 조셉 제이. 스위니 | 낮은 k 유전체를 에칭하는 방법 |
US6117245A (en) | 1998-04-08 | 2000-09-12 | Applied Materials, Inc. | Method and apparatus for controlling cooling and heating fluids for a gas distribution plate |
US6416647B1 (en) | 1998-04-21 | 2002-07-09 | Applied Materials, Inc. | Electro-chemical deposition cell for face-up processing of single semiconductor substrates |
US6113771A (en) | 1998-04-21 | 2000-09-05 | Applied Materials, Inc. | Electro deposition chemistry |
US6179924B1 (en) | 1998-04-28 | 2001-01-30 | Applied Materials, Inc. | Heater for use in substrate processing apparatus to deposit tungsten |
US6093594A (en) | 1998-04-29 | 2000-07-25 | Advanced Micro Devices, Inc. | CMOS optimization method utilizing sacrificial sidewall spacer |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
EP0959496B1 (en) | 1998-05-22 | 2006-07-19 | Applied Materials, Inc. | Methods for forming self-planarized dielectric layer for shallow trench isolation |
KR100296137B1 (ko) | 1998-06-16 | 2001-08-07 | 박종섭 | 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법 |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
JP2000012514A (ja) | 1998-06-19 | 2000-01-14 | Hitachi Ltd | 後処理方法 |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
DE69929607T2 (de) | 1998-06-30 | 2006-07-27 | Semitool, Inc., Kalispell | Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen |
US6562128B1 (en) | 2001-11-28 | 2003-05-13 | Seh America, Inc. | In-situ post epitaxial treatment process |
US6037018A (en) | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6248429B1 (en) | 1998-07-06 | 2001-06-19 | Micron Technology, Inc. | Metallized recess in a substrate |
KR100265866B1 (ko) | 1998-07-11 | 2000-12-01 | 황철주 | 반도체 제조장치 |
US6063683A (en) | 1998-07-27 | 2000-05-16 | Acer Semiconductor Manufacturing, Inc. | Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells |
US6436816B1 (en) | 1998-07-31 | 2002-08-20 | Industrial Technology Research Institute | Method of electroless plating copper on nitride barrier |
US6074954A (en) | 1998-08-31 | 2000-06-13 | Applied Materials, Inc | Process for control of the shape of the etch front in the etching of polysilicon |
US6383951B1 (en) | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US6440863B1 (en) | 1998-09-04 | 2002-08-27 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming patterned oxygen containing plasma etchable layer |
US6165912A (en) | 1998-09-17 | 2000-12-26 | Cfmt, Inc. | Electroless metal deposition of electronic components in an enclosable vessel |
US6037266A (en) | 1998-09-28 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher |
US6180523B1 (en) | 1998-10-13 | 2001-01-30 | Industrial Technology Research Institute | Copper metallization of USLI by electroless process |
US6228758B1 (en) | 1998-10-14 | 2001-05-08 | Advanced Micro Devices, Inc. | Method of making dual damascene conductive interconnections and integrated circuit device comprising same |
US6251802B1 (en) | 1998-10-19 | 2001-06-26 | Micron Technology, Inc. | Methods of forming carbon-containing layers |
US6107199A (en) | 1998-10-24 | 2000-08-22 | International Business Machines Corporation | Method for improving the morphology of refractory metal thin films |
JP3064268B2 (ja) | 1998-10-29 | 2000-07-12 | アプライド マテリアルズ インコーポレイテッド | 成膜方法及び装置 |
US6176198B1 (en) | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6462371B1 (en) | 1998-11-24 | 2002-10-08 | Micron Technology Inc. | Films doped with carbon for use in integrated circuit technology |
US6203863B1 (en) | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6258220B1 (en) | 1998-11-30 | 2001-07-10 | Applied Materials, Inc. | Electro-chemical deposition system |
US6251236B1 (en) | 1998-11-30 | 2001-06-26 | Applied Materials, Inc. | Cathode contact ring for electrochemical deposition |
US6228233B1 (en) | 1998-11-30 | 2001-05-08 | Applied Materials, Inc. | Inflatable compliant bladder assembly |
US6015747A (en) | 1998-12-07 | 2000-01-18 | Advanced Micro Device | Method of metal/polysilicon gate formation in a field effect transistor |
US6242349B1 (en) | 1998-12-09 | 2001-06-05 | Advanced Micro Devices, Inc. | Method of forming copper/copper alloy interconnection with reduced electromigration |
US6364954B2 (en) | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
EP1014434B1 (de) | 1998-12-24 | 2008-03-26 | ATMEL Germany GmbH | Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches |
KR20000044928A (ko) | 1998-12-30 | 2000-07-15 | 김영환 | 반도체 소자의 트랜치 형성 방법 |
TW428256B (en) | 1999-01-25 | 2001-04-01 | United Microelectronics Corp | Structure of conducting-wire layer and its fabricating method |
JP3330554B2 (ja) | 1999-01-27 | 2002-09-30 | 松下電器産業株式会社 | エッチング方法 |
US6245669B1 (en) | 1999-02-05 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | High selectivity Si-rich SiON etch-stop layer |
US6010962A (en) | 1999-02-12 | 2000-01-04 | Taiwan Semiconductor Manufacturing Company | Copper chemical-mechanical-polishing (CMP) dishing |
US6245670B1 (en) | 1999-02-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure |
US6291282B1 (en) | 1999-02-26 | 2001-09-18 | Texas Instruments Incorporated | Method of forming dual metal gate structures or CMOS devices |
US6136163A (en) | 1999-03-05 | 2000-10-24 | Applied Materials, Inc. | Apparatus for electro-chemical deposition with thermal anneal chamber |
US6312995B1 (en) | 1999-03-08 | 2001-11-06 | Advanced Micro Devices, Inc. | MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration |
US6197705B1 (en) | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6797189B2 (en) | 1999-03-25 | 2004-09-28 | Hoiman (Raymond) Hung | Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon |
US6238582B1 (en) | 1999-03-30 | 2001-05-29 | Veeco Instruments, Inc. | Reactive ion beam etching method and a thin film head fabricated using the method |
US6144099A (en) | 1999-03-30 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor metalization barrier |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6110836A (en) | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US6541671B1 (en) | 2002-02-13 | 2003-04-01 | The Regents Of The University Of California | Synthesis of 2H- and 13C-substituted dithanes |
JP3099066B1 (ja) | 1999-05-07 | 2000-10-16 | 東京工業大学長 | 薄膜構造体の製造方法 |
US6323128B1 (en) | 1999-05-26 | 2001-11-27 | International Business Machines Corporation | Method for forming Co-W-P-Au films |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US20020033233A1 (en) | 1999-06-08 | 2002-03-21 | Stephen E. Savas | Icp reactor having a conically-shaped plasma-generating section |
US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6110530A (en) | 1999-06-25 | 2000-08-29 | Applied Materials, Inc. | CVD method of depositing copper films by using improved organocopper precursor blend |
US6277752B1 (en) | 1999-06-28 | 2001-08-21 | Taiwan Semiconductor Manufacturing Company | Multiple etch method for forming residue free patterned hard mask layer |
US6258223B1 (en) | 1999-07-09 | 2001-07-10 | Applied Materials, Inc. | In-situ electroless copper seed layer enhancement in an electroplating system |
US6516815B1 (en) | 1999-07-09 | 2003-02-11 | Applied Materials, Inc. | Edge bead removal/spin rinse dry (EBR/SRD) module |
US6351013B1 (en) | 1999-07-13 | 2002-02-26 | Advanced Micro Devices, Inc. | Low-K sub spacer pocket formation for gate capacitance reduction |
US6342733B1 (en) | 1999-07-27 | 2002-01-29 | International Business Machines Corporation | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
US6281135B1 (en) | 1999-08-05 | 2001-08-28 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6235643B1 (en) | 1999-08-10 | 2001-05-22 | Applied Materials, Inc. | Method for etching a trench having rounded top and bottom corners in a silicon substrate |
DE60041341D1 (de) | 1999-08-17 | 2009-02-26 | Tokyo Electron Ltd | Gepulstes plasmabehandlungsverfahren und vorrichtung |
US6375748B1 (en) | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
US6441492B1 (en) | 1999-09-10 | 2002-08-27 | James A. Cunningham | Diffusion barriers for copper interconnect systems |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6432819B1 (en) | 1999-09-27 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus of forming a sputtered doped seed layer |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6153935A (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
KR100338768B1 (ko) | 1999-10-25 | 2002-05-30 | 윤종용 | 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치 |
US20010041444A1 (en) | 1999-10-29 | 2001-11-15 | Jeffrey A. Shields | Tin contact barc for tungsten polished contacts |
US6551924B1 (en) | 1999-11-02 | 2003-04-22 | International Business Machines Corporation | Post metalization chem-mech polishing dielectric etch |
KR20010051575A (ko) | 1999-11-09 | 2001-06-25 | 조셉 제이. 스위니 | 살리사이드 처리를 위한 화학적 플라즈마 세정 |
TW484170B (en) | 1999-11-30 | 2002-04-21 | Applied Materials Inc | Integrated modular processing platform |
US6342453B1 (en) | 1999-12-03 | 2002-01-29 | Applied Materials, Inc. | Method for CVD process control for enhancing device performance |
US6277763B1 (en) | 1999-12-16 | 2001-08-21 | Applied Materials, Inc. | Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen |
AU2577001A (en) | 1999-12-22 | 2001-07-03 | Tokyo Electron Limited | Method and system for reducing damage to substrates during plasma processing with a resonator source |
US6238513B1 (en) | 1999-12-28 | 2001-05-29 | International Business Machines Corporation | Wafer lift assembly |
KR20010058774A (ko) | 1999-12-30 | 2001-07-06 | 박종섭 | 반도체 소자의 제조 방법 |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6772827B2 (en) | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6656831B1 (en) | 2000-01-26 | 2003-12-02 | Applied Materials, Inc. | Plasma-enhanced chemical vapor deposition of a metal nitride layer |
US6494959B1 (en) | 2000-01-28 | 2002-12-17 | Applied Materials, Inc. | Process and apparatus for cleaning a silicon surface |
JP3723712B2 (ja) | 2000-02-10 | 2005-12-07 | 株式会社日立国際電気 | 基板処理装置及び基板処理方法 |
US6743473B1 (en) | 2000-02-16 | 2004-06-01 | Applied Materials, Inc. | Chemical vapor deposition of barriers from novel precursors |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
US6350320B1 (en) | 2000-02-22 | 2002-02-26 | Applied Materials, Inc. | Heater for processing chamber |
US6391788B1 (en) | 2000-02-25 | 2002-05-21 | Applied Materials, Inc. | Two etchant etch method |
JP3979791B2 (ja) | 2000-03-08 | 2007-09-19 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
KR100350056B1 (ko) | 2000-03-09 | 2002-08-24 | 삼성전자 주식회사 | 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법 |
US6527968B1 (en) | 2000-03-27 | 2003-03-04 | Applied Materials Inc. | Two-stage self-cleaning silicon etch process |
JP2001355074A (ja) | 2000-04-10 | 2001-12-25 | Sony Corp | 無電解メッキ処理方法およびその装置 |
US7892974B2 (en) | 2000-04-11 | 2011-02-22 | Cree, Inc. | Method of forming vias in silicon carbide and resulting devices and circuits |
JP2001308023A (ja) | 2000-04-21 | 2001-11-02 | Tokyo Electron Ltd | 熱処理装置及び方法 |
US6387207B1 (en) | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
US6458718B1 (en) | 2000-04-28 | 2002-10-01 | Asm Japan K.K. | Fluorine-containing materials and processes |
US6679981B1 (en) | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
US6335261B1 (en) | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US6729081B2 (en) | 2000-06-09 | 2004-05-04 | United Solar Systems Corporation | Self-adhesive photovoltaic module |
US6603269B1 (en) | 2000-06-13 | 2003-08-05 | Applied Materials, Inc. | Resonant chamber applicator for remote plasma source |
US6645550B1 (en) | 2000-06-22 | 2003-11-11 | Applied Materials, Inc. | Method of treating a substrate |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6303418B1 (en) | 2000-06-30 | 2001-10-16 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer |
US6794311B2 (en) | 2000-07-14 | 2004-09-21 | Applied Materials Inc. | Method and apparatus for treating low k dielectric layers to reduce diffusion |
KR100366623B1 (ko) | 2000-07-18 | 2003-01-09 | 삼성전자 주식회사 | 반도체 기판 또는 lcd 기판의 세정방법 |
US6764958B1 (en) | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6677242B1 (en) | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US6446572B1 (en) | 2000-08-18 | 2002-09-10 | Tokyo Electron Limited | Embedded plasma source for plasma density improvement |
US6800830B2 (en) | 2000-08-18 | 2004-10-05 | Hitachi Kokusai Electric, Inc. | Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6372657B1 (en) | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
US6465366B1 (en) | 2000-09-12 | 2002-10-15 | Applied Materials, Inc. | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers |
JP2002100578A (ja) | 2000-09-25 | 2002-04-05 | Crystage Co Ltd | 薄膜形成装置 |
KR100375102B1 (ko) | 2000-10-18 | 2003-03-08 | 삼성전자주식회사 | 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치 |
US6403491B1 (en) | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
US6610362B1 (en) | 2000-11-20 | 2003-08-26 | Intel Corporation | Method of forming a carbon doped oxide layer on a substrate |
KR100382725B1 (ko) | 2000-11-24 | 2003-05-09 | 삼성전자주식회사 | 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법 |
US6291348B1 (en) | 2000-11-30 | 2001-09-18 | Advanced Micro Devices, Inc. | Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed |
AUPR179500A0 (en) | 2000-11-30 | 2000-12-21 | Saintech Pty Limited | Ion source |
US6544340B2 (en) | 2000-12-08 | 2003-04-08 | Applied Materials, Inc. | Heater with detachable ceramic top plate |
US6448537B1 (en) | 2000-12-11 | 2002-09-10 | Eric Anton Nering | Single-wafer process chamber thermal convection processes |
US20020124867A1 (en) | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
US6879981B2 (en) | 2001-01-16 | 2005-04-12 | Corigin Ltd. | Sharing live data with a non cooperative DBMS |
US6743732B1 (en) | 2001-01-26 | 2004-06-01 | Taiwan Semiconductor Manufacturing Company | Organic low K dielectric etch with NH3 chemistry |
JP2002222934A (ja) | 2001-01-29 | 2002-08-09 | Nec Corp | 半導体装置およびその製造方法 |
US6893969B2 (en) | 2001-02-12 | 2005-05-17 | Lam Research Corporation | Use of ammonia for etching organic low-k dielectrics |
US6537733B2 (en) | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
JP2002256235A (ja) | 2001-03-01 | 2002-09-11 | Hitachi Chem Co Ltd | 接着シート、半導体装置の製造方法および半導体装置 |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6886491B2 (en) | 2001-03-19 | 2005-05-03 | Apex Co. Ltd. | Plasma chemical vapor deposition apparatus |
JP5013353B2 (ja) | 2001-03-28 | 2012-08-29 | 隆 杉野 | 成膜方法及び成膜装置 |
US20020177321A1 (en) | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
US7084070B1 (en) | 2001-03-30 | 2006-08-01 | Lam Research Corporation | Treatment for corrosion in substrate processing |
US6670278B2 (en) | 2001-03-30 | 2003-12-30 | Lam Research Corporation | Method of plasma etching of silicon carbide |
JP3707394B2 (ja) | 2001-04-06 | 2005-10-19 | ソニー株式会社 | 無電解メッキ方法 |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
JP4720019B2 (ja) | 2001-05-18 | 2011-07-13 | 東京エレクトロン株式会社 | 冷却機構及び処理装置 |
US6717189B2 (en) | 2001-06-01 | 2004-04-06 | Ebara Corporation | Electroless plating liquid and semiconductor device |
US6573606B2 (en) | 2001-06-14 | 2003-06-03 | International Business Machines Corporation | Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect |
US20030010645A1 (en) | 2001-06-14 | 2003-01-16 | Mattson Technology, Inc. | Barrier enhancement process for copper interconnects |
US6506291B2 (en) | 2001-06-14 | 2003-01-14 | Applied Materials, Inc. | Substrate support with multilevel heat transfer mechanism |
JP2003019433A (ja) | 2001-07-06 | 2003-01-21 | Sekisui Chem Co Ltd | 放電プラズマ処理装置及びそれを用いた処理方法 |
KR100403630B1 (ko) | 2001-07-07 | 2003-10-30 | 삼성전자주식회사 | 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법 |
US6531377B2 (en) | 2001-07-13 | 2003-03-11 | Infineon Technologies Ag | Method for high aspect ratio gap fill using sequential HDP-CVD |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US6846745B1 (en) | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
JP3914452B2 (ja) | 2001-08-07 | 2007-05-16 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
TW554069B (en) | 2001-08-10 | 2003-09-21 | Ebara Corp | Plating device and method |
WO2003017359A1 (en) | 2001-08-13 | 2003-02-27 | Ebara Corporation | Semiconductor device and production method therefor, and plating solution |
JP2003059914A (ja) | 2001-08-21 | 2003-02-28 | Hitachi Kokusai Electric Inc | プラズマ処理装置 |
US20030038305A1 (en) | 2001-08-21 | 2003-02-27 | Wasshuber Christoph A. | Method for manufacturing and structure of transistor with low-k spacer |
US6753506B2 (en) | 2001-08-23 | 2004-06-22 | Axcelis Technologies | System and method of fast ambient switching for rapid thermal processing |
US6762127B2 (en) | 2001-08-23 | 2004-07-13 | Yves Pierre Boiteux | Etch process for dielectric materials comprising oxidized organo silane materials |
WO2003018867A1 (en) | 2001-08-29 | 2003-03-06 | Applied Materials, Inc. | Semiconductor processing using an efficiently coupled gas source |
US6796314B1 (en) | 2001-09-07 | 2004-09-28 | Novellus Systems, Inc. | Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process |
US20030054608A1 (en) * | 2001-09-17 | 2003-03-20 | Vanguard International Semiconductor Corporation | Method for forming shallow trench isolation in semiconductor device |
US6656837B2 (en) | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
AU2002301252B2 (en) | 2001-10-12 | 2007-12-20 | Bayer Aktiengesellschaft | Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production |
US20030072639A1 (en) | 2001-10-17 | 2003-04-17 | Applied Materials, Inc. | Substrate support |
JP3759895B2 (ja) | 2001-10-24 | 2006-03-29 | 松下電器産業株式会社 | エッチング方法 |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
KR100443121B1 (ko) | 2001-11-29 | 2004-08-04 | 삼성전자주식회사 | 반도체 공정의 수행 방법 및 반도체 공정 장치 |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
US6905968B2 (en) | 2001-12-12 | 2005-06-14 | Applied Materials, Inc. | Process for selectively etching dielectric layers |
CN1605117B (zh) | 2001-12-13 | 2010-05-12 | 应用材料股份有限公司 | 具有对氮化物肩部高度敏感性的自对准接触蚀刻 |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6605874B2 (en) | 2001-12-19 | 2003-08-12 | Intel Corporation | Method of making semiconductor device using an interconnect |
US20030116439A1 (en) | 2001-12-21 | 2003-06-26 | International Business Machines Corporation | Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
KR100484258B1 (ko) | 2001-12-27 | 2005-04-22 | 주식회사 하이닉스반도체 | 반도체 소자 제조 방법 |
US20030124842A1 (en) | 2001-12-27 | 2003-07-03 | Applied Materials, Inc. | Dual-gas delivery system for chemical vapor deposition processes |
US6677247B2 (en) | 2002-01-07 | 2004-01-13 | Applied Materials Inc. | Method of increasing the etch selectivity of a contact sidewall to a preclean etchant |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
JP2003217898A (ja) | 2002-01-16 | 2003-07-31 | Sekisui Chem Co Ltd | 放電プラズマ処理装置 |
US6869880B2 (en) | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US7138014B2 (en) | 2002-01-28 | 2006-11-21 | Applied Materials, Inc. | Electroless deposition apparatus |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US7256370B2 (en) | 2002-03-15 | 2007-08-14 | Steed Technology, Inc. | Vacuum thermal annealer |
US6913651B2 (en) | 2002-03-22 | 2005-07-05 | Blue29, Llc | Apparatus and method for electroless deposition of materials on semiconductor substrates |
US6541397B1 (en) | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
US20030190426A1 (en) | 2002-04-03 | 2003-10-09 | Deenesh Padhi | Electroless deposition method |
US6921556B2 (en) | 2002-04-12 | 2005-07-26 | Asm Japan K.K. | Method of film deposition using single-wafer-processing type CVD |
US6616967B1 (en) | 2002-04-15 | 2003-09-09 | Texas Instruments Incorporated | Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process |
US7013834B2 (en) | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
KR100448714B1 (ko) | 2002-04-24 | 2004-09-13 | 삼성전자주식회사 | 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 |
US6528409B1 (en) | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6908862B2 (en) | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
JP2003347278A (ja) | 2002-05-23 | 2003-12-05 | Hitachi Kokusai Electric Inc | 基板処理装置、及び半導体装置の製造方法 |
US6500728B1 (en) | 2002-05-24 | 2002-12-31 | Taiwan Semiconductor Manufacturing Company | Shallow trench isolation (STI) module to improve contact etch process window |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
KR100434110B1 (ko) | 2002-06-04 | 2004-06-04 | 삼성전자주식회사 | 반도체 장치의 제조방법 |
US6924191B2 (en) | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
US20040072446A1 (en) | 2002-07-02 | 2004-04-15 | Applied Materials, Inc. | Method for fabricating an ultra shallow junction of a field effect transistor |
US6767844B2 (en) | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
US20040033677A1 (en) | 2002-08-14 | 2004-02-19 | Reza Arghavani | Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier |
US7223701B2 (en) | 2002-09-06 | 2007-05-29 | Intel Corporation | In-situ sequential high density plasma deposition and etch processing for gap fill |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
KR100500852B1 (ko) | 2002-10-10 | 2005-07-12 | 최대규 | 원격 플라즈마 발생기 |
US6991959B2 (en) | 2002-10-10 | 2006-01-31 | Asm Japan K.K. | Method of manufacturing silicon carbide film |
JP4606713B2 (ja) | 2002-10-17 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US6699380B1 (en) | 2002-10-18 | 2004-03-02 | Applied Materials Inc. | Modular electrochemical processing system |
US6802944B2 (en) | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US7628897B2 (en) | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6713873B1 (en) | 2002-11-27 | 2004-03-30 | Intel Corporation | Adhesion between dielectric materials |
KR100898580B1 (ko) | 2002-12-07 | 2009-05-20 | 주식회사 하이닉스반도체 | 반도체 소자의 소자분리막 형성방법 |
US6858532B2 (en) | 2002-12-10 | 2005-02-22 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
JP3838969B2 (ja) | 2002-12-17 | 2006-10-25 | 沖電気工業株式会社 | ドライエッチング方法 |
US6720213B1 (en) | 2003-01-15 | 2004-04-13 | International Business Machines Corporation | Low-K gate spacers by fluorine implantation |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
CN101457338B (zh) | 2003-02-14 | 2011-04-27 | 应用材料股份有限公司 | 利用含氢自由基清洁自生氧化物的方法和设备 |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
US20040182315A1 (en) | 2003-03-17 | 2004-09-23 | Tokyo Electron Limited | Reduced maintenance chemical oxide removal (COR) processing system |
US6951821B2 (en) | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US7126225B2 (en) | 2003-04-15 | 2006-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling |
US6942753B2 (en) | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US20040211357A1 (en) | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US6903511B2 (en) | 2003-05-06 | 2005-06-07 | Zond, Inc. | Generation of uniformly-distributed plasma |
KR20040096365A (ko) | 2003-05-09 | 2004-11-16 | 주식회사 하이닉스반도체 | 반도체소자의 제조방법 |
US7081414B2 (en) | 2003-05-23 | 2006-07-25 | Applied Materials, Inc. | Deposition-selective etch-deposition process for dielectric film gapfill |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US7151277B2 (en) | 2003-07-03 | 2006-12-19 | The Regents Of The University Of California | Selective etching of silicon carbide films |
JP2005033023A (ja) | 2003-07-07 | 2005-02-03 | Sony Corp | 半導体装置の製造方法および半導体装置 |
JP4245996B2 (ja) | 2003-07-07 | 2009-04-02 | 株式会社荏原製作所 | 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置 |
US7368392B2 (en) | 2003-07-10 | 2008-05-06 | Applied Materials, Inc. | Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode |
JP3866694B2 (ja) | 2003-07-30 | 2007-01-10 | 株式会社日立ハイテクノロジーズ | Lsiデバイスのエッチング方法および装置 |
US7256134B2 (en) | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
US20050035455A1 (en) | 2003-08-14 | 2005-02-17 | Chenming Hu | Device with low-k dielectric in close proximity thereto and its method of fabrication |
US7078312B1 (en) | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US6903031B2 (en) | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US7030034B2 (en) | 2003-09-18 | 2006-04-18 | Micron Technology, Inc. | Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US7371688B2 (en) | 2003-09-30 | 2008-05-13 | Air Products And Chemicals, Inc. | Removal of transition metal ternary and/or quaternary barrier materials from a substrate |
JP4644676B2 (ja) | 2003-10-06 | 2011-03-02 | アプライド マテリアルズ インコーポレイテッド | フェイスアップウェット処理用のウェーハ温度均一性を改善する装置 |
US7581511B2 (en) | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US7465358B2 (en) | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
US20070111519A1 (en) | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
JP2005129688A (ja) | 2003-10-23 | 2005-05-19 | Hitachi Ltd | 半導体装置の製造方法 |
KR100561848B1 (ko) | 2003-11-04 | 2006-03-16 | 삼성전자주식회사 | 헬리컬 공진기형 플라즈마 처리 장치 |
US7709392B2 (en) | 2003-11-05 | 2010-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low K dielectric surface damage control |
KR100550808B1 (ko) | 2003-11-17 | 2006-02-09 | 주식회사 에스테크 | 전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법 |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7202172B2 (en) | 2003-12-05 | 2007-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Microelectronic device having disposable spacer |
US7081407B2 (en) | 2003-12-16 | 2006-07-25 | Lam Research Corporation | Method of preventing damage to porous low-k materials during resist stripping |
US6958286B2 (en) | 2004-01-02 | 2005-10-25 | International Business Machines Corporation | Method of preventing surface roughening during hydrogen prebake of SiGe substrates |
US6893967B1 (en) | 2004-01-13 | 2005-05-17 | Advanced Micro Devices, Inc. | L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials |
US20060033678A1 (en) | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
US7291550B2 (en) | 2004-02-13 | 2007-11-06 | Chartered Semiconductor Manufacturing Ltd. | Method to form a contact hole |
JP4698251B2 (ja) | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7780793B2 (en) | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20070123051A1 (en) | 2004-02-26 | 2007-05-31 | Reza Arghavani | Oxide etch with nh4-nf3 chemistry |
US20060051966A1 (en) | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
JP4879159B2 (ja) | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7196342B2 (en) | 2004-03-10 | 2007-03-27 | Cymer, Inc. | Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source |
US7109521B2 (en) | 2004-03-18 | 2006-09-19 | Cree, Inc. | Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls |
US7582555B1 (en) | 2005-12-29 | 2009-09-01 | Novellus Systems, Inc. | CVD flowable gap fill |
US7785672B2 (en) | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7115974B2 (en) | 2004-04-27 | 2006-10-03 | Taiwan Semiconductor Manfacturing Company, Ltd. | Silicon oxycarbide and silicon carbonitride based materials for MOS devices |
WO2005112092A2 (en) | 2004-05-11 | 2005-11-24 | Applied Materials, Inc. | CARBON-DOPED-Si OXIDE ETCH USING H2 ADDITIVE IN FLUOROCARBON ETCH CHEMISTRY |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US7691686B2 (en) | 2004-05-21 | 2010-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US7049200B2 (en) | 2004-05-25 | 2006-05-23 | Applied Materials Inc. | Method for forming a low thermal budget spacer |
US7122949B2 (en) | 2004-06-21 | 2006-10-17 | Neocera, Inc. | Cylindrical electron beam generating/triggering device and method for generation of electrons |
US20060000802A1 (en) | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
JP2006049817A (ja) | 2004-07-07 | 2006-02-16 | Showa Denko Kk | プラズマ処理方法およびプラズマエッチング方法 |
US7217626B2 (en) | 2004-07-26 | 2007-05-15 | Texas Instruments Incorporated | Transistor fabrication methods using dual sidewall spacers |
US7192863B2 (en) | 2004-07-30 | 2007-03-20 | Texas Instruments Incorporated | Method of eliminating etch ridges in a dual damascene process |
US20060024954A1 (en) | 2004-08-02 | 2006-02-02 | Zhen-Cheng Wu | Copper damascene barrier and capping layer |
US7390710B2 (en) | 2004-09-02 | 2008-06-24 | Micron Technology, Inc. | Protection of tunnel dielectric using epitaxial silicon |
US7329576B2 (en) | 2004-09-02 | 2008-02-12 | Micron Technology, Inc. | Double-sided container capacitors using a sacrificial layer |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US20060093756A1 (en) | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
US7618515B2 (en) | 2004-11-15 | 2009-11-17 | Tokyo Electron Limited | Focus ring, plasma etching apparatus and plasma etching method |
US20060130971A1 (en) | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
KR20070087196A (ko) | 2004-12-21 | 2007-08-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법 |
US20060148243A1 (en) | 2004-12-30 | 2006-07-06 | Jeng-Ho Wang | Method for fabricating a dual damascene and polymer removal |
US7253123B2 (en) | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US7829243B2 (en) | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
JP4475136B2 (ja) | 2005-02-18 | 2010-06-09 | 東京エレクトロン株式会社 | 処理システム、前処理装置及び記憶媒体 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7253118B2 (en) | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
WO2006102180A2 (en) | 2005-03-18 | 2006-09-28 | Applied Materials, Inc. | Contact metallization methods and processes |
KR100681390B1 (ko) | 2005-03-18 | 2007-02-09 | (주)한빛레이저 | 레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법 |
WO2006102318A2 (en) | 2005-03-18 | 2006-09-28 | Applied Materials, Inc. | Electroless deposition process on a contact containing silicon or silicide |
US7442274B2 (en) | 2005-03-28 | 2008-10-28 | Tokyo Electron Limited | Plasma etching method and apparatus therefor |
US7611944B2 (en) | 2005-03-28 | 2009-11-03 | Micron Technology, Inc. | Integrated circuit fabrication |
KR100689826B1 (ko) | 2005-03-29 | 2007-03-08 | 삼성전자주식회사 | 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들 |
US7288482B2 (en) | 2005-05-04 | 2007-10-30 | International Business Machines Corporation | Silicon nitride etching methods |
KR100745067B1 (ko) | 2005-05-18 | 2007-08-01 | 주식회사 하이닉스반도체 | 반도체 소자의 트렌치 소자분리막 및 그 형성방법 |
US20070071888A1 (en) | 2005-09-21 | 2007-03-29 | Arulkumar Shanmugasundram | Method and apparatus for forming device features in an integrated electroless deposition system |
KR100703014B1 (ko) | 2005-10-26 | 2007-04-06 | 삼성전자주식회사 | 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법 |
EP1780779A3 (en) | 2005-10-28 | 2008-06-11 | Interuniversitair Microelektronica Centrum ( Imec) | A plasma for patterning advanced gate stacks |
US20070099806A1 (en) | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
US7884032B2 (en) | 2005-10-28 | 2011-02-08 | Applied Materials, Inc. | Thin film deposition |
US7696101B2 (en) | 2005-11-01 | 2010-04-13 | Micron Technology, Inc. | Process for increasing feature density during the manufacture of a semiconductor device |
US20070107750A1 (en) | 2005-11-14 | 2007-05-17 | Sawin Herbert H | Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers |
US20070117396A1 (en) | 2005-11-22 | 2007-05-24 | Dingjun Wu | Selective etching of titanium nitride with xenon difluoride |
US7405160B2 (en) | 2005-12-13 | 2008-07-29 | Tokyo Electron Limited | Method of making semiconductor device |
JP2007173383A (ja) | 2005-12-20 | 2007-07-05 | Sharp Corp | トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法 |
US7494545B2 (en) | 2006-02-03 | 2009-02-24 | Applied Materials, Inc. | Epitaxial deposition process and apparatus |
US7780865B2 (en) | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
JP5042517B2 (ja) | 2006-04-10 | 2012-10-03 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2007311540A (ja) | 2006-05-18 | 2007-11-29 | Renesas Technology Corp | 半導体装置の製造方法 |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US20080124937A1 (en) | 2006-08-16 | 2008-05-29 | Songlin Xu | Selective etching method and apparatus |
KR100818708B1 (ko) | 2006-08-18 | 2008-04-01 | 주식회사 하이닉스반도체 | 표면 세정을 포함하는 반도체소자 제조방법 |
US8110787B1 (en) | 2006-08-23 | 2012-02-07 | ON Semiconductor Trading, Ltd | Image sensor with a reflective waveguide |
CN101153396B (zh) | 2006-09-30 | 2010-06-09 | 中芯国际集成电路制造(上海)有限公司 | 等离子刻蚀方法 |
JP2008103645A (ja) | 2006-10-20 | 2008-05-01 | Toshiba Corp | 半導体装置の製造方法 |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7700479B2 (en) | 2006-11-06 | 2010-04-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cleaning processes in the formation of integrated circuit interconnect structures |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
TWM318795U (en) | 2006-12-18 | 2007-09-11 | Lighthouse Technology Co Ltd | Package structure |
US20100059889A1 (en) | 2006-12-20 | 2010-03-11 | Nxp, B.V. | Adhesion of diffusion barrier on copper-containing interconnect element |
US7808053B2 (en) | 2006-12-29 | 2010-10-05 | Intel Corporation | Method, apparatus, and system for flash memory |
KR20080063988A (ko) | 2007-01-03 | 2008-07-08 | 삼성전자주식회사 | 중성빔을 이용한 식각장치 |
KR100853485B1 (ko) | 2007-03-19 | 2008-08-21 | 주식회사 하이닉스반도체 | 리세스 게이트를 갖는 반도체 소자의 제조 방법 |
US20080233709A1 (en) | 2007-03-22 | 2008-09-25 | Infineon Technologies North America Corp. | Method for removing material from a semiconductor |
US7815814B2 (en) | 2007-03-23 | 2010-10-19 | Tokyo Electron Limited | Method and system for dry etching a metal nitride |
JP5135879B2 (ja) | 2007-05-21 | 2013-02-06 | 富士電機株式会社 | 炭化珪素半導体装置の製造方法 |
US8084105B2 (en) | 2007-05-23 | 2011-12-27 | Applied Materials, Inc. | Method of depositing boron nitride and boron nitride-derived materials |
US7807578B2 (en) | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
KR100877107B1 (ko) | 2007-06-28 | 2009-01-07 | 주식회사 하이닉스반도체 | 반도체 소자의 층간절연막 형성방법 |
KR101050454B1 (ko) | 2007-07-02 | 2011-07-19 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 및 그 형성방법 |
US8021514B2 (en) | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
US8008166B2 (en) | 2007-07-26 | 2011-08-30 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
US8252696B2 (en) | 2007-10-22 | 2012-08-28 | Applied Materials, Inc. | Selective etching of silicon nitride |
US7871926B2 (en) | 2007-10-22 | 2011-01-18 | Applied Materials, Inc. | Methods and systems for forming at least one dielectric layer |
EP2058836A1 (en) | 2007-11-07 | 2009-05-13 | Applied Materials, Inc. | Sputter coating device and coating method |
US20110232737A1 (en) | 2007-12-04 | 2011-09-29 | Parabel Ag | Multilayer solar element |
US8187486B1 (en) | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
JP2009170890A (ja) | 2007-12-18 | 2009-07-30 | Takashima & Co Ltd | 可撓性膜状太陽電池複層体 |
TW200933812A (en) | 2008-01-30 | 2009-08-01 | Promos Technologies Inc | Process for forming trench isolation structure and semiconductor device produced thereby |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US20090275206A1 (en) | 2008-05-05 | 2009-11-05 | Applied Materials, Inc. | Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
KR20100013980A (ko) | 2008-08-01 | 2010-02-10 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 형성 방법 |
US7709396B2 (en) | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
US7968441B2 (en) | 2008-10-08 | 2011-06-28 | Applied Materials, Inc. | Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage |
US7910491B2 (en) * | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US8563090B2 (en) | 2008-10-16 | 2013-10-22 | Applied Materials, Inc. | Boron film interface engineering |
US20100099263A1 (en) | 2008-10-20 | 2010-04-22 | Applied Materials, Inc. | Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8058179B1 (en) | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
KR20100074508A (ko) | 2008-12-24 | 2010-07-02 | 주식회사 동부하이텍 | 반도체 소자의 제조 방법 |
JP2010154699A (ja) | 2008-12-26 | 2010-07-08 | Hitachi Ltd | 磁束可変型回転電機 |
KR20100087915A (ko) | 2009-01-29 | 2010-08-06 | 삼성전자주식회사 | 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법 |
CN102365906B (zh) | 2009-02-13 | 2016-02-03 | 应用材料公司 | 用于等离子体腔室电极的rf总线与rf回流总线 |
US8193075B2 (en) | 2009-04-20 | 2012-06-05 | Applied Materials, Inc. | Remote hydrogen plasma with ion filter for terminating silicon dangling bonds |
US8492292B2 (en) | 2009-06-29 | 2013-07-23 | Applied Materials, Inc. | Methods of forming oxide layers on substrates |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US7935643B2 (en) | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8211808B2 (en) | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
US8329587B2 (en) | 2009-10-05 | 2012-12-11 | Applied Materials, Inc. | Post-planarization densification |
WO2011072143A2 (en) | 2009-12-09 | 2011-06-16 | Novellus Systems, Inc. | Novel gap fill integration |
US8202803B2 (en) | 2009-12-11 | 2012-06-19 | Tokyo Electron Limited | Method to remove capping layer of insulation dielectric in interconnect structures |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
JP5608384B2 (ja) | 2010-02-05 | 2014-10-15 | 東京エレクトロン株式会社 | 半導体装置の製造方法及びプラズマエッチング装置 |
US8361338B2 (en) | 2010-02-11 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hard mask removal method |
JP5450187B2 (ja) | 2010-03-16 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8435902B2 (en) | 2010-03-17 | 2013-05-07 | Applied Materials, Inc. | Invertable pattern loading with dry etch |
US8475674B2 (en) | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US20120009796A1 (en) | 2010-07-09 | 2012-01-12 | Applied Materials, Inc. | Post-ash sidewall healing |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
KR20120029291A (ko) | 2010-09-16 | 2012-03-26 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
KR20120058962A (ko) | 2010-11-30 | 2012-06-08 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
KR101529578B1 (ko) | 2011-01-14 | 2015-06-19 | 성균관대학교산학협력단 | 플라즈마 기판 처리 장치 및 방법 |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8415250B2 (en) | 2011-04-29 | 2013-04-09 | International Business Machines Corporation | Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device |
US20120285621A1 (en) | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
US9012283B2 (en) | 2011-05-16 | 2015-04-21 | International Business Machines Corporation | Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture |
US8562785B2 (en) | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US20130045605A1 (en) | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US20130284369A1 (en) | 2012-04-26 | 2013-10-31 | Applied Materials, Inc. | Two-phase operation of plasma chamber by phase locked loop |
US9161428B2 (en) | 2012-04-26 | 2015-10-13 | Applied Materials, Inc. | Independent control of RF phases of separate coils of an inductively coupled plasma reactor |
-
2012
- 2012-09-21 US US13/624,724 patent/US8927390B2/en active Active
- 2012-09-26 WO PCT/US2012/057294 patent/WO2013049173A2/en active Application Filing
- 2012-09-26 CN CN201280051888.0A patent/CN103907182B/zh not_active Expired - Fee Related
- 2012-09-26 KR KR1020147011219A patent/KR102068636B1/ko active IP Right Grant
- 2012-09-26 TW TW101135395A patent/TWI553726B/zh active
-
2014
- 2014-09-11 US US14/484,152 patent/US9012302B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020197823A1 (en) * | 2001-05-18 | 2002-12-26 | Yoo Jae-Yoon | Isolation method for semiconductor device |
US20080182382A1 (en) * | 2006-12-07 | 2008-07-31 | Applied Materials, Inc. | Methods of thin film process |
Also Published As
Publication number | Publication date |
---|---|
US9012302B2 (en) | 2015-04-21 |
WO2013049173A2 (en) | 2013-04-04 |
CN103907182A (zh) | 2014-07-02 |
TWI553726B (zh) | 2016-10-11 |
TW201320179A (zh) | 2013-05-16 |
CN103907182B (zh) | 2018-01-09 |
KR20140065478A (ko) | 2014-05-29 |
US20130260533A1 (en) | 2013-10-03 |
US20150031211A1 (en) | 2015-01-29 |
WO2013049173A3 (en) | 2013-06-13 |
US8927390B2 (en) | 2015-01-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102068636B1 (ko) | 개선된 인트렌치 프로파일 | |
US20130260564A1 (en) | Insensitive dry removal process for semiconductor integration | |
KR101161098B1 (ko) | 낮은 에칭 레이트 유전체 라이너들을 이용한 갭충진 향상 | |
US8187951B1 (en) | CVD flowable gap fill | |
KR101853802B1 (ko) | 라디칼성분 cvd에 의한 컨포멀 층들 | |
US9214377B2 (en) | Methods for silicon recess structures in a substrate by utilizing a doping layer | |
TWI400755B (zh) | 用於由下而上間隙充填的介電質沈積與回蝕處理 | |
JP2019500756A (ja) | 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 | |
TW201829820A (zh) | 塡充間隙之方法及儀器 | |
CN103415914A (zh) | 平面化后的致密化 | |
KR20120093139A (ko) | 붕소 막 인터페이스 엔지니어링 | |
KR20130130035A (ko) | Hdp-cvd에 의한 폴리실리콘 필름 | |
CN102652353A (zh) | 新颖间隙填充整合 | |
KR100558999B1 (ko) | 기판 함몰부 충진 방법 | |
JP7374308B2 (ja) | 誘電体材料を堆積する方法及び装置 | |
JP4356747B2 (ja) | 高密度プラズマ化学蒸着を用いた間隙充填方法及び材料蒸着方法 | |
KR102453724B1 (ko) | 개선된 스텝 커버리지 유전체 | |
US7491653B1 (en) | Metal-free catalysts for pulsed deposition layer process for conformal silica laminates | |
KR20210158809A (ko) | 실리콘이 구비된 층을 형성하는 방법 | |
US8193056B2 (en) | Method of manufacturing semiconductor device | |
KR20110052475A (ko) | 갭필 방법 | |
KR100534026B1 (ko) | 높은 종횡비를 갖는 갭을 채우기 위한 고밀도 플라즈마증착방법 | |
KR20100015129A (ko) | Cvd 증착 장치 및 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |