KR101191842B1 - 저간섭성 주사 간섭계에서의 주사 오차 보정 - Google Patents

저간섭성 주사 간섭계에서의 주사 오차 보정 Download PDF

Info

Publication number
KR101191842B1
KR101191842B1 KR1020107015982A KR20107015982A KR101191842B1 KR 101191842 B1 KR101191842 B1 KR 101191842B1 KR 1020107015982 A KR1020107015982 A KR 1020107015982A KR 20107015982 A KR20107015982 A KR 20107015982A KR 101191842 B1 KR101191842 B1 KR 101191842B1
Authority
KR
South Korea
Prior art keywords
interference
light
opd
detector
delete delete
Prior art date
Application number
KR1020107015982A
Other languages
English (en)
Other versions
KR20100094584A (ko
Inventor
마크 데이빗슨
얀 라이스너
그룻 피터 드
드 레가 하비에르 꼴로나
레슬리 엘. 덱
Original Assignee
지고 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지고 코포레이션 filed Critical 지고 코포레이션
Publication of KR20100094584A publication Critical patent/KR20100094584A/ko
Application granted granted Critical
Publication of KR101191842B1 publication Critical patent/KR101191842B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/2441Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02007Two or more frequencies or sources used for interferometric measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/0201Interferometers characterised by controlling or generating intrinsic radiation properties using temporal phase variation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02027Two or more interferometric channels or interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02034Interferometers characterised by particularly shaped beams or wavefronts
    • G01B9/02038Shaping the wavefront, e.g. generating a spherical wavefront
    • G01B9/02039Shaping the wavefront, e.g. generating a spherical wavefront by matching the wavefront with a particular object surface shape
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02062Active error reduction, i.e. varying with time
    • G01B9/02067Active error reduction, i.e. varying with time by electronic control systems, i.e. using feedback acting on optics or light
    • G01B9/02068Auto-alignment of optical elements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02075Reduction or prevention of errors; Testing; Calibration of particular errors
    • G01B9/02076Caused by motion
    • G01B9/02077Caused by motion of the object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/45Multiple detectors for detecting interferometer signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Optics & Photonics (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

본 발명의 장치는 검사 대상체로부터의 검사 광을 기준 광과 조합하여 검출기 상에 간섭 패턴을 형성하는 광학 기기를 구비하는 광대역 주사 간섭계 시스템을 포함한다. 본 발명의 장치는 공통의 광원으로부터 검출기까지 검사 광 및 기준 광 사이의 광로차(OPD)를 주사하도록 구성된 스테이지와, 일련의 OPD 증분(OPD increment)의 각각에 대한 간섭 패턴을 기록하기 위한 검출기를 구비하는 검출기 시스템을 포함한다. 각각의 OPD 증분의 주파수는 프레임 레이트를 규정한다. 광학 기기는 주사를 행함에 따라 OPD의 변화를 나타내는 2개 이상의 모니터 간섭 신호를 생성하도록 되어 있다. 검출기 시스템은 모니터 간섭 신호를 기록하도록 되어 있다. 본 발명의 장치는 프레임 레이트보다 큰 주파수에서 OPD 증분의 섭동에 대한 감도로 OPD 증분에 관한 정보를 판정하도록 구성된 프로세서를 포함한다.

Description

저간섭성 주사 간섭계에서의 주사 오차 보정{SCAN ERROR CORRECTION IN LOW COHERENCE SCANNING INTERFEROMETRY}
본 발명은 간섭계(interferometry)에 관한 것이다.
간섭계(interferometric) 기술은 일반적으로 검사 대상체(test object)의 표면의 프로파일(profile)을 측정해서 검사 대상체 관련 정보를 취득하는 것에 사용되고 있다. 이러한 측정을 행하기 위해서, 간섭계(interferometer)는 검사 대상체의 표면으로부터 반사되는 광과, 기준 면(reference surface)으로부터 반사되는 기준 광(reference light)을 조합해서 간섭도(Interferogram)를 만든다. 이러한 간섭도에서의 간섭 무늬(fringe)는 검사 대상체의 표면과 기준 면 사이의 공간적 변화(spatial variation)를 나타낸다.
검사 대상체의 특징을 판정하기 위해 다양한 간섭계 기술이 성공적으로 사용되고 있다. 이러한 기술들로는, 저간섭성 주사(low coherence scanning) 기술과 위상 편이 간섭계(phase-shifting interferometry; 이하 간단히 'PSI'라고 한다) 기술 등이 있다.
위상 편이 간섭계(PSI) 기술에 의하면, 기준 파면(reference wavefront)과 검사 파면(test wavefront) 사이의 다중의 위상 편이의 각각에 대해 광 간섭 패턴을 기록하고, 광 간섭의 적어도 절반 사이클의 기간에 해당하는(예컨대, 보강 간섭으로부터 상쇄 간섭까지) 일련의 광 간섭 패턴을 생성한다. 광 간섭 패턴은 해당 패턴의 각각의 공간적 위치에 대한 일련의 세기 값을 규정하는데, 이러한 일련의 세기 값은 공간 위치에 대해, 조합한 검사 및 기준 파면들 간의 위상 차와 동일한 위상 오프셋(phase-offset)을 가진 위상 편이(phase shift)에 대한 정현파 의존성(sinusoidal dependence)을 갖는다. 수치적 기술을 사용해서, 각각의 공간 위치에 대한 위상 오프셋을, 세기 값의 정현파 의존 특성으로부터 추출해서, 기준 면에 대한 검사 면의 프로파일을 제공한다. 이러한 수치 기술을 일반적으로 위상 편이 알고리즘(phase-shifting algorithm)이라고 부른다.
기준 면으로부터 간섭계까지의 광로(optical path)의 길이에 대한, 측정 면(measurement surface)으로부터 간섭계까지의 광로의 길이를 변경함으로써, PSI에서의 위상 편이를 생성할 수 있다. 예를 들어, 기준 면을 측정 면에 대하여 상대적으로 이동시킬 수 있다. 이와 달리, 측정 광과 기준 광의 파장을 변경함으로써, 일정한 비제로(non-zero) 광로차에 대한 위상 편이를 만들 수 있다. 이러한 응용 기술은 파장 가변(wavelength tuning) PSI라고 알려져 있으며, G.E. Sommargren에게 허여된 미국 특허 4,594,000호에 개시되어 있다.
한편, 저간섭성 주사 간섭법(low coherence scanning interferometry)은, 간섭계의 기준 레그(reference leg)와 측정 레그(measurement leg) 간의 광로 길이 차(OPD: optical path length difference: 이하 간단히 "광로차" 또는 "OPD"라 함)를, 간섭하는 검사 및 기준 광의 간섭성 길이(coherence length)에 필적할만한 범위 또는 이보다 큰 범위에 걸쳐 주사하여, 간섭도를 측정하는데에 사용되는 각 카메라 픽셀에 대한 주사 간섭계 신호를 생성한다[예를 들어, 간섭 무늬가 발생하는 적어도 몇몇의 간섭성 포락선(coherence envelope)에 변조가 존재한다]. 광의 간섭성 길이는 PSI에 대해 널리 사용되며 측정 시에 주사되는 OPD의 범위에 대한 광의 간섭성 길이에 비해 짧다. 저간섭성 길이는, 예를 들어 백색광 주사 간섭계(SWLI: scanning white light interferometry)라고 부르는 백색 광원을 사용해서 생성될 수 있다. 통상적인 주사용의 백색광 간섭계(SWLI) 신호는 제로 OPD 위치 부근에서 국한(localize)된 몇몇 간섭 무늬(fringe)이다. 이 신호는 종 모양의(bell-shaped) 간섭 무늬 콘트라스트 포락선을 가진 정현파 반송파 변조("간섭 무늬")의 특징이 있다. 저간섭성 간섭계 방식의 기초를 이루는 통상적인 발상은 간섭 무늬의 국한(localization)을 사용해서 표면 프로파일을 측정하는 것이다.
저간섭성 간섭계 처리 기술에는 2가지 원리가 있다. 첫 번째 방식은 포락선의 정점 또는 중심을 잡고, 이 위치를 하나의 빔이 검사 대상체의 표면으로부터 반사되는, 2-빔 간섭계의 제로 OPD에 대응하는 것으로 가정하는 것이다. 두 번째 방식은 신호를 주파수 영역으로 변환하고 위상 변화율을 파장으로 계산하는 것으로서, 실질적으로 직선형인 기울기가 검사 대상체의 위치에 직접 비례하는 것으로 가정하는 것이다. 예를 들어, Peter de Groot에게 허여된 미국특허 5,398,113호를 참조하라. 상기 두 번째 방식을 주파수 영역 분석(FDA: Frequency Domain Analysis)이라고 부른다.
저간섭성 주사 간섭법은, 박막, 이종 재료의 이산 구조, 또는 간섭 현미경의 광 해상도에 의한 분해능이 부족한 이산 구조 등과 같은 복잡한 표면 구조를 갖는 검사 대상체의 표면 기하학적 형태 및/또는 기타 특징을 측정하는 데에 사용될 수 있다. 이러한 측정 방법은 평판 디스플레이 요소의 특징, 반도체 웨이퍼 방식, 현장 박막(in-situ thin film) 및 이종 재료 분석과 관련되어 있다. 예를 들어, "PROFILING COMPLEX SURFACE STRUCTURES USING SCANNING INTERFEROMETRY"란 명칭으로, 2004년 9월 30일 공개되었으며, Peter de Groot 등이 양수한 미국 특허출원 공개번호 US2004-0189999호와, "INTERFEROMETRY METHOD FOR ELLIPSOMETRY, REFLECTOMETRY, AND SCATTEROMETRY MEASUREMENTS, INCLUDING CHARACTERIZATION OF THIN FILM STRUCTURES"란 명칭으로, 2004년 5월 6일 공개되었으며, Peter de Groot가 양수한 미국특허출원 공개번호 US2004-0085544호를 참고하고, 이들 문헌의 전체 내용을 본 명세서에서 참조에 의해 원용하는 것으로 한다.
본 발명은 간섭계 측정에서의 불확정성(uncertainty)을 감소시키기 위한 방법 및 시스템에 관한 것이다. 더 구체적으로 말하면, 본 발명의 방법 및 시스템은, 공칭의 광로차(nominal OPD)에 의해 측정이 방해를 받는 동안, 연속하는 검출기 프레임들 간에 실제로 광로차(OPD)가 증가하는 경우, 저간섭성 간섭계 측정에서 생길 수 있는 오차를 감소시키는 데에 사용될 수 있다. 이러한 오차는 진동(vibration)과 같은 것에 의해 생길 수 있으며, "주사 오차"(scan error)라고 부른다.
이러한 주사 오차 문제를 해결하기 위한 방안은, 계기의 진정한 주사 이력(scan histroy)을 특징화하거나 모니터링하고, 이러한 정보를 신호 처리해서 보정하는 것이다. 정보를 수집하는 한가지 방법은 간섭계와 함께 작용하는 레이저 변위 측정 간섭계(DMI: displacement measuring interferometer)를 사용하는 것이다. 더 일반적으로 말하면, 주사 이력은 OPD 주사 범위보다 더 긴 간섭성 길이(coherence length)를 갖는 광원을 사용하여 얻은 모니터 간섭 신호(monitor interference signal)를 사용해서 얻을 수 있다. 주사 이력에 관한 정보는 일반적인 PSI 알고리즘을 사용해서 모니터 간섭 신호로부터 얻을 수 있으며, 본 출원인들은 이러한 분석이, 간섭계에 있는 검출기의 프레임 레이트(frame rate)보다 더 높은 주파수를 갖는 진동에 기인해서 발생하는 주사 오차에 관한 정보를 포착할 수 없다는 것을 알게 되었다. 그러나, 상이한 위상을 갖는 다중의 모니터 신호를 취득한 경우에, 이러한 높은 주파수 진동에 의해 생기는 주사 오차에 관한 정보를 판정하기 위해, 모니터 신호가 사용될 수 있다.
따라서, 저간섭성 간섭 데이터를 취득하는 동안, 본 발명의 시스템은 위상 또는 간섭 주파수 오프셋의 범위를 갖는 시야각(FOV: field of view)에서 여러 개의 포인트에 걸쳐 간섭 데이터를 수집하면서, 저간섭성 간섭 데이터를 취득하기 위해 동일한 간섭계 광학기기를 사용하지만, 별도의 검출기 또는 이와 동등한 기능을 갖는 검출 수단은 단일의 파장[또는 충분히 큰 간섭성 길이를 갖는 광을 제공하는 파장 대역]에서 동작한다. 프로세서는 낮은 진동 주파수와 높은 진동 주파수를 모두 포함하는 진동 주파수 범위에 걸친 진동을 포함해서, 모니터 간섭 데이터로부터 주사 움직임(scan-motion) 이력을 판정한다. 이 정보는, 나중에 추가의 처리를 행하기 전에, 광대역의 간섭 데이터를 보정하는 데에 사용된다.
일반적으로, 본 발명의 방법 및 시스템은 검사 대상체를 검출기 상에 결상하도록 구성된 간섭 현미경(종래의 결상 방법)이나, 검출기 상의 위치가 검사 대상체 상에 조사 광의 특정의 입사각에 대응하도록 구성된[예를 들어, 검출기 상에 현미경의 동공면을 결상함으로써] 간섭 현미경에 적용될 수 있다. 후자의 구성을 동공면(Pupil-Plane) SWLI(이하, 간단히 "PUPS"라고 함)이라 한다. 통상적인 결상 시스템은, 예를 들어 검사 대상체의 표면 특성(surface feature)의 3D 프로파일을 제공한다. 한편, PUPS는 다층 박막의 두께 및 굴절률 분석을 포함하여, 표면의 작은 부위에 해당하는 상세한 구조 정보와, 측정 영역 내의 광학적으로 분해되지 않는 특성 부분의 치수를 제공한다. 이들 2가지 측정 방식은, 표면 이미지 또는 동공면 이미지를 커버하는 시야각(FOV)에 해당하는 데이터를 수집하기 위해 비디오 카메라와 같은 다중 요소 검출기를 사용하는 것이 일반적이다.
종래의 결상법 및 PUPS에서는, 10분의 1초 내지 수초의 시간 척도에 걸쳐 취득되는 것이 일반적이며, 위 2가지 방식은 시스템 노이즈의 증가로 인해 주사 오차가 생기는, 데이터 취득 시간 동안 발생하는 기계적인 장애에 민감하다.
PUPS를 사용해서 광학적으로 분해되지 않는 특성의 측정에서, 시스템의 차원적 해상도(dimensional resolution)는, 진동의 복소 함수(complex function) 및 주사 오차에 해당하는, 간섭적으로 유도된 스펙트럼 진폭에서의 노이즈에 반비례한다. 진동 및 주사에 기인한 노이즈를 감소시킴으로써, PUPS 도구의 해상도가 실질적으로 향상될 수 있으며, 이에 따라 PUPS 시스템은, 예를 들어 특성 부분의 크기가 감소함에 따라 반도체 처리 계측을 유지하게 될 것이다.
저간섭성 측정법은 유의(significant) 진동 노이즈를 생기게 하는, 제어가 불량한 환경에서의 생산 과정에서 점점 더 많이 사용되고 있다. 이러한 상황에서 진보된 광학적 3D 방식을 사용하고자 한다면, 본 발명의 방법 및 시스템에서 제시하는 것과 같은 진동 해결법이 매우 바람직하다.
다른 관점에서 보면, 본 발명은 주사 오차에 관한 정보를 취득한 후 저간섭성 간섭 데이터를 보정하는 방법에 특징이 있다. 상기 설명한 기술을 사용해서 주사 오차 정보를 취득할 수 있지만, 다른 구현 방법도 가능하다. 예를 들어, 주사 오차에 관한 정보는, 가속계, 터치 프로브, 용량성 게이지, 공기 게이지, 광학적 부호화기, 및/또는 저간섭성 간섭 데이터의 해석에 기초한 기술을 사용하는 것과 같은 다양한 방식으로 취득될 수 있다.
통상적으로, 정보를 일단 취득했으면, 이 정보는 섭동되지 않은 시스템의 데이터와 가능한 가까운 데이터를 생기게 하는 데이터 처리에 사용된다. 일반적으로, 주사 오차에 관한 정보는 주사 간섭계 측정의 정확성을 향상시키는 다양한 방식에 사용될 수 있다.
일부 실시예에서, 데이터 처리에는, 주사 움직임 정보(scan-motion information)를 사용하는 스펙트럼 분석 방법을 포함하며, 데이터 처리 과정 중의 일부분에서 통상적인 이산 퓨리에 알고리즘을 대체한다. 그러나 스펙트럼 분석 방법은 균일하지 않은 구간에서 취한 어떠한 데이터에도 일반적으로 적용될 수 있으므로, 특정 타입의 애플리케이션에 한정되지 않는다.
일실시예에서, 알고리즘은 소정의 균일하지 않은 증분(uneven increments)에서 샘플링된 상이한 주파수에서 순수한 진동 신호(pure oscillatory signal)에 대응하는 일련의 기본적인 함수를 생성함으로써 시작한다. 이들 기본적인 함수는 왜곡된 파형으로서 나타난다. 다음에, 관심 신호는 선형 방정식 체계를 풀어서 기본적인 함수로 분해되고, 관심 신호의 주파수 성분을 남기는데, 균일하게 샘플링된 데이터 세트의 경우에서 정규의 이산 퓨리에 변환(DFT)에 의해 산출되는 것과 유사하다.
선형의 방정식 체계의 해를 구하는 것은 행렬 반전에 의해 계산적으로 이루어질 수 있으며, 행렬의 열은 기본적인 함수이다. 반전된 행렬은 스펙트럼 분석된 데이터를 포함하는 벡터가 승산된다.
종래의 결상을 사용하여 취득한 저간섭성 신호를 분석하는 것과 관련해서, 동일하게 반전된 행렬이 모든 픽셀에 대해 사용될 수 있다. 따라서, 스펙트럼 분석은 벡터를 가진 행렬의 P 배수와 하나의 행렬 반전으로 감소된다. P는 픽셀의 개수이다. 계산 비용과 관련해서, 이것은 DFT에 대해 최적화된 알고리즘이 존재하기 때문에 정규의 DFT를 수행하는 것만큼 빠르지는 않다.
이와 달리, 본 방법이 사용될 수 있는 경우는, 간섭계의 시야각의 상이한 위치에서 기록된 신호가 상이한(그러나 알지 못하는) 샘플링 증분을 가질 때이다. 예를 들어, 증분 분포(increment distribution)는 간섭계 캐비티의 경사 및 피스톤 섭동(piston perturbation)의 조합으로 설명되는 일부의 경우에서 있을 수 있다.
거의 변경 없이, 본 방법은 광원(예를 들어, 현미경에서의 광원)의 세기 변동(intensity fluctuation)의 효과를 보상할 수도 있다. 기본적인 함수는 주지의 샘플링 위치에서 샘플링된 순수한 진동 신호이며, 각각의 값은 독립적인 측정으로부터 알려지게 되어 있는 대응하는 광원 세기에 비례하는 인자로 승산된다.
일부 실시예에서, 주사 오차(scan error)에 관한 정보는 복합적인 기준을 사용해서 판정된다. 복합적인 기준은 적어도 2개의 기준 계면(reference interface)을 갖는 기준 대상이다. 기준 계면은, 예를 들어 광학 소자의 표면, 2개의 광학 소자 사이의 계면, 광학 소자와 코팅층 사이의 계면, 또는 광학 소자의 2개의 코팅층 사이의 계면이 될 수 있다. 1차 기준 계면은 통상적인 기준 계면으로서 작용하고, 표면 높이나 다른 특징에 대한 대상 표면을 검사하기 위한 간섭계 시스템에서의 기준 광을 제공한다. 일반적으로, 1차 기준 계면에 의해 생성된 간섭 무늬는 1차 카메라 또는 다른 타입의 결상 장치로 볼 수 있으며, 컴퓨터 또는 다른 데이터 취득 및 처리 장치에 접속된다.
2차 기준 계면은 간섭 현미경의 OPD를 주사하면서 간섭 현미경에 대한 검사 대상체의 변위를 모니터링할 수 있도록 하는 정보를 제공하도록 되어 있다. 일반적으로, 2차 기준 계면은 1차 기준 계면에 기계적으로 고정된다. 다시 말해서, 2차 기준 계면의 상대적인 위치 및 배향은 데이터 취득 동안 1차 기준 계면에 대하여 일정하게 유지된다. 1차 기준 및 2차 기준 계면의 효과는 시스템의 시야각에서 적어도 위상이 변화하는 필드 종속성 복합 효과 반사성(field-dependent complex effective reflectivity)을 제공하는 것이다. 일반적으로, 유효한 반사성은 간섭 이미지에 대한 전체적인 또는 낮은 공간 주파수 위상 오프셋의 판정을 가능하게 하도록 구성된다.
일부 실시예에서, 복합적인 기준의 2차 기준 계면의 간섭 효과는 2차 카메라('모니터 카메라'라고도 함)로는 잘 보이지만, 1차 카메라로는 보이지 않는데, 1차 카메라는 1차 기준 계면 반사와, 예를 들어 대상체 표면 반사 사이의 계면만을 보기 때문이다.
일부 실시예에서, 1차 기준 계면과 2차 기준 계면은, 상대적인 기울기를 가지기 때문에, 경사 방향에서 급격하게 변화하는 위상을 가진 유효한 반사성을 갖게 된다.
일반적으로, 예를 들어 모니터 카메라로 보이는 것과 같은, 2차 기준 계면에만 기초한 간섭 효과의 분석은 1차 카메라로 보는 것과 같은 1차 기준 계면에만 기초한 간섭 효과의 해석을 용이하게 하는 정보를 제공한다.
1차 기준 계면과 2차 기준 계면에 기초한 간섭 효과를 구분하기 위하여, 모니터 카메라는 1차 카메라와는 다른 광원 스펙트럼으로 동작할 수 있다. 예를 들어, 모니터 카메라는 스펙트럼에서 협대역의 광(예를 들어, 단색 광)만을 볼 수 있으며, 1차 카메라는 스펙트럼의 광대역 광을 볼 수 있다. 이와 달리 또는 이에 추가로, 모니터 카메라는 1차 카메라에 도달하는 광과는 다른 파장의 광을 볼 수 있다.
이에 추가로 또는 이와 달리, 2차 기준 계면은 모니터 카메라에 의해서만 검출되는 반사가 분리될 수 있는, 1차 기준 계면에 대해 충분히 큰 각도 또는 다른 형상적인 특성이 되도록 조절될 수 있다. 예를 들어, 2차 기준 계면으로부터 반사된 광은 1차 카메라에 의해 차단되는 경로를 따라 전파될 수 있다.
일부 실시예에서, 주사 오차에 관한 정보가 광섬유 베이스(fiber-based) DMI를 사용해서 취득될 수 있다. 광섬유 베이스 DMI는 시판되는 컴포넌트(예를 들어, 전기통신 컴포넌트)으로 형성된 단순하고 컴팩트한 센서를 포함할 수 있다. 일반적으로, 광섬유 베이스 센서 시스템은, 간섭계 시스템으로부터 독립적으로 동작하도록 구성되거나, 시스템을 제어하기 위한 일반적인 프로세서를 사용해서 동기화되도록 구성될 수 있다. 각각의 센서는, 예를 들어 공통의 소스 및 공통의 기준 캐비티를 사용해서 다중화될 수 있다. 센서 시스템의 예에는, 조명(illumination), 헤테로다이닝(heterodyning), 광 분산, 광 검출, 및 위상 추출을 제공하는 컴포넌트가 포함될 수 있다. 일부 실시예에서, 센서 시스템의 센서는 간섭계 시스템의 여러 부분에 부착되어, 측정 공정을 위해 수행되는 다양한 주사 움직임(자유도)을 모니터링한다. 광섬유 베이스 DMI로부터의 정보는, 예를 들어 간섭 현미경에서 간섭계 시스템을 자동 초점을 행하는 데에 사용될 수 있다.
본 발명의 여러 특징을 다음과 같이 요약한다.
일반적으로, 하나의 관점에서, 본 발명은 검사 대상체로부터의 검사 광과 기준 대상체로부터의 기준 광을 조합해서 검출기 상에 간섭 패턴을 형성하기 위한 간섭계 광학 기기를 구비하는 광대역 주사 간섭계 시스템을 포함하는 장치를 제공한다. 검사 광과 기준 광은 공통의 광원으로부터 유도된다. 간섭계 시스템은 공통의 광원으로부터 검출기까지 검사 광 및 기준 광 사이의 광로차(OPD: optical path difference)를 주사하도록 구성된 주사 스테이지와, 일련의 OPD 증분(OPD increment)의 각각에 대한 간섭 패턴을 기록하기 위한 검출기를 구비하는 검출기 시스템을 더 포함한다. 각각의 OPD 증분의 주파수는 프레임 레이트(frame rate)를 규정한다. 간섭계 광학 기기는 주사를 행함에 따라 OPD의 변화를 나타내는 2개 이상의 모니터 간섭 신호를 생성하도록 되어 있다. 검출기 시스템은 모니터 간섭 신호를 기록하도록 되어 있다. 본 발명의 장치는 검출기 시스템과 주사 스테이지에 전자적으로 연결되며, 프레임 레이트보다 큰 주파수에서 OPD 증분의 섭동에 대한 감도로 OPD 증분에 관한 정보를 판정하도록 구성된 전자 프로세서를 포함한다.
본 발명의 실시예는 다음에 설명하는 하나 이상의 특징 및/또는 다른 특징을 포함할 수 있다. 예를 들어, 주사 스테이지는 공통의 광원의 간섭성 길이보다 큰 범위에서 OPD를 주사하도록 구성될 수 있다. 주사 스테이지는 검사 대상체에 대한 간섭계 광학 기기의 초점을 변화시킴으로써 OPD를 주사하도록 구성될 수 있다. 주사 스테이지는 검사 대상체에 대한 간섭계 광학 기기의 초점을 변화시키지 않고 OPD를 주사하도록 구성될 수도 있다. 주사 스테이지는 간섭계 광학 기기에 대한 기준 대상체의 위치를 변경함으로써 OPD를 주사할 수 있다.
일부 실시예에서, 간섭계 광학 기기는 미라우(Mirau) 대물렌즈 또는 리닉(Linnik) 대물렌즈를 포함한다. 간섭계 광학 기기는 검사 대상체를 검출기에 결상(image)하도록 구성될 수 있다.
간섭계 광학 기기는 동공면(pupil plane)을 규정하며, 동공면을 검출기에 결상하도록 구성될 수 있다. 주사 스테이지는 OPD가 동공면에서의 위치에 따라 변화하는 방식으로 OPD를 주사하도록 구성되며, OPD 증분에 관한 정보의 판정은 간섭 패턴의 위치 종속성(location dependence)을 고려하여 행해질 수 있다. 일부 실시예에서, 주사 스테이지는 검사 대상체에 대한 간섭계 광학 기기의 초점을 변화시키지 않고서 OPD를 주사하도록 구성된다.
간섭계 광학 기기는 간섭계 광학 기기에 의해 제공되는 출력 광으로부터 모니터 광(monitor light)을 유도하도록 구성된 광학 컴포넌트를 포함할 수 있으며, 출력 광은 검사 광 및 기준 광을 포함한다. 광학 컴포넌트는 출력 광의 일부를 검출기로 향하도록 하고 출력 광의 다른 부분을, 모니터 간섭 신호를 기록하도록 구성된 2차 검출기로 향하도록 구성된 빔 분할기(beam splitter)가 될 수 있다. 이와 달리 또는 이에 추가로, 광학 컴포넌트는 출력 광의 일부를 검출기 시스템으로 향하도록 구성된 스펙트럼 필터(spectral filter)를 포함하며, 모니터 간섭 신호는 출력 광의 일부에 기초해서 검출된다. 출력 광의 일부는 출력 광의 단색(monochromatic) 부분이 될 수 있다. 모니터 광은 공통의 광원으로부터 유도될 수 있다. 모니터 광은 검사 광 및 기준 광의 스펙트럼 컴포넌트에 대응할 수 있다. 간섭 패턴은 출력 광의 세기 프로파일(intensity profile)에 대응할 수 있다. 모니터 광은 공통의 광원과 상이한 2차 광원으로부터 유도될 수 있다. 모니터 광원은 공통의 광원의 간섭성 길이보다 긴 간섭성 길이를 가질 수 있다.
일부 실시예에서, 전자 프로세서는 2개 이상의 모니터 간섭 신호의 각각에 대응하는 정현파 함수(sinusoidal function)를 매칭시킴으로써, OPD 증분에 관한 정보를 판정하도록 구성된다. 모니터 간섭 신호는 OPD를 주사하면서, 검출기를 사용해서 취득한 복수 개의 샘플링된 데이터 포인터를 각각 포함하며, 모니터 간섭 신호에 정현파 함수를 매칭시키는 것은 샘플링된 데이터 포인트를 보간(interpolate) 처리하여 보간 처리된 신호를 제공하는 것을 포함할 수 있다. 모니터 간섭 신호에 정현파 함수를 매칭시키는 것은 보간 처리된 신호에 기초하여 각각의 간섭 신호와 공칭의 간섭 위상(nominal interference phase)을 연관시키는 과정을 포함할 수 있다. OPD 증분에 관한 정보를 판정하는 것은, 대응하는 공칭의 간섭 위상에 기초해서 모니터 간섭 신호의 측정한 위상의 편차(deviation)를 계산하는 과정을 포함할 수 있다.
2개 이상의 모니터 간섭 신호는 상이한 간섭 위상을 가질 수 있다. 2개 이상의 모니터 간섭 신호는 상이한 주파수를 가질 수 있다.
일부 실시예에서, 검출기는 다중 요소(multi-element) 검출기가 될 수 있다. 다중 요소 검출기는 2개 이상의 모니터 간섭 신호를 기록(record)하도록 구성된 요소를 포함할 수 있다.
검출기 시스템은, 1차 검출기(primary detector)와 별개의 2차 검출기(secondary detector)를 포함할 수 있으며, 2차 검출기는 2개 이상의 모니터 간섭 신호를 기록하도록 구성된다. 2차 검출기는 요소의 각각이 대응하는 모니터 간섭 신호를 기록하도록 구성된 다중 요소 검출기가 될 수 있다.
전자 프로세서는 검출기를 사용해서 기록된 간섭 패턴에 대응하는 1차 간섭 신호에 기초하여 검사 대상체에 관한 정보를 판정하도록 구성될 수 있다. 정보를 판정하는 것은 OPD 증분에 관한 정보에 기초해서 정보 내의 불확정성(uncertainty)을 감소시키는 것을 포함할 수 있다.
일반적으로, 추가의 관점으로서, 본 발명은 주사 간섭계 시스템(scanning interferometry system)을 사용해서 생성된 저간섭성 간섭 신호(low coherence interferometry signal)를 제공하는 단계로서, 주사 간섭계 시스템이 간섭계 광학 기기를 사용해서 기준 대상체로부터의 기준 광과 검사 대상체로부터의 검사 광을 조합해서 저간섭성 간섭 신호를 생성하여, 일련의 광로차(OPD: optical path difference) 증분의 각각에 대한 검사 광 및 기준 광 사이의 광로차(OPD)를 주사하면서, 검출기에 간섭 패턴을 형성하고 형성한 간섭 패턴을 검출기에 기록하며, OPD 증분의 각각의 주파수는 프레임 레이트(frame rate)를 규정하도록 되어 있는, 저간섭성 간섭 신호 제공 단계를 포함하는 방법을 제공한다. 본 방법은 또한, 간섭 광학 기기를 사용해서 각각 생성되며, OPD가 주사됨에 따라 OPD의 변화를 각각 나타내는 2개 이상의 모니터 간섭 신호를 제공하는 단계와, 모니터 간섭 신호에 기초해서, 프레임 레이트보다 큰 주파수에서 OPD 증분의 섭동(perturbation)에 대한 민감도(sensitivity)로 OPD 증분에 관한 정보를 판정하는 단계를 포함한다.
본 방법의 구현에는 이하에 설명하는 특징 및/또는 다른 관점의 특징 중의 하나 이상을 포함할 수 있다. 예를 들어, 검사 광 및 기준 광은 공통의 광원으로부터 생성될 수 있으며, OPD는 공통의 광원의 간섭성 길이보다 큰 범위에서 주사된다. OPD를 주사하는 단계는 검사 대상체에 대한 간섭계 광학 기기의 초점을 변화시키는 단계를 포함할 수 있다. OPD를 주사하는 단계는 간섭계 광학 기기에 대한 기준 대상체의 위치를 변경하는 단계를 포함할 수 있다. 저간섭성 간섭 신호를 제공하는 단계를 검출기에 검사 대상체를 결상하는 단계를 포함할 수 있다.
일부 실시예에서, 간섭계 광학 기기는 동공면을 규정하며, 저간섭성 간섭 신호를 제공하는 단계를 동공면을 검출기에 결상하는 단계를 포함한다. OPD 증분에 관한 정보를 판정하는 단계는 간섭 패턴의 위치 종속성을 고려하는 단계를 포함할 수 있다.
2개 이상의 모니터 간섭 신호를 제공하는 단계는 간섭계 광학 기기에 의해 제공된 출력 광으로부터 모니터 광을 유도하는 단계를 포함할 수 있으며, 출력 광은 검사 광 및 기준 광을 포함한다. 모니터 광은 검출기를 사용해서 검출될 수 있다. 모니터 광은 간섭 패턴을 기록하기 위해 사용된 검출기와 다른 2차 검출기를 사용해서 검출될 수 있다. 모니터 광을 유도하는 단계는 출력 광을 스펙트럼으로 필터링하는 단계를 포함할 수 있다. 일부 실시예에서, 모니터 광은 검사 광 및 기준 광과 동일한 광원으로부터 유도된다. 소정의 실시예에서, 모니터 광은 검사 광 및 기준 광의 광원보다 긴 간섭성 길이를 가질 수 있다.
OPD 증분에 관한 정보를 판정하는 단계는, 2개 이상의 모니터 간섭 신호의 각각에 대응하는 정현파 함수를 매칭시키는 단계를 포함할 수 있다. 모니터 간섭 신호는 샘플링된 복수의 데이터 포인터를 포함할 수 있으며, 정현파 함수를 모니터 간섭 신호에 매칭시키는 단계는 샘플링된 데이터 포인트를 보간(interpolate) 처리해서 보간 처리된 신호를 제공하는 단계를 포함할 수 있다. 정현파 함수를 모니터 간섭 신호에 매칭(match)시키는 단계는, 보간 처리된 신호에 기초해서 각각의 간섭 신호와 공칭의 간섭 위상을 연관(associate)시키는 단계를 더 포함할 수 있다. OPD 증분에 관한 정보를 판정하는 단계는, 대응하는 공칭의 간섭 위상에 기초해서 모니터 간섭 신호의 측정된 위상의 편차를 계산하는 단계를 더 포함할 수 있다.
2개 이상의 모니터 간섭 신호는 상이한 간섭 위상을 가질 수 있다. 2개 이상의 모니터 간섭 신호는 상이한 주파수를 가질 수 있다.
본 발명의 방법은, 검출기를 사용해서 기록된 간섭 패턴에 대응하는 1차 간섭 신호에 기초해서 검사 대상체에 관한 정보를 판정하는 단계를 포함할 수 있다. 정보를 판정하는 단계는 OPD 증분에 관한 정보에 기초해서 정보 내의 불확정성을 감소시킬 수 있다.
다른 관점으로서, 본 발명은 디스플레이 패널의 컴포넌트를 제공하는 단계, 앞서 언급한 방법 또는 장치를 사용해서 컴포넌트에 관한 정보를 판정하는 단계, 및 컴포넌트를 사용해서 디스플레이 패널을 형성하는 단계를 포함하는, 디스플레이 패널 제조 공정을 제공한다. 컴포넌트는 갭에 의해 분리된 한 쌍의 기판을 포함할 수 있으며, 정보는 갭에 관한 정보를 포함할 수 있다. 디스플레이 패널을 형성하는 단계는 정보에 기초해서 갭을 조정하는 단계를 포함할 수 있다. 디스플레이 패널을 형성하는 단계는 액정 물질로 갭을 채우는 단계를 포함할 수 있다.
컴포넌트는 기판과 기판상의 레지스트 층을 포함할 수 있다. 정보는 레지스트 층의 두께에 관한 정보를 포함할 수 있다. 레지스트 층은 패턴화된 층이 될 수 있으며, 정보는 패턴화된 층의 형상의 치수 또는 오버레이 오차(overlay error)에 관한 정보를 포함할 수 있다. 디스플레이를 형성하는 공정은 레지스트 층의 아래에 있는 재료 층을 에칭하는 단계를 포함할 수 있다.
컴포넌트는 스페이서(spacer)를 구비하는 기판을 포함할 수 있으며, 정보는 스페이서에 관한 정보를 포함할 수 있다. 디스플레이를 형성하는 공정은 정보에 기초해서 스페이서를 변경(modify)하는 단계를 포함할 수 있다.
일반적으로, 다른 관점으로서, 본 발명은, 검사 대상체에 대하여, 노이즈 때문에 모두가 서로 균일한 간격으로 이격되어 있지 않은 일련의 광로차(OPD: optial path difference) 값에 대응하는 하나 이상의 간섭 신호를 제공하는 단계를 포함하는 방법을 제공한다. 본 발명의 방법은 또한 일련의 OPD 값의 균일하지 않은 간격에 관한 정보를 제공하는 단계, 간섭 신호를 균일하지 않은 간격을 가진 OPD 값에 대해 샘플링되고 상이한 주파수에 대응하는 복수의 기저 함수(basis functions)로부터의 기여(contribution)로 분해하는 단계, 및 검사 대상체에 관한 정보를 판정하기 위해 복수의 기저 함수로부터 간섭 신호의 각각에 대한 기여 관련 정보를 사용하는 단계를 포함한다.
본 방법의 구현은 다음에 설명하는 특징 및/또는 다른 관점의 특징 중의 하나 이상을 포함할 수 있다. 각각의 간섭 신호를 각각의 기저 함수로부터의 기여로 분해하는 것에는, 각각의 간섭 신호에 대한 각각의 기저 함수의 진폭 및 위상에 관한 정보가 포함될 수 있다. 각각의 기저 함수는 간격이 균일하지 않은 OPD 값에서 샘플링된 정현파 기저 함수가 될 수 있다. 분해는 선형 분해(linear decomposition)가 될 수 있다.
하나 이상의 간섭 신호는 검사 대상체의 여러 위치에 대응하는 복수의 간섭 신호를 포함할 수 있다. 하나 이상의 간섭 신호는 검사 대상체를 조명하여 간섭 신호를 생성하기 위해 사용되는 대물렌즈에 대한 동공면의 여러 위치에 대응하는 복수의 간섭 신호를 포함할 수 있다. 간섭 신호는 동일한 복수 개의 기저 함수로부터의 기여로 분해될 수 있다.
각각의 간섭 신호는 검사 대상체로부터 방출되는 검사 광이 상이한 OPD 값의 각각에 대해 검출기 상에서의 기준 광과 조합되는 경우에 측정한 간섭 세기 값에 대응하며, 검사 광과 기준 광은 공통의 광원으로부터 유도되고, OPD는 공통의 광원으로부터 검출기까지의 검사 광 및 기준 광 사이의 광로 길이차이다.
복수의 기저 함수는 비직교(non-orthogonal) 기저 함수를 포함할 수 있다. 복수의 기저 함수는 선형 의존성을 갖는 기저 함수가 될 수 있다.
간섭 신호를 분해하는 단계는, 각각의 열이 기저 함수에 대응하는 행렬(matrix)을 형성하고, 상기 행렬을 반전시키며, 반전된 행렬을 각각의 간섭 신호에 적용하는 단계를 포함할 수 있다. 각각의 기저 함수의 요소의 개수는 기저 함수의 개수를 초과할 수 있다.
각각의 간섭 신호는 검사 대상체로부터 방출되는 검사 광이 상이한 OPD 값의 각각에 대해 검출기 상에서의 기준 광과 조합되는 경우에 측정한 간섭 세기 값에 대응하며, 검사 광과 기준 광은 공통의 광원으로부터 유도되고, 각각의 기저 함수는 오차 없는 간섭 신호에 대응하는 공칭 값으로부터, 측정된 간섭 세기 값의 편차를 고려할 수 있다. 편차는 광원의 세기 레벨의 편차에 기인할 수 있다. 편차는 검출기의 유한 프레임 통합 시간(finite frame integration times)에 기인할 수 있다.
일련의 OPD 값의 균일하지 않은 간격에 관한 정보를 제공하는 단계는 OPD의 변화를 나타내는 하나 이상의 모니터 간섭 신호를 생성하는 단계를 포함할 수 있으며, 모니터 간섭 신호는 일련의 OPD 값에 대응하는 간섭 신호가 취득되는 동안 생성된다. 일련의 OPD 값의 균일하지 않은 간격에 관한 정보는, 복수의 모니터 간섭 신호를 생성하는 것을 포함할 수 있다. 모니터 간섭 신호는 일련의 OPD 값에 대응하는 간섭 신호를 생성하기 위해 사용되는 동일한 간섭계 광학 기기를 사용해서 생성될 수 있다.
정보를 이용하는 단계는, 각 간섭 신호에 대한 복수의 기저 함수의 각각으로부터의 기여에 관한 정보에 기초해서 보정된 간섭 신호를 구성하는 단계와, 보정된 간섭 신호에 기초해서 검사 대상체에 관한 정보를 판정하는 단계를 포함할 수 있다.
일련의 OPD 값의 균일하지 않은 간격에 관한 정보는, 가속도계(accelerometer), 터치 프로브(touch probe), 용량성 게이지(capacitive gauge), 공기 게이지(air gauge), 및 광학 인코더를 포함하는 그룹에서 선택되는 센서(sensor)를 사용해서 생성될 수 있다.
다른 관점으로서, 본 발명은 디스플레이 패널의 컴포넌트를 제공하는 단계, 앞서 언급한 특징과 관련해서 설명한 방법을 이용하거나 이하에 설명하는 장치를 이용해서, 컴포넌트에 관한 정보를 판정하는 단계, 및 컴포넌트를 사용해서 디스플레이 패널을 형성하는 단계를 포함하는, 디스플레이 패널의 제조 방법을 제공한다. 컴포넌트는 갭으로 분리된 한 쌍의 기판을 포함하며, 정보에는 갭에 관한 정보가 포함되고, 디스플레이 패널을 형성하는 단계는 정보에 기초해서 갭을 조정하는 단계를 포함할 수 있다. 디스플레이 패널을 형성하는 단계는 액정 물질로 갭을 채우는 단계를 포함할 수 있다.
컴포넌트는 기판과 상기 기판상의 레지스트 층을 포함할 수 있으며, 정보는 레지스트 층의 두께에 관한 정보를 포함할 수 있다. 레지스트 층은 패턴화된 층이 될 수 있으며, 정보는 패턴화된 층의 형상의 치수 또는 오버레이 오차(overlay error)에 관한 정보를 포함할 수 있다. 디스플레이를 형성하는 공정은 레지스트 층의 아래에 있는 재료 층을 에칭하는 단계를 포함할 수 있다.
컴포넌트는 스페이서(spacer)를 갖는 기판을 포함할 수 있으며, 정보는 스페이서에 관한 정보를 포함할 수 있다. 디스플레이 패널을 형성하는 단계는 정보에 기초해서 스페이서를 변경하는 단계를 포함할 수 있다.
일반적으로, 다른 관점으로서, 본 발명은, 검사 대상체로부터의 검사 광을 기준 대상체로부터의 기준 광과 조합하여 검출기 상에 간섭 패턴을 형성하는 간섭계 광학 기기를 구비하는 간섭계 시스템을 포함하는 장치를 제공한다. 검사 광과 기준 광은 공통의 광원으로부터 유도된다. 간섭계 시스템은 공통의 광원으로부터 검출기까지의 검사 광 및 기준 광 사이의 광로차(OPD)를 주사하도록 구성된 주사 스테이지(scanning stage)와, 일련의 OPD의 각각에 대한 간섭 패턴을 기록하여 하나 이상의 간섭 신호를 제공하기 위한 검출기를 구비하는 검출기 시스템, 및 검출기 시스템에 연결되고 하나 이상의 간섭 신호에 기초해서 검사 대상체에 관한 정보를 판정하도록 구성된 전자 프로세서를 더 포함한다. 일련의 OPD 값은 노이즈 때문에 모두가 서로 균일한 간격으로 이격되어 있지는 않으며, 전자 프로세서는 각각의 간섭 신호를, 균일하지 않은 간격을 가진 OPD 값에 대해 샘플링되고 상이한 주파수에 대응하는 복수의 기저 함수(basis functions)로부터의 기여(contribution)로 분해함으로써 검사 대상체에 관한 정보를 판정하도록 구성되어 있다.
본 장치의 실시예는 다음에 설명하는 특징 및/또는 다른 관점의 특징 중 하나 이상을 포함할 수 있다. 예를 들어, 간섭계 광학 기기는 검사 대상체를 검출기에 결상하도록 구성될 수 있다. 간섭계 광학 기기는 동공면을 규정할 수 있으며, 동공면을 검출기에 결상하도록 구성될 수 있다. 간섭계는 간섭 현미경의 일부가 될 수 있다. 주사 스테이지는 공통의 광원의 간섭성 길이보다 큰 범위에서 OPD를 주사하도록 구성될 수 있다.
일부 실시예에서, 본 발명의 장치는 전자 프로세서와 통신이 가능한 센서를 더 포함한다. 센서는 균일한 간격을 갖지 않는 OPD 값에 관한 정보를 전자 프로세서에 제공하도록 구성된다. 센서는 간섭계 광학 기기를 사용해서 모니터 빔이 검사 대상체로부터 반사되도록 할 수 있다. 센서는 변위 측정 간섭계, 가속도계, 터치 프로브, 용량성 게이지, 공기 게이지, 또는 광학 인코더가 될 수 있다. 일부 실시예에서, 센서는 제1 파면과 제2 파면을 입력 방사선으로부터 유도하도록 구성되며, 제1 파면과 제2 파면을 조합해서 제1 및 제2 파면의 경로 사이의 광로차에 관한 정보를 구비하는 출력 방사선을 제공하도록 되어 있다. 센서는 제1 파면의 경로에 위치한 반사성 요소를 포함한다. 반사성 요소는 대물렌즈 또는 스테이지 상에 설치된다. 센서는 센서로 입력 방사선을 유도하거나, 센서로부터의 출력 방사선을 센서 검출기로 전달하도록 구성된다.
일반적으로, 다른 관점에서, 본 발명은 검사 광을 조명 각의 범위에서 검사 대상체로 향하도록 하고, 검사 대상체로부터 반사된 검사 광을 기준 대상체로부터의 기준 광과 조합하여 다중 요소 검출기 상에 간섭 패턴을 형성하는 간섭계 광학 기기(interferometer optics)를 구비하는 주사 간섭계 시스템을 포함하는 장치를 제공한다. 검사 광 및 기준 광은 공통의 광원으로부터 유도된다. 간섭계 광학 기기는 검출기의 여러 요소가 검사 광에 의한 검사 대상체의 상이한 조명 각에 대응하도록, 조합한 광의 적어도 일부를 상기 검출기로 향하도록 되어 있고, 간섭계 시스템이 구비하는 주사 스테이지(scanning stage)는 공통의 광원으로부터 검출기까지 검사 광 및 기준 광 사이의 광로차(OPD: optical path difference)를 주사하도록 구성된다. 간섭계 시스템이 구비하는 검출기 시스템은 일련의 OPD 증분(OPD increment)의 각각에 대한 간섭 패턴을 기록하기 위한 검출기를 구비한다. 주사 간섭계 시스템은 OPD가 주사됨에 따라 OPD의 변화를 나타내는 하나 이상의 모니터 간섭 신호를 생성하도록 구성된다. 전자 프로세서는 검출기 시스템 및 주사 스테이지에 전자적으로 연결되며, OPD 증분의 섭동(perturbation)에 대한 감도(sensitivity)로 OPD 증분에 관한 정보를 판정하도록 구성된다.
본 장치의 실시예는 다음에 설명하는 특징 및/또는 다른 관점의 특징 중 하나 이상을 포함할 수 있다. 예를 들어, 간섭계 광학 기기는 동공면을 규정할 수 있으며, 동공면을 검출기에 결상하도록 구성될 수 있다. 주사 간섭계 시스템은 광대역의 주사 간섭계 시스템이다. 주사 스테이지는 공통의 광원의 간섭성 길이보다 더 길거나 짧은 범위에서 OPD 주사하도록 구성될 수 있다. 주사 간섭계 시스템은 OPD가 주사됨에 따라 OPD의 변화를 각각 나타내는 2개 이상의 모니터 간섭 신호를 생성하도록 구성될 수 있다. 각각의 OPD 증분의 주파수는 프레임 레이트를 규정하고, 전자 프로세서는 프레임 레이트보다 큰 주파수에서 OPD 증분의 섭동에 대한 감도로 OPD 증분에 관한 정보를 판정하도록 구성될 수 있다. 주사 간섭계 시스템은 간섭계 광학 기기를 사용해서 하나 이상의 모니터 간섭 신호를 생성하도록 구성될 수 있다.
일반적으로, 다른 관점으로서, 본 발명은 대물렌즈(objective)와 대물렌즈에 대해 상대적으로 이동가능한 스테이지(stage)를 구비하는 간섭 현미경(interference microscope)을 포함하는 장치를 제공한다. 본 장치는, 입력 방사선(input radiation)으로부터 제1 파면 및 제2 파면을 유도하고, 제1 파면과 제2 파면을 조합해서, 제1 파면 및 제2 파면의 경로 사이의 광로차에 관한 정보를 갖는 출력 방사선을 제공하며, 제1 파면의 경로에 위치하며 대물렌즈 또는 스테이지 중 하나에 설치된 반사성 요소를 구비하는 센서를 포함한다. 본 장치는 입력 방사선을 센서로 전달하거나, 센서로부터 대응하는 검출기로 출력 방사선을 유도하도록 구성된 광섬유(fiber)를 포함한다. 본 장치는 센서로부터의 정보에 기초하여, 대물렌즈에 대한 스테이지의 변위를 모니터링하도록 구성된 전자 컨트롤러를 포함한다.
본 장치의 실시예는 다음에 설명하는 특징 및/또는 다른 관점의 특징 중 하나 이상을 포함할 수 있다. 예를 들어, 간섭 현미경은 저간섭성 주사 간섭 현미경이 될 수 있다. 간섭 현미경은 간섭계 광학 기기와 검출기를 포함할 수 있다. 간섭계 광학 기기는 스테이지에 위치한 검사 대상체를 검출기에 결상하도록 구성된다. 간섭 현미경은 간섭계 광학 기기와 검출기를 포함할 수 있으며, 간섭계 광학 기기는 동공면을 규정할 수 있으며, 동공면을 검출기에 결상하도록 구성된다.
대물렌즈는 미라우(Mirau) 대물렌즈 또는 리닉(Linnik) 대물렌즈가 될 수 있다.
다른 관점으로서, 본 발명은 모니터 검출기, 간섭계 광학 기기, 주사 스테이지, 및 전자 프로세서를 구비하는 검출기 서브시스템을 포함하는 간섭계 시스템을 제공한다. 간섭계 광학 기기는, 검사 대상체로부터의 검사 광을, 제1 기준 계면으로부터의 1차 기준 광 및 제2 기준 계면으로부터의 2차 기준 광과 조합해서 모니터 검출기 상에 모니터 계면 패턴을 형성한다. 제1 및 제2 기준 계면은 서로에 대하여 기계적으로 고정되어 있다. 주사 스테이지는 검출기 서브 시스템이 일련의 광로차(OPD) 증분의 각각에 대한 모니터 간섭 패턴을 기록하는 동안, 검사 광과 1차 및 2차 기준 광 사이의 광로차(OPD)를 모니터 검출기에 대하여 주사하도록 구성된다. 전자 프로세서는 검출기 서브시스템 및 주사 스테이지에 전자적으로 연결되며, 검출된 모니터 간섭 패턴에 기초해서 OPD 증분에 관한 정보를 판정하도록 구성될 수 있다.
간섭계 시스템의 실시예는 다음에 설명하는 특징 및/또는 다른 관점의 특징 중의 하나 이상을 포함할 수 있다. 예를 들어, 검출기 서브시스템은 1차 검출기를 포함할 수 있으며, 간섭계 광학 기기는 검사 광과 제1 기준 광을 조합해서 1차 간섭 패턴을 1차 검출기 상에 형성하도록 구성된다. 1차 간섭 패턴은 모니터 간섭 패턴과 다르다. 전자 프로세서는 검출된 1차 간섭 패턴에 기초해서 검사 대상체에 관한 정보를 판정하도록 구성될 수 있다. 검사 대상체에 관한 정보를 판정하는 것은, OPD 증분에 관한 정보에 기초해서 간섭계 시스템에서의 진동에 기인한 검사 대상체에 관한 정보의 불확정성을 감소시키는 과정을 포함할 수 있다.
간섭계 광학 기기는 1차 검출기가 2차 기준 광을 전혀 수광하지 않도록 구성될 수 있다. 간섭계 광학 기기는 검사 광 및 1차 기준 광을 1차 검출기로 전파하고 2차 기준 광은 1차 검출기로 전파되지 않도록 배치된 구경 조리개(aperture stop)를 포함한다. 간섭계 광학 기기는 검사 광 및 1차 기준 광은 1차 검출기로 전파하고 2차 기준 광은 1차 검출기로 전파되지 않도록 하는 파장 필터를 포함할 수 있다.
모니터 검출기는 다중 요소 검출기가 될 수 있으며, 제1 및 제2 기준 계면은 1차 및 2차 기준 광 사이의 상대적인 위상차가 다중 요소 검출기의 시야각을 가로질러 변화하도록 구성될 수 있다.
제1 및 제2 기준 계면은 1차 및 2차 기준 광이 모니터 검출기에서 비평행 경로를 따라 전파하도록 정렬될 수 있다. 제1 및 제2 기준 계면은 표면(surface)이 될 수 있다. 제1 및 제2 기준 계면은 공통의 광학적 요소의 대향하는 표면에 대응할 수 있다. 공통의 광학 요소는 웨지(wedge)가 될 수 있다. 제1 및 제2 계면은 여러 상이한 광학 요소의 표면에 대응할 수 있다.
제2 기준 계면은 평평한 계면이 될 수 있다. 예를 들어, 1차 기준 계면은 평면형의 계면이다. 일부 실시예에서, 1차 계면은 비평면 계면이다. 비평면 계면은 구형 계면이 될 수 있다. 1차 기준 계면은 비구면의 계면이 될 수 있다.
간섭계 광학 기기는 광축을 규정할 수 있으며, 제1 및 제2 계면은 광축에 대하여 상이한 각도로 배향될 수 있다.
간섭계 시스템은 검사 광, 1차 기준 광, 및 2차 기준 광을 생성하기 위한 조명 서브시스템을 포함할 수 있다. 조명 서브시스템은 검사 광, 1차 기준 광, 및 2차 기준 광을 생성하는 공통의 광원을 포함할 수 있다. 일부 실시예에서, 공통의 광원은 광대역 광원이다. 조명 서브시스템은 검사 광 및 1차 기준 광을 제공하기 위한 1차 광원과 2차 기준 광을 제공하기 위한 모니터 광원을 포함할 수 있다. 1차 광원은 광대역 광원이 될 수 있다. 모니터 광원은 협대역 광원(예를 들어, 단색 광원)이 될 수 있다.
조명 서브시스템은 적어도 검사 광 및 1차 기준 광을 제공하기 위한 광원을 포함할 수 있으며, 주사 스테이지는 광원의 간섭성 길이보다 큰 범위에서 OPD를 주사하도록 구성된다. 조명 서브시스템은 적어도 검사 광 및 1차 기준 광을 제공하기 위한 광원을 포함할 수 있으며, 주사 스테이지는 광원의 간섭성 길이보다 짧은 범위에서 OPD를 주사하도록 구성된다.
간섭계 광학기기는 검출기 서브시스템의 다중 요소 검출기에 검사 대상체를 결상하도록 구성될 수 있다. 간섭계 광학 기기는 동공면을 규정할 수 있으며, 검출기 서브시스템의 다중 요소 검출기에 동공면을 결상하도록 구성될 수 있다. 다중 요소 검출기는 모니터 검출기가 될 수 있다.
간섭계 광학 기기는 피조(Fizeau) 간섭계, 리닉 간섭계, 또는 미라우 간섭계로서 배치될 수 있다.
일반적으로, 다른 관점에서, 본 발명은 검사 대상체로부터의 검사 광을 제1 기준 계면으로부터의 1차 기준 광과 2차 기준 계면으로부터의 2차 기준 광에 조합하여 모니터 검출기 상에 모니터 간섭 패턴을 형성하는 단계를 포함하는 방법을 제공한다. 제1 및 제2 기준 계면은 서로에 대하여 그리고 검사 광에 대하여 기계적으로 고정되어 있다. 모니터 검출기에 대한 검사 광과 1차 및 2차 기준 광 사이의 광로차(OPD)를 주사하는 것은, 일련의 OPD 증분의 각각에 대한 모니터 간섭 패턴을 기록하는 단계와, 검출된 모니터 간섭 패턴에 기초해서 OPD 증분에 관한 정보를 판정하는 단계를 포함한다.
일반적으로, 또 다른 관점으로서, 본 발명은 검사 대상체로부터의 검사 광을, 제1 기준 계면으로부터의 1차 기준 광 및 제2 기준 계면으로부터의 2차 기준 광과 조합하여, 제1 간섭 패턴을 모니터 검출기 상에 형성하기 위한 간섭계 광학 기기를 포함하는 간섭계 시스템을 제공한다. 간섭계 광학 기기는 검사 광을 1차 기준 광과 조합하여, 1차 검출기 상에 제2 간섭 패턴을 형성한다. 제1 및 제2 기준 계면은 서로에 대하여 기계적으로 고정되어 있으며, 전자 프로세서는 1차 검출기 및 모니터 검출기에 전자적으로 연결되어 있다. 전자 프로세서는 제2 간섭 패턴에 기초하여 검사 대상체에 관한 정보를 판정하도록 구성되며, 검사 대상체에 관한 정보를 판정하는 과정은, 제1 간섭 패턴으로부터의 정보에 기초하여 간섭계 시스템에서의 진동에 기인한 검사 대상체에 관한 정보에서의 불확정성을 감소시키는 단계를 포함한다. 간섭계 시스템의 실시예는 다른 관점의 특징을 포함할 수 있다.
일반적으로, 또 다른 관점으로서, 본 발명은 검사 대상체로부터의 검사 광을, 제1 기준 계면으로부터의 1차 기준 광과 제2 기준 계면으로부터의 2차 기준 광을 조합해서, 모니터 검출기 상에 제1 간섭 패턴을 형성하는 단계와, 검사 광을 1차 기준 광과 조합해서 1차 검출기 상에 제2 간섭 패턴을 형성하는 단계와, 제2 간섭 패턴에 기초해서 검사 대상체에 관한 정보를 판정하는 단계를 포함하는 방법을 제공한다. 제1 및 제2 기준 계면은 서로에 대해 기계적으로 고정되며, 검사 대상체에 관한 정보를 판정하는 단계는, 제1 간섭 패턴으로부터의 정보에 기초해서 간섭계 시스템에서의 진동에 기인한 검사 대상체에 관한 정보의 불확정성을 감소시키는 단계를 포함한다. 간섭계 시스템의 실시예는 다른 관점의 특징을 포함할 수 있다.
일반적으로, 다른 관점으로서, 본 발명은 대물렌즈, 대물렌즈에 대하여 검사 대상체를 위치 설정하기 위한 스테이지, 및 센서 시스템을 구비하는 현미경을 포함하는 장치를 제공한다. 스테이지는 대물렌즈에 대하여 상대적으로 이동가능하게 되어 있다. 센서 시스템은 센서 광원, 간섭 센서, 검출기, 광섬유 도파로, 조정가능한 광학 캐비티, 및 전자 컨트롤러를 포함한다. 간섭 센서는 센서 광원으로부터의 광을 수광하고, 광의 제1 부분과 제2 부분 사이에 광로차(OPD)를 도입하고, 광의 제1 및 제2 부분을 조합해서 출력 광을 제공하도록 되어 있다. 검출기는 간섭 센서로부터의 출력 광을 검출하도록 구성되어 있다. 광섬유 도파로는 센서 광원, 간섭 센서, 및 검출기 사이에서 광의 방향을 설정하도록 구성된다. 조정가능한 광학 캐비티는 센서 광원 및 간섭 센서에서의 광로에 위치한다. 전자 컨트롤러는 검출기와 통신을 행하고, 검출된 출력 광에 기초해서 OPD에 관련된 정보를 판정하도록 구성된다.
본 장치의 실시예는 다음에 설명하는 특징 및/또는 다른 관점의 특징 중 하나 이상을 포함할 수 있다. 예를 들어, 전자 컨트롤러는 정보에 기초해서 현미경의 초점을 조정하도록 구성될 수 있다. 현미경은 간섭 현미경이 될 수 있다. 간섭 현미경은 백색광 주사 간섭계(SWLI) 현미경이 될 수 있다. 간섭 현미경은 동공면 SWLI 현미경이다. 대물렌즈는 미라우 대물렌즈, 리닉 대물렌즈, 또는 미켈슨 대물렌즈가 될 수 있다. 간섭 현미경은, 검사 광으로 검사 대상체를 조명함으로써 스테이지 상에 위치한 검사 대상체에 관한 정보를 판정하고, 검사 광을 기준 대상체로부터의 기준 광과 조합해서 검출기 상에 간섭 패턴을 형성하도록 구성될 수 있다. 검사 광 및 기준 광은 공통의 광원으로부터 유도된다. 본 장치는 센서 OPD에 관련된, 판정된 정보에 기초해서 주사 오차에 기인한, 검사 대상체에 관한 정보에서의 불확정성을 감소시키도록 구성될 수 있다.
일부 실시예에서, 센서 시스템은 센서 광원으로부터 광을 수광하도록 구성된 하나 이상의 추가의 간섭 센서를 포함한다. 각각의 간섭 센서는 대응하는 광의 2개의 컴포넌트 사이에 OPD를 도입하도록 구성될 수 있다. 각각의 OPD는 대응하는 축을 따라 스테이지와 대물렌즈 사이의 대응하는 변위에 관련된다. 전자 컨트롤러는 적어도 2개의 간섭 센서에 대한 대응하는 OPD에 관련된 정보의 판정에 기초해서, 대물렌즈에 대한 스테이지의 경사(tilt)에 관련된 정보를 판정하도록 구성될 수 있다. 센서 시스템은 하나 이상의 추가의 검출기를 포함할 수 있으며, 이들 각각의 검출기는 대응하는 간섭 센서로부터의 출력 광을 수광하도록 구성되어 있다. 각각의 추가의 간섭 센서는 센서 광원으로부터의 광을 수광하고, 출력 광을, 대응하는 광섬유 도파로를 통해 대응하는 센서로 향하도록 한다. 조정가능한 광학 캐비티는 센서 광원으로부터 각각의 간섭 센서로의 광로에 위치할 수 있다.
간섭 센서는 광섬유 도파로를 빠져나가는 광을 수광하고 해당 광을 빔의 허리(waist)에 집광하도록 위치한 렌즈(lens)를 포함할 수 있다. 이 렌즈는 경사 굴절형(GRIN: graded index) 렌즈가 될 수 있다. 렌즈는 대물렌즈에 부착될 수 있다. 이와 달리, 렌즈는 스테이지에 부착될 수도 있다. 일부 실시예에서, 광섬유 도파로는 열 팽창 코어를 구비하는 광섬유이다.
현미경은, 현미경 광원과 하나 이상의 광학 요소를 구비하는 대물렌즈를 포함할 수 있다. 현미경은, 현미경 광원으로부터의 광을 검사 대상체로 전달하도록 구성되며, 하나 이상의 광학 요소는 검사 대상체로부터의 광을 수집하도록 구성되고, 간섭 센서는 대물렌즈의 하나 이상의 광학 요소를 통해 스테이지까지 광을 지향시키도록 구성될 수 있다.
센서 광원은 광대역 광원이 될 수 있다. 센서 광원은 900nm~1600nm 범위의 파장에서 피크 세기(peak intensity)를 가질 수 있다. 센서 광원은 50nm 이하의 반치전폭(full-width at half maximum)을 가질 수 있다. 센서 광원은 대략 100 미크론 이하의 간섭성 길이를 가질 수 있다.
조정가능한 광학 캐비티는 광섬유 신장 모듈(fiber stretcher module)을 각각 구비하는 2개의 광로를 포함할 수 있다. 센서 광원 및 검출기는 현미경으로부터 분리된 하우징 내에 위치할 수 있다.
정보는 대물렌즈와 스테이지 사이에서의 축에 따른 변위에 관련된 것일 수 있다. 현미경은 스테이지를 축에 평행하게 주사하도록 구성될 수 있다. 정보는 대물렌즈와 스테이지 사이의 절대 변위(absolute displacement)에 관련된 것일 수 있다. 이와 달리, 정보는 대물렌즈와 스테이지 사이의 상대적 길이에 관한 것일 수 있다.
현미경은 현미경 광원을 포함할 수 있으며, 현미경 광원으로부터의 광을 스테이지 상에 위치한 검사 대상체로 전달하도록 구성될 수 있다. 현미경 광원의 피크 세기의 파장은 센서 광원의 피크 세기의 파장보다 대략 100nm 이상이 될 수 있다. 현미경 광원의 피크 세기의 파장은 300nm~700nm의 범위가 될 수 있으며, 센서 광원의 피크 세기의 파장은 900nm~1600nm의 범위가 될 수 있다.
일반적으로, 또 다른 관점으로서, 본 발명은 하나 이상의 광학 요소를 구비하는 이미징 간섭계와, 하나 이상의 광학 요소에 대하여 검사 대상체를 위치 설정하기 위한 스테이지와, 센서 광원, 간섭 센서, 검출기, 광섬유 도파로, 조정가능한 광학 캐비티, 및 전자 컨트롤러를 구비하는 센서 시스템을 포함하는 장치를 제공한다. 스테이지는 하나 이상의 광학 요소에 대하여 이동이 가능하도록 되어 있다. 간섭 센서는 센서 광원으로부터 광을 수광하고, 광의 제1 부분 및 제2 부분 사이에 광로차(OPD)를 도입하며, 광의 제1 부분과 제2 부분을 조합하여 출력 광을 제공하도록 구성되어 있다. 검출기는 간섭 센서로부터의 출력 광을 검출하도록 되어 있다. 광섬유 도파로는 센서 광원, 간섭 센서, 및 검출기 사이에서 광의 방향을 설정하도록 되어 있다. 조정가능한 광학 캐비티는, 센서 광원과 간섭 센서로부터의 광로에 위치한다. 전자 컨트롤러는 검출기와 통신을 행하고, 검출된 출력 광에 기초해서 OPD에 관련된 정보를 판정하도록 되어 있다.
본 장치의 실시예는 다음에 설명하는 특징 및/또는 다른 관점의 특징 중 하나 이상을 포함할 수 있다. 예를 들어, 이미징 간섭계는 간섭 현미경이 될 수 있다. 이미징 간섭계는 SWLI 간섭계 또는 PUPS 간섭계가 될 수 있다.
일반적으로, 또 다른 관점으로서, 본 발명은 하나 이상의 광학 요소를 구비하는 이미징 간섭계, 하나 이상의 광학 요소에 대한 검사 대상체의 위치를 설정하기 위한 스테이지, 및 센서 시스템을 포함한다. 센서 시스템은, 센서 광원, 복수 개의 간섭 센서, 복수 개의 검출기, 조정가능한 광학 캐비티, 및 전자 컨트롤러를 포함한다. 간섭 센서는 센서 광원으로부터의 광을 수광하고, 광의 대응하는 제1 부분 및 대응하는 제2 부분 사이에 대응하는 광로차(OPD)를 도입하고, 광의 대응하는 제1 및 제2 부분을 조합해서 대응하는 출력 광을 제공하도록 구성된다. 각각의 OPD는 하나 이상의 광학 요소와 스테이지 사이의 대응하는 거리에 관련된다. 복수 개의 검출기는 대응하는 간섭 센서로부터의 출력 광을 검출하도록 구성된다. 조정가능한 광학 캐비티는 센서 광원으로부터 간섭 센서까지의 광로에 위치한다. 전자 컨트롤러는 검출기와 통신을 행하며, 각각의 간섭 센서로부터의 검출된 출력 광에 기초해서 OPD에 관련된 정보를 판정하도록 되어 있다. 본 장치의 실시예의 다른 과점의 특징들 중 하나 이상을 포함할 수 있다.
일반적으로, 또 다른 관점으로서, 본 발명은 대물렌즈와 스테이지를 구비하는 현미경과, 센서 시스템을 포함하는 장치를 제공한다. 스테이지는 대물렌즈에 대한 검사 대상체의 위치 설정을 위한 것으로서, 대물렌즈에 대하여 상대적으로 이동가능하게 되어 있다. 센서 시스템은, 센서 광원, 복수 개의 간섭 센서, 복수 개의 검출기, 조정가능한 광학 캐비티, 및 전자 컨트롤러를 포함한다. 복수 개의 간섭 센서는 센서 광원으로부터의 광을 수광하고, 광의 대응하는 제1 부분 및 대응하는 제2 부분 사이에 대응하는 광로차(OPD)를 도입하고, 광의 대응하는 제1 및 제2 부분을 조합해서 대응하는 출력 광을 제공하도록 구성된다. 각각의 OPD는 대물렌즈와 스테이지 사이의 대응하는 거리에 관련된다. 복수 개의 검출기는 대응하는 간섭 센서로부터의 출력 광을 검출하도록 구성된다. 조정가능한 광학 캐비티는 센서 광원으로부터 간섭 센서까지의 광로에 위치한다. 전자 컨트롤러는 검출기와 통신을 행하며, 각각의 간섭 센서로부터의 검출된 출력 광에 기초해서 OPD에 관련된 정보를 판정하도록 되어 있다. 본 장치의 실시예의 다른 관점의 특징들 중 하나 이상을 포함할 수 있다.
여러 문헌의 내용을 본 출원에 참조에 의해 포함시킬 수 있다. 저촉이 있는 경우에는, 본 출원이 컨트롤할 것이다.
하나 이상의 실시예의 설명을, 첨부 도면과 이하의 상세한 설명에서 개시한다. 다른 특징과 장점에 대해서는 발명의 상세한 설명, 도면 및 청구범위로부터 명백할 것이다.
도 1은 간섭 현미경을 구비하는 저간섭성 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 2는 검출기의 시야각에서의 간섭 패턴을 나타내는 도면이다.
도 3은 저간섭성 간섭 신호의 세기와 OPD의 관계를 나타내는 도표이다.
도 4는 모니터 신호에 대한 세기와 OPD의 관계를 나타내는 도표이다.
도 5는 주사 오차의 영향을 나타내는 주사 과정 동안의 상대적인 검사 대상체 범위를 시간의 함수로 나타낸 도표이다.
도 6은 주사 오차에 대한 시스템 감도를 진동 주파수의 함수로서 나타낸 도표이다.
도 7은 간섭 현미경을 포함하는 저간섭성 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 8은 결상면과 동공면에서의 광 사이의 관계를 개략적으로 나타내는 도면이다.
도 9는 간섭 현미경을 구비하는 저간섭성 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 10은 간섭 현미경을 구비하는 저간섭성 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 11은 J-행렬 방식을 나타내는 플로차트가다.
도 12a 및 12b는 J-행렬 방식을 나타내는 플로차트이다.
도 13a-13e는 J-행렬 방식을 DFT 방식과 비교하는 수치적 실험의 결과를 나타내는 도표이다.
도 14a-14e는 J-행렬 방식을 DFT 방식과 비교하는 수치적 실험의 결과를 나타내는 도표이다.
도 15a-15e는 J-행렬 방식을 DFT 방식과 비교하는 수치적 실험의 결과를 나타내는 도표이다.
도 16a-16b는 J-행렬 방식을 증명하는 수치적 실험의 결과를 나타내는 도표이다.
도 17a-17c는 J-행렬 방식을 증명하는 수치적 실험의 결과를 나타내는 도표이다.
도 18은 수치적 실험으로부터 간섭 신호를 나타내는 도표이다.
도 19는 복합 기준을 가진 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 20은 복합 기준에만 기초한 시뮬레이션 세기 반사도 이미지이다.
도 21a는 도 20의 이미지에 대한 세기 반사도를 나타내는 도표이다.
도 21b는 도 20의 이미지에 대한 위상 편차를 나타내는 도표이다.
도 22는 모니터 카메라에 의해 검출한 검사 대상체 및 복합 기준에 기초한 시뮬레이션 세기 반사도 이미지이다.
도 23은 1차 카메라에 의해 검출된 검사 대상체 및 복합 기준에 기초한 시뮬레이션 세기 반사도 이미지이다.
도 24a는 도 22의 이미지에 대한 세기 반사도를 나타내는 도표이다.
도 24b는 도 22의 이미지에 대한 위상 편차를 나타내는 도표이다.
도 25a는 도 23의 이미지에 대한 세기 반사도를 나타내는 도표이다.
도 25b는 도 23의 이미지에 대한 위상 편차를 나타내는 도표이다.
도 26은 복합 기준을 가진 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 27은 복합 기준을 가진 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 28은 빔 안내 광학 기기를 포함하는, 도 8의 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 29는 복합 기준을 가진 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 30은 복합 기준을 가진 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 31은 복합 기준을 가진 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 32는 간섭 현미경과 레이저 변위 간섭계를 구비하는 저간섭성 간섭계 시스템의 실시예를 개략적으로 나타내는 도면이다.
도 33은 센서 시스템과 간섭계 시스템을 구비하는 조합된 장치의 실시예를 개략적으로 나타내는 도면이다.
도 34는 센서의 실시예를 개략적으로 나타내는 도면이다.
도 35는 기준 캐비티를 나타내는 도면이다.
도 36은 센서 시스템과 간섭계 시스템을 구비하는 조합된 장치의 동작을 나타내는 플로차트이다.
도 37은 센서 시스템과 간섭계 시스템을 구비하는 조합된 장치의 자동초점 모드를 나타내는 도표이다.
도 38은 센서 시스템과 간섭계 시스템을 구비하는 조합된 장치의 움직임 모니터링 모드를 나타내는 도표이다.
도 39는 미라우 대물렌즈와 2개의 센서의 조합을 개략적으로 나타내는 도면이다.
도 40은 미켈슨 대물렌즈와 센서의 조합을 개략적으로 나타내는 도면이다.
도 41은 리닉 대물렌즈와 2개의 센서의 조합을 개략적으로 나타내는 도면이다.
도 42a는 센서를 구비한 대물렌즈의 구성을 개략적으로 나타내는 도면이다.
도 42b는 센서를 구비한 대물렌즈의 구성을 개략적으로 나타내는 도면이다.
도 42c는 센서를 구비한 대물렌즈의 구성을 개략적으로 나타내는 도면이다.
도 43a는 미켈슨 대물렌즈와 센서의 조합을 개략적으로 나타내는 도면이다.
도 43b는 미켈슨 대물렌즈와 센서의 조합을 개략적으로 나타내는 도면이다.
도 43c는 리닉 대물렌즈와 센서의 조합을 개략적으로 나타내는 도면이다.
도 43d는 리닉 대물렌즈와 센서의 조합을 개략적으로 나타내는 도면이다.
도 44a는 미켈슨 대물렌즈와 센서의 조합을 개략적으로 나타내는 도면이다.
도 44b는 리닉 대물렌즈와 센서의 조합을 개략적으로 나타내는 도면이다.
도 45a는 미켈슨 대물렌즈와 2개의 센서의 조합을 개략적으로 나타내는 도면이다.
도 45b는 리닉 대물렌즈와 2개의 센서의 조합을 개략적으로 나타내는 도면이다.
도 45c는 리닉 대물렌즈와 3개의 센서의 조합을 개략적으로 나타내는 도면이다.
도 46은 대물렌즈와 센서를 구비한 스캐너의 구성을 개략적으로 나타내는 도면이다.
도 47은 센서를 구비한 대물렌즈와 별개의 기준 미러의 구성을 개략적으로 나타내는 도면이다.
도 48a는 2개의 센서를 구비한 터렛 대물렌즈와 2개의 대물렌즈의 구성을 개략적으로 나타내는 도면이다.
도 48b는 센서를 구비한 터렛 대물렌즈와 2개의 대물렌즈를 개략적으로 나타내는 도면이다.
도 49a는 기판상에 증착된 구리 형상부의 위에 유전체를 증착한 후의 막 구조를 가진 디바이스의 예를 개략적으로 나타내는 도면이다.
도 49b는 도 49a의 디바이스에 대하여 화학 기계적 처리 공정을 거친 후를 개략적으로 나타내는 도면이다.
도 50a는, 웨이퍼 등의 기판과 포토레지스트 층 등의 하부층을 구비하는 대상체의 탑-다운 뷰(top down view)를 개략적으로 나타내는 도면이다.
도 50b는 대상체의 측면을 개략적으로 나타내는 도면이다.
도 51a는 솔더 범프 공정에 사용하기 적합한 구조를 개략적으로 나타내는 도면이다.
도 51b는 솔더 범프 공정을 행한 후의, 도 51a의 구조를 개략적으로 나타내는 도면이다.
도 52a는 여러 개의 층으로 이루어진 LCD 패널을 개략적으로 나타내는 도면이다.
도 52b는 LCD 패널 생성 중의 여러 단계를 나타내는 플로차트이다.
도 52c는 간섭 센서를 구비하는 LCD 패널의 검사 스테이션의 예를 나타내는 도면이다.
여러 도면에서의 유사한 참조 부호는 유사한 요소를 의미한다.
도 1을 참조하면, 저간섭성 간섭계 시스템(100)은 검사 대상체(test object: 175)를 검사하기 위한 간섭 현미경(interfernce microscope: 110)을 포함한다. 간섭 현미경(110)은 범용의 컴퓨터(192)와 통신을 행하는데, 이 컴퓨터는 간섭 현미경(110)으로부터 얻은 데이터 신호를 분석해서 검사 대상체(175)에 관한 정보를 제공한다. 비교를 위해 직교 좌표계(Cartesian coordinate system)를 사용한다.
간섭 현미경(110)은 간섭 대물렌즈(interference objective: 167)와 빔 분할기(beam splitter: 170)를 포함한다. 빔 분할기(170)는, 간섭 대물렌즈(167)를 거쳐 검사 대상체(175)를 검사하기 위해, 간섭 현미경(110) 내의 광원 서브시스템으로부터 나오는 빛(illumination)을 반사하고, 검사 대상체(175)로부터 반사된 빛을 검출기 서브시스템으로 보내서 검출을 행한다. 간섭 대물렌즈(167)는 미라우(Mirau) 타입의 대물렌즈이며, 대물렌즈(177), 빔 분할기(179), 및 기준 면(reference surface: 181)을 포함한다.
광원 서브시스템은 1차 광원(163), 2차 광원(197), 및 빔 결합기(164)를 포함한다. 빔 결합기(164)는 1차 광원(163) 및 2차 광원(197)으로부터 나오는 광을 조합해서, 중계용 광학기기(169, 171)를 통해 빔 분할기(170)로 보낸다. 이하 더 구체적으로 설명하는 바와 같이, 1차 광원(163)는 저간섭성 간섭계 측정을 위한 저간섭성 광을 제공하고, 2차 광원(197)은 주사 동안 주사 이력을 모니터링하기 위한 더 긴 간섭성 길이를 갖는 광을 제공한다.
1차 광원(163)은 광대역 파장[예를 들어, 50nm 이상, 또는 바람직하게는 100nm 이상의 반치 전폭(FWHM)을 갖는 발광 스펙트럼]을 통해 광을 제공하는 공간적으로 확장된 광대역 광원이다. 예를 들어, 광원(163)은 백색 발광 다이오드(LED), 할로겐 전구의 필라멘트, 크세논 아크 램프 등의 아크 램프, 또는 매우 넓은 광원 스펙트럼(예를 들어, 대략 200nm 이상의 스펙트럼 FWHM을 가짐)을 생성하기 위해 광학 재료에서의 비선형 효과를 이용하는, 소위 초광대역(supercontinuum) 광원이 될 수 있다.
2차 광원(197)은 1차 광원(163)의 간섭성 길이(coherence length)보다 더 긴 간섭성 길이를 갖는다. 일부 실시예에서, 2차 광원(197)은 단일 모드 레이저 광원과 같은 간섭성이 높은 광원이다. 광원(197)은 단색 광원이 될 수 있다.
검출기 서브시스템은 1차 광원(163)에 연결된 세기 모니터(intensity monitor: 161)를 더 포함한다. 세기 모니터(161)는 1차 광원(163)의 세기와 관련된 정보를 제공함으로써, 시스템(100)이 그러한 세기에서의 변동을 고려하도록 할 수 있다.
검출기 서브시스템은, 1차 검출기(191), 2차 검출기(199), 및 빔 분할기(198)를 포함하며, 빔 분할기는 간섭 대물렌즈(167)로부터 나오는 광을 1차 및 2차 검출기로 향하도록 되어 있다. 1차 검출기(191)와 2차 검출기(199)는 모두 다중요소(multi-element) 검출기(예를 들어, 다중요소 CCD 또는 CMOS 검출기)이다. 선택적으로, 검출기 서브시스템은 2차 검출기(199)에 영향을 미치는 광을 필터링해서, 2차 광원(197)으로부터 나오는 광만 2차 검출기(199)에 도달하도록 하는 대역통과 필터(101)를 포함한다.
시스템(100)의 동작 중에, 1차 광원(163)은 중계용 광학기기(169, 171) 및 빔 분할기(170)를 통해 간섭 대물렌즈(167)에 입력 광을 제공한다. 2차 광원(197)으로부터 나오는 광은 빔 결합기(164)에 의해 입력 광과 결합된다. 대물렌즈(167)와 중계용 광학기기(189)는 검사 대상체(175)로부터 반사되는 광(183, 187)이 검출기(191)를 향하도록 해서, 검출기(191)에서의 시야 범위(FOV: field of view)에 검사 대상체(175)의 이미지를 형성한다. 빔 분할기(198)는 대물렌즈(167)로부터 나오는 광의 일부가 2차 검출기(199)로 향하도록 한다. 주변 광선은 183으로 나타내고 있으며, 주요 광선은 187로 나타낸다.
빔 분할기(179)는 광의 일부(185)가 기준 면(181)을 향하도록 하고, 기준 면(181)으로부터 반사되는 광을, 검사 대상체(185)로부터 반사되는 광과 재결합시킨다. 이러한 결합되는 광 중에서 검사 대상체(175)로부터 반사되는 광(이하, '검사 광'이라 한다)과 기준 면(181)으로부터 반사되는 광(이하, '기준 광'이라 한다)은, 검출기(191)에 광학적 간섭 패턴을 형성한다. 간섭 현미경(100)은 통상적인 촬상용으로 구성되어 있기 때문에, 광학적 간섭 패턴(이하, '간섭도' 또는 '간섭 이미지'라고도 함)은 검사 면의 이미지에 대응한다.
간섭 현미경(110)은 검사 대상체(175)에 대한 간섭 대물렌즈(167)의 위치를 제어하는 액추에이터(actuator: 193)를 포함한다. 예를 들어, 액추에이터(193)는 간섭 대물렌즈(167)에 연결되어 검사 대상체(175)와 간섭 대물렌즈(167) 사이의 거리를 Z 방향으로 조절하는 압전 트랜스듀서가 될 수 있다. 검사 대상체(175)와 간섭 대물렌즈(167) 사이의 이러한 유형의 상대적인 이동을 초점 주사(focus scanning)라고 하는데, 검사 대상체(175)에 대한 간섭 대물렌즈(167)의 초점 평면의 위치를 주사하기 때문이다.
동작 중에, 액추에이터(193)는 검사 대상체(175)에 대하여 간섭 대물렌즈(167)를 주사함으로써, 검출기 요소의 각각의 간섭계 신호를 생성하는 검사 광과 기준 광 사이의 광로차(OPD)를 변경하게 된다. 액추에이터(193)는 접속 라인(195)을 통해 컴퓨터(192)에 연결되며, 컴퓨터(192)는 데이터 취득 중의 주사 속도 등을 제어할 수 있다. 이에 추가로 또는 이와 달리, 액추에이터(193)는 의도한 주사 증분(scan increment) 등과 같은 주사 움직임(scan motion)에 관한 정보를 컴퓨터(192)에 제공한다.
도 2는 X 방향 및 Y 방향에서의 검사 대상체의 표면 높이 변조(surface-height modulation)에 관련된 간섭 무늬를 나타내는, 단일의 주사 위치에 대한 검출기(191)의 광학적 간섭 패턴의 예를 나타낸다. 검출기(191)에서의 광학적 간섭 패턴의 세기 값은 검출기(191)의 여러 요소에 의해 측정되며, 컴퓨터(192)의 전자 프로세스에 제공되어 분석된다. 각각의 검출기 요소는 세기 데이터(intensity data)를 주사 과정 중에는 일반적으로 일정한 프레임 레이트(예를 들어, 대략 30Hz 이상, 대략 50Hz 이상, 대략 100Hz 이상)로 취득한다. 이 세기 값은 검출기 요소에 의해 측정된 것이며, 저간섭성 간섭계 신호로부터 검사 광 및 기준 광 사이의 일련의 OPD 값과 연관된 것이다.
도 3은 검출기(191)의 단일 요소에 대한 주사 위치의 함수로서, 검출된 세기(Ii)의 출력을 나타낸다. 이 출력은 검사 광과 기준 광 사이의 제로 OPD의 위치에서의 가우스 포락선(Gaussian envelope)에 의해 변조된 사인 곡선의 간섭 무늬를 가진 통상적인 저간섭성 간섭 신호를 나타낸다. 가우스 포락선의 폭(width)은 1차 광원(163)의 간섭성 길이에 따라 달라진다. OPD 주사는 광원의 간섭성 길이보다 더 길다.
1차 검출기(191)는 저간섭성 간섭 신호를 취득하고, 2차 검출기(199)는 2차 광원(197)으로부터의 간섭성 광에 기초해서 간섭 신호를 취득한다. 도 4는 주사 위치 Z의 함수로서, 2차 검출기(199)의 단일 픽셀에 대한 간섭 신호의 출력을 나타낸다. 2차 검출기(199)를 사용해서 취득한 간섭 신호를 모니터 신호(monitor signal)라고 한다.
통상적으로, OPD를 일정한 속도로 주사하며, 일정한 시간 간격마다 데이터 포인트(data point)를 취득한다. 기본적으로, 각각의 데이터 포인트는 OPD에서의 균일한 변위 증분(displacement increment)에서 구한 것이다. 그러나, 일반적으로 주사가 일정한 속도인 것으로 가정한다고 하더라도, 주사 움직임은 기계적인 결합 또는 움직임에 방해가 되는 진동에 기인하여 선형의 이동을 하지 못하게 될 수 있다. 따라서, 취득한 간섭 데이터는, 측정한 세기 값이 관련된 공칭의 주사 위치로부터 실제의 주사 위치의 편차를 생기게 하는, 주사의 비균일성(non-uniformity)과 관련된 오차를 포함할 수 있다.
이러한 오차를 "주사 오차"라 하고, 도 5에 그래픽으로 나타낸다. 도 5는 z를 시간 함수로 나타낸 것이며, z는 검사 대상체(175)와 대물렌즈(167) 간의 상대적인 변위이다. 실질적으로, z는 검사 광 및 기준 광 간의 OPD에 대응한다. 이 결과는 일정한 속도 주사를 표현한 라인을 나타낸다. 4개의 취득 시간을 t1, t2, t3, t4로 나타낸다. 주사 오차가 없는 경우, 검사 대상체의 위치(z)는 라인 선상에 위치하게 될 것이다. 그러나, 주사 오차에 의해 공칭의 위치와 취득 시간에서의 검사 대상체의 실제 위치 사이에 편차가 생기게 되어, 검사 대상체의 실제 위치가, 데이터 포인트로 나타낸 바와 같이, 라인에서 벗어나게 된다. 각 취득 시간에서의 주사 오차의 크기를 εi(i=1,...,4)로 나타낸다.
일반적으로, 시스템(100)을 사용해서 주사 오차에 대해 행해진 측정 감도는 주사 오차 광원의 주파수에 따라 달라진다. 예를 들어, 시스템 감도(system sensitivity)는 시스템에서 생기는 진동의 주파수에 따라 달라질 수 있다. 도 6의 예를 들면, 진동에 대한 상대 감도(Sv)는, 570nm의 평균 파장, 200nm의 반치 전폭 스펙트럼 대역폭, 낮은 NA(개구수)의 대물렌즈, 71.5nm의 샘플링 주사 간격, 및 100Hz 프레임 레이트의 1차 검출기에서 동작하는 저간섭성 시스템에 대한 진동 주파수의 함수(fvib)로서 표현된다. 감도는 20~30Hz 내지 70~80Hz의 주파수에 대해서는 낮으며, 이러한 주파수 대역에서는 상대적으로 높은 감도의 피크를 갖는다. 간섭 무늬 반송 주파수는 SWLI의 경우 대략 25Hz이기 때문에, 1차 검출기는 각 간섭 무늬에 대해 대략 4배를 샘플링한다. 도 6에 나타낸 25Hz 미만의 주파수에 대한 높은 감도 영역은 주사 속도에서의 오차와 관련될 수 있으며, 25Hz를 넘는 주파수에 대한 높은 감도 영역은 진동에 기인한 주사 증분에서의 왜곡(distortion)과 관련되어 있을 수 있다. 이 왜곡은, 예를 들어 기록된 카메라 프레임에서 카메라 프레임으로 이웃하는 주사 위치에 대한 데이터 취득에 대한 부호(sign)가 급격하게 변경될 수 있다. 일반적으로, 본 명세서에서 언급하는 바와 같이, "저주파수"(low frequency) 주사 오차 광원(예를 들어, 저주파수 진동)은 저간섭성 간섭 신호를 취득하는 데에 사용되는 검출기[예를 들어, 1차 검출기(191)]의 프레임 레이트와 동일하거나 이보다 작은 주파수를 의미한다. "고주파수" 주사 오차 광원(예를 들어, 고주파수 진동)은 저간섭성 간섭 신호를 취득하는 데에 사용된 검출기의 프레임 레이트보다 큰 값의 주파수를 의미한다.
시스템(100)을 사용해서 이루어지는 측정에서의 주사 오차의 영향을 감소시키기 위하여, 컴퓨터(192)는 검출기(199)를 사용해서 취득한 모니터 신호로부터의 정보를 사용하여, 1차 검출기(191)를 사용해서 취득한 저간섭성 신호에서의 주사 오차의 영향을 감소시킬 수 있다. 모니터 신호는 간섭성 광원[2차 광원(197)]에 기초하기 때문에, 간섭 무늬는 주사의 길이를 넘어 확장하고, 그 해석은 전체 주사 범위를 넘어 위상 정보(그리고 이에 대응하는 상대 변위 정보)를 제공한다. 이하에 설명하는 바와 같이, 일반적으로, 2차 검출기(199)의 FOV에서의 다중 포인트에 대한 모니터 신호의 분석에 의해, 진동에 의해, 구체적으로는 앞서 정의한 바와 같이 고주파 영역에서의 진동에 의해 생기는 것들을 포함하는 주사 오차를 판정할 수 있다.
주사한 모니터 신호의 위상이 FOV를 넘는 차이를 보인다고 가정하면, 이러한 위상 다이버시티(즉, 모니터 신호 중의 적어도 몇몇의 여러 가지 위상 오프셋)에 의해, 주사 위치마다 급격하게 변경될 수 있는 주사 오차에 대한 해석에서의 체계상의 오차의 보정이 가능하다. 따라서, 분석을 적절하게 행하면, 위상 다이버시티를 제공하는 여러 번의 측정을 하지 않고도, 부정확하게 측정될 수 있는 고주파수 진동을 정확하게 측정할 수 있게 된다. 모니터 신호에 대한 이미지 포인트의 선택 폭이 넓으면, 반도체 웨이퍼와 같은 고도로 패턴화된 대상체 표면에 대해서도 부응할 수 있다.
따라서, 컴퓨터(192)가 주사 움직임 이력을 판정했으면, 모니터 신호의 해석에 기초해서 저간섭성 신호에 대해 유효한(적어도 더 정확한) 주사 움직임을 판정할 수 있다. 1차 검출기(191)에 의해 수집된 저간섭성 데이터의 추가적인 처리[예를 들어, 큐빅 스플라인(cubic spline) 보간법 등의 알고리즘을 사용해서]는, 이러한 데이터에 대한 주사 오차의 영향을 감소시킨다. 모니터 신호 데이터와 저간섭성 신호 데이터의 데이터 분석에 대하여, 이하 구체적으로 설명한다.
PUPS 간섭계 시스템
상기 설명은 검사 대상체를 검출기에 결상하도록 구성된 간섭 현미경에 관한 것이었지만, 주사 오차 보정은 다른 구성에도 적용될 수 있다. 예를 들어, 다른 실시예에서는, 현미경의 동공면(pupil plane)을 검출기에 결상화하도록 간섭 현미경을 구성할 수도 있다. 이러한 구성을 PUPS(동공면 백색광 주사 간섭계: Pupil-Plane SWLI) 구성이라 한다. 이러한 동작 모드는, 예를 들어 검사 면의 복잡한 반사도를 판정하는 데에 유용할 수 있다.
도 7은 도 1에 나타낸 시스템(100)과 관련해서 앞서 설명한 복수의 요소를 포함하는 PUPS 시스템(200)을 나타낸다. 그러나, 이 시스템(200)은, 앞서 설명한 시스템(100)과 달리, 동공면 이미징 튜브 렌즈(pupil-plane imaging tube lens: 213) 및 편광부(polarizer: 215)를 포함하며, 편광부(215)는 대물렌즈(167)와 빔 분할기(170) 사이에 위치한다. 시스템(200)에서, 동공면(217)은 검출기(191) 상에 결상된다. 필드 중단부(field stop: 219)는 샘플 광을 검사 대상체(175) 상의 소규모 영역으로 제한한다. 시스템(200)은, 앞서 설명한 바와 같이, 시스템(100)과 동일한 방식으로 데이터를 취득한다.
분석을 위해, 전자 프로세서(192)는 1차 검출기(191)로부터의 간섭계 신호를 주파수 영역으로 변환하고, 1차 광원(163)의 여러 가지 파장 성분에 대한 위상 및 진폭 정보를 추출한다. 광원 스펙트럼을 넓게 할 수 있기 때문에, 많은 독립적인 스펙트럼 성분을 계산할 수 있다. 진폭 및 위상 데이터는 검사 면의 복잡한 반사 특성과 직접 관련될 수 있으며, 이 값을 분석해서 검사 대상체에 관한 정보를 판정할 수 있다.
이러한 구성의 시스템(200)에 의하면, 1차 검출기(191)의 각각의 검출기 요소는, 구체적인 입사각과 편광 상태[편광기(215)에 의한]에 대한 복수의 파장에서의 측정값을 제공한다. 복수의 검출기 요소는 일련의 입사각, 편광 상태 및 파장을 처리한다.
도 8은 초점 면(229)(예컨대, 검사 대상체에서의 초점 면)과 동공면(217)에서의 광들 간의 관계를 나타낸다. 동공면(217)을 조명하는 각 광원 포인트는 검사 대상체를 조명하는 검사 광에 대한 평면파(plane wave front)를 생성하기 때문에, 동공면(217)에서의 광원 포인트의 반경 위치는 대상체에 대한 조명들의 입사각을 정상적으로 규정한다. 따라서, 광축으로부터 소정의 거리(r)에 위치한 모든 광원 포인트는 고정된 입사각(θ)에 대응하며, 이에 의해 대물렌즈는 검사 광을 초점을 검사 대상체에 맞춘다. 투과되는 광에 대한 최대 반경 거리가 rmax이고, 개구수(NA)를 가진 동공면 이미징 튜브 렌즈의 경우, 광축(OA)으로부터의 거리(r)에서의 동공면(217)에 있는 포인트와 초점 면(229)에서의 입사각(θ) 간의 관계를, sin(θ)=(r/rmax)NA로 나타낸다.
경로 길이 주사
도 1 및 도 6과 관련해서 앞서 설명한 실시예는, 초점 주사를 제공하는 미라우(Mirau) 대물렌즈를 사용하고 있다. 그러나, 일반적으로, 다른 구성을 채택해도 된다. 예를 들어, 리닉(Linnik) 대물렌즈를 포함하는 간섭계 시스템을 사용해도 된다. 이러한 시스템을 도 9에 나타낸다. 구체적으로 설명하면, 도 9의 시스템(300)은 검사 대상체(175)를 검출기에 결상하도록 된 간섭 현미경(310)을 포함한다. 시스템(300)은 앞서 시스템(100)과 관련해서 설명한 복수의 요소를 포함한다. 그러나 시스템(300)은, 미라우 대물렌즈를 사용하지 않고, 빔 분할기(170)로부터 나오는 광을 대물렌즈의 여러 개의 손잡이(arm)를 따라 검사 광과 기준 광으로 분할하는 빔 분할기(327)로서 기능하는 리닉 간섭 대물렌즈(325)를 포함한다. 대물렌즈(325)는 검사 광의 손잡이에 있는 검사용 대물렌즈(327)와 이에 대응하는 기준 광의 손잡이에 있는 기준용 대물렌즈(329)를 포함한다. 기준 대상(381)은 기준용 손잡이 내에 위치하고, 기준 광을 빔 분할기(379)로 다시 반사한다.
기준용 대물렌즈(329)와 기준 대상(381)은 대물렌즈(325)의 다른 컴포넌트에 액추에이터(331)를 통해 연결된 어셈블리 내에 설치된다. 동작 중에, 액추에이터(331)는 기준용 대물렌즈(329)와 기준 면(381)을 빔 분할기(379)에 대하여 이동시킴으로써, 검사 광 및 기준 광 간의 OPD를 조정한다. 기준용 대물렌즈(329)와 기준 면(381) 간의 경로 길이는 주사 동안 일정하게 유지된다. 따라서, 검사 광과 기준 광 사이의 OPD는 대상 초점과는 독립적으로 변경된다. 이러한 타입의 주사를 "경로 길이" 주사가라고 한다. 시스템(300)에서, 경로 길이 주사는 리닉(Linnik) 구성 중의 기준 레그 내의 시준된 공간의 길이를 증가시키고, 검사 레그에서는 대상이 주사 동안 동일한 초점 위치에서 유지된다.
리닉(Linnik) 대물렌즈를 사용하는 간섭계 시스템은 PUPS 모드 동작용으로 구성될 수도 있다. 도 10을 참조하면, 예를 들어 시스템(400)이 리닉(Linnik) 대물렌즈(325)를 포함하는 간섭 현미경(410)을 포함하며, 상기 설명한 시스템(200)과 유사하게, 동공면을 1차 검출기(191)에 결상하도록 구성되어 있다.
일반적으로, 주사 오차를 보정할 경우, 주사 움직임 분석은 간섭계 시스템의 주사 모드(예를 들어, 초점 또는 경로 길이 주사)와 이미징 모드(예를 들어, 대상 이미징 또는 PUPS)에 기초해야 한다. 예를 들면, 저간섭성 신호 내의 반송파 간섭 무늬 주파수는 시스템의 동작 모드에 따라 달라질 수 있다. PUPS 모드에서 동작하는 리닉(Linnik) 간섭계 시스템의 경우, 예를 들어 경로 길이 주사에 의해, 동공면 이미지에서의 모든 위치에 대해 동일한 간섭 무늬 반송파 주파수가 된다. 반면, PUPS 모드에서 동작하는 미라우(Mirau) 간섭계의 경우, 초점 주사(OPD와 동시에 대상 초점을 주사)에 의해, 동공면에서의 광축으로부터의 거리가 cos(θ)에 비례해서 증가함에 따라, 간섭무늬 반송파 주파수가 감소하게 된다. θ는 대상 면에서의 광선이 광축과 이루는 각도이다(도 8 참조).
리닉 간섭계에서의 경로 길이 주사가 일반적으로 동공면에 대해 일정한 주파수 모니터 신호를 생성하는 반면, 간섭 캐비티(interferometric cavity)의 2가지 타입의 섭동(perturbation)이 있을 수 있다. 한가지 타입은 대물렌즈(329)와 기준 미러(reference mirror: 381)가 단일체로서 이동함에 따라 기준 레그에서 생기는 바람직하지 않은 주사 움직임(예를 들어, 비선형성)인 진동이다. 이 경우, 주사 오차는 모니터 신호가 동공면에서 측정되는 위치와 독립적인 모니터 신호 내의 광로 편차를 만든다. 다른 타입은 렌즈(127)와 대상 면(175) 사이의 거리에 편차를 생기게 하는, 대상 레그에서 생기는 진동이다. 이 경우, 진동에 의해, 대상체 공간(object space) 내의 입사각의 함수(또는 동공면에서의 반경 위치의 함수에 상당)인 모니터 신호 내에 광로 편차가 생긴다. 이러한 구성에서는, 이들 2개의 모션 성분을 분리시켜서 후속하는 신호 보정에서 이들을 적절하게 고려할 필요가 있다.
소정의 실시예에서, 간섭 무늬 반송파 주파수에서의 편차는 제로 OPD에서의 PUPS 모드 내의 FOV를 가로지르는 복수의 모니터 신호의 위상 다이버시티가 작은 경우에 사용될 수 있다. 반경 위치와의 간섭 무늬 반송파 주파수에서의 편차는 제로 OPD의 양쪽에서의 동공 FOV를 가로지르는 위상의 다이버시티를 생성하고, 낮은 진동 주파수 및 높은 진동 주파수에 대해 주사 증분을 정확하기 판정하기 위해 필요한 정보를 제공한다.
일반적으로, 본 명세서에서 설명하는 주사 오차 보정 기술은, 2가지 주사 방법과 종래의 이미징 및 동공명 이미징 모두에 적합하며, 데이터 처리, 특히 PUPS 모드에서 약간의 차이가 있다. PUPS 측정을 위해 구성된 리닉(Linnik) 대물렌즈 현미경에서처럼 경로 길이에서 주사를 하면(도 10 참조), 동공 이미지 내의 모든 픽셀에 대해 간섭무늬 반송파 주파수가 동일하다. 도 7의 미라우(Mirau) 대물렌즈 현미경에서처럼 OPD와 동시에 대상체 초점을 주사하면, 동공면에서 광축으로부터의 거리가 cos(θ)에 비례해서 증가함에 따라, 간섭무늬 반송파 주파수가 감소하게 된다. θ는 대상 면에서의 광선이 광축과 이루는 각도이다. 이러한 주파수의 편차는 제로 OPD에서의 PUPS 모드의 FOV를 가로지르는 위상 다이버시티가 작은 경우에 유리할 수 있다. 반경 위치와의 주파수에서의 편차는 제로 OPD의 양쪽에서의 동공 FOV를 가로지르는 위상의 다이버시티를 생성하고, 모든 진동 주파수에 대해 주사 증분을 정확하기 판정하기 위해 필요한 정보를 제공한다.
모니터 데이터로부터 주사 위치를 판정
일반적으로, 모니터 데이터로부터 주사 위치를 판정하기 위한 방법은 다양하다. 예를 들어, 분석을 주사 오차의 저주파수 광원로 제한하면, 구체적인 카메라 프레임과 구체적인 픽셀에서의 모니터 신호의 위상을 추정하기 위해 통상적인 위상 편이 간섭계(PSI) 알고리즘을 사용하면 된다. 예를 들어, 카메라 프레임 간의 공칭의 위상 변이가 π/2이면, 공지된 위상 변이 알고리즘은 다음과 같은 식을 갖는다.
[수학식 1]
Figure 112010046261992-pct00001
위 식에서, r은 픽셀 위치를 특정하는 벡터이고, g1,2,...5는 데이터 취득 주사 중에 취득한 일련의 카메라 프레임에 대한 해당 픽셀에서의 대응하는 세기 측정값이다[예를 들어, Schwider 등이 저술한 Encyclopedia of Optics(1983년)의 2101 페이지의 표 2를 참조하라]. 위의 식 1은 기본적으로 중간 프레임 g3에서 위상 φ를 제공한다. 다른 예로서, PSI 알고리즘은 Deck(L. Deck, "Vibration-resistant phase-shifting interferometry", Appl. Opt. 35, 6655-6662, 1996년)과 Olszk 및 Schmit(US6,624,894)가 제안한 주사 위치를 판정하는 데에 적용될 수 있다. 그러나 PSI 알고리즘 방법은 저주파수 진동에 대해서만 유효한데, 이 알고리즘은 저간섭성 신호와 동일한 방식으로 고주파수 진동에 대해서는 영향을 많이 받기 때문이다.
저주파수 뿐만 아니라 고주파수 진동을 보상하기 위하여, 2개의 상이한 픽셀 위치의 최소값에서 위상 φ(r)을 측정하는 방법이 사용된다. 예를 들어, PSI 알고리즘을 사용하는 구체적인 경우(예를 들어, 식 1 등에 나타낸 것)에, φ(r)을 판정하는 데에 있어서의 오차는 φ(r)의 주파수의 2배 주기를 갖는다. 따라서, 구적법(quadrature)에서의 2개 이상의 위상(90°차이가 남)의 평균 측정값은 고주파수 진동에 관련된 오차를 소거할 수 있다.
더 일반적으로 말하면, 간섭 데이터로부터 실험적으로 실제의 주사 위치를 판정하기 위한 PSI와 관련해서 몇 가지 방법이 개발되었다. 일반적으로, 이들 방법은 예를 들어, 다중 요소 검출기의 FOV를 가로지르는 간섭도에서 일부의 위상 다이버시티를 도입하는 기능과 함께, 모니터 신호를 취득하기 위해(예를 들어, 앞서 실시예에서 설명한 바와 같이) 다중 요소 검출기를 사용함으로써 제공될 수 있는 위상 φ(r) 및/또는 주파수의 범위가 유효한 경우에 가장 효과적이다.
위상 다이버시티는, 시스템이 통상적인 이미징 모드에서 동작할 때에, 예컨대 검사 대상체의 자연적인 높이 편차에 의해 도입될 수 있다. 다른 예로서, 위상 다이버시티는, 간섭 무늬(interference fringe)를 도입하기 위해 검사 대상체 또는 기준 대상을 기울임으로써 통상적인 이미징 모드에서 도입될 수 있다. PUPS 모드에서, 미라우(Mirau) 대물렌즈 등을 사용하는 시스템에서, 시스템 구조는 본질적으로 검출기의 FOV를 가로지르는 간섭 무늬 주파수의 범위를 도입한다.
이하의 논의는 일련의 위상 φ(r)을 사용해서 주사 위치를 판정하는 방법의 예를 제공한다. 먼저 기준 미러와 대물렌즈는 견고한 대상체처럼 광축을 따라 함께 이동함으로써, 경로 길이 주사를 달성하는 PUPS-Linnik 시스템(예를 들어, 도 10에 나타낸 것처럼)을 고려하면, 동공면의 여러 포인트에서의 정반사(specular reflection)에 대한 경로차를 z(t, r)로 할 수 있다. t는 주사 과정 중의 시간 파라미터를 의미한다. 이러한 경로차는 완벽한 주사에 오차 항(error term)을 추가한 것이 될 것이다.
[수학식 2]
Figure 112010046261992-pct00002
z0은 이상적인 주사를 나타내며, ε는 오차 또는 노이즈 항을 나타낸다. 간섭계의 위상은 다음과 같이 될 수 있다.
[수학식 3]
Figure 112010046261992-pct00003
φ0은 픽셀 평면에서 여러 상이한 포인트에 잠재적인 위상 차를 제공하는 위상 오프셋이다. 2차 광원으로부터의 광의 파장은 λ로 나타내며, 이 값은 r과는 독립적인 것으로 가정한다.
r에 대한 원점을 동공면에서의 광학축에 대응하는 포인트가 되는 것으로 선택하고, θ(r)이 동공면에서 r을 통과하는 정반사 광의 대상체 초점 평면에서의 입사각을 나타내는 것으로 하면, 이하와 같은 아베(Abbe) 사인 조건이 된다.
[수학식 4]
Figure 112010046261992-pct00004
(k가 상수인 경우)
경로차의 주사는, 대상체와 기준 미러가 리닉(Linnik) 시스템에서와 같이 함께 이동하면, θ에 따라 달라지지 않을 것이기 때문에, 시준 공간(collimated space)에서의 주사를 달성할 수 있다. 대상체 초점이 미라우(Mirau) 시스템에서와 같이 주사되면, OPD는 θ에 따라 달라진다.
[수학식 5]
Figure 112010046261992-pct00005
경로 길이가 주사되는 경우에, r과는 독립적이다.
초점이 주사되는 경우,
Figure 112010046261992-pct00006
경로 길이와 초점이 모두 주사되면[예를 들어, Linnik(리닉) 시스템에서 가능함], z0은 이들 2가지 타입의 모션의 선형 합(linear sum)이 될 것이다.
앞서 설명한 바와 같이, 일부 실시예에서, 주사는 t의 공칭적으로 완벽한 선형 함수가 되며, 동공면의 모든 포인트는 주사의 시작점에서 동일한 공칭 OPD를 가지며, 이상적으로는 주사가 진행됨에 따라 대상체 또는 기준의 기울어짐이 없다. 이러한 경우를 다음과 같이 표현할 수 있다.
[수학식 6]
Figure 112010046261992-pct00007
상기 식에서 c는 주사마다 변경될 수 있는 상수이며,
Figure 112010046261992-pct00008
도 상수이다. r의 함수로서의 주사는 주사의 타입(식 5)에 따라 달라질 것이다.
일반적으로 오차항 ε는 t와 r에 의존하지만, 대상체는 주사가 행해짐에 따라 회전하지 않은 딱딱한 물체인 것으로 가정하기 때문에, 오차는 다음과 같이 더 간단히 표현할 수 있다.
[수학식 7]
Figure 112010046261992-pct00009
이 식에서의 제1 합(sum)은 시준 공간에서의 진동 또는 주사 오차를 나타내며, cos(θ)에 비례하는 제2 항(term)은 초점 오차에 의해 생긴 간섭계의 높은 개구수 공간에서의 진동 또는 주사 오차를 나타낸다.
2차 검출기에 의해 동공면에서 검출된 모니터 신호 간섭 세기는 시간에 따라 달라지며, 다음 식으로 나타낸 바와 같이, 간섭계에서의 위상차에 따라 달라진다.
[수학식 8]
Figure 112010046261992-pct00010
A(r)은 포인트 r에서의 간섭도의 평균 진폭을 의미한다. dA(t, r)은 포인트 r에서의 간섭도의 진폭의 평균에 대한 변동(fluctuation)을 의미한다. φ(t, r)은 시간 t의 함수로서 r에서의 위상을 의미한다. c(r)은 일반적으로 r이 의존하는 간섭도 신호에 대한 평균 오프셋(mean offset)을 의미한다. dc(t, r)은 오프셋의 평균에 대한 변동을 의미한다. 이것은 통상적으로 완만하게 변화하는 시간 함수이다.
세기 I(t, r)은 이산적인 일련의 시간 {t}와 동공면에서의 이산적인 일련의 포인트 {ri}에서 샘플링된다. 이상적인 시간 샘플 포인트는 다음과 같은 식과 동일한 공간에 있는 것으로 가정한다.
[수학식 9]
Figure 112010046261992-pct00011
t는 i와는 독립적임)
포인트 r에 대하여, 완전한 시간 세트 {t}는 1차원 어레이인 것으로 생각될 수 있으며, 추정치는 노이즈 항 εp (t) 및 εf (t)로 이루어질 수 있다. 단일의 픽셀은 앞서 설명한 바와 같이, 높은 진동 주파수에서 이들 오차 항의 신뢰할 수 있는 추정치를 제공하지 않는다. 상이한 포인트 {ri}에서의 이러한 벡터의 다양성에 의해, 이들 노이즈 항의 각각에 대해 복수의 추정치가 이루어질 수 있다. 최종적인 추정치는 중앙값(median)을 일련의 측정치에 적용함으로써 얻을 수 있다.
[수학식 10]
Figure 112010046261992-pct00012
상기 식에서 i는 포인트 ri에 대한 상이한 시간에서 취한 벡터를 사용해서 구한 추정치를 의미한다. 어떤 포인트 {ri}를 사용할 것인지는 어느 정도 임의적이며, 가장 중요한 고려사항은 포인트가 가능한 개시 위상(starting phase)에서의 편차와 같은 값을 갖거나, 초점 주사가 사용된다면, 여러 개의 θ값을 가져야 한다는 것이다.
이하의 알고리즘은 단일의 벡터 세트 {ti}에 대해 작용한다. 제1 단계는 벡터 I(ti, r)의 피크를 정확하게 계산하는 것이다. 간섭 신호 데이터의 단일의 사인파에서의 샘플의 수가 하나의 파에 대해 8개 내지 30개가 되도록 δt를 충분히 작게 할 필요가 있다. 이러한 미세 샘플링에 의해, 샘플링된 포인트의 보간(interpolation)은, 예를 들어 큐빅 스플라인을 사용해서 만들 수 있다.
[수학식 11]
Figure 112010046261992-pct00013
벡터 IFine으로부터 π/2의 홀수 배에 해당하는 위상에서 발생하는 신호의 극한값(최대값 및 최소값)을 계산할 수 있다.
[수학식 12]
Figure 112010046261992-pct00014
이들 피크 값을 사용해서, 다음의 양: c(t)+dc(t), A+dA(t), 및 t의 함수로서의 이상적인 위상 φideal을 모두 추정할 수 있다. 다음의 함수 형태를 피크 데이터에 적합하게 함으로써 φideal을 구할 수 있다.
[수학식 13]
Figure 112010046261992-pct00015
상기 식에서,
[수학식 14]
Figure 112010046261992-pct00016
(경로길이 주사의 경우)
Figure 112010046261992-pct00017
(초점 주사의 경우)
코사인 함수의 피크를 작성하기 위해, 개시 위상 φideal(t0, r)의 최적의 값을 구하기 위한 피팅 작업은, 관측한 피크를 발견한 위치에서 행한다.
Figure 112010046261992-pct00018
에 대한 값이 정확하게 알지 못하면, 이것도 또한 데이터 피팅(data-fitting) 알고리즘의 일부가 될 수 있다.
모니터 신호를 피팅하는 다른 방법도 물론 가능하다. 예를 들어, 피크 값을 구하는 다른 방법으로서, 위상을 추정하는 FFT 수단이 있다. 그러나 피크를 이용하는 장점은 샘플 기간을 전체 주사 길이로 균등하게 분할할 것을 필요로 하지 않는다는 점이며, 샘플 기간은 PUPS 분석에 대한 동공면에서 링(ring)마다 달라질 것이기 때문에, 초점면을 주사할 때에 유리할 수 있다.
다음 작업은 부정확한 주사에 의해 생기는 φ에서의 오차를 추정하는 것이다. 이것은, 예를 들어, 다음과 같은 아크 코사인 함수(arc cosine function)를 사용해서 행할 수 있다(0과 π 사이의 값을 복원하는 것으로 이해될 수 있다).
[수학식 15]
Figure 112010046261992-pct00019
이 공식은 벡터의 모든 샘플 포인트에 적용되어야 한다. dφ가 추정되면, 간단히 오차 ε(t, r)을 계산하면 된다. θ의 상이한 값들에 대한 이러한 복수 개의 벡터는, 오차항 εp (t) 및 εf (t)를 분리시키기에 충분한 정보를 제공한다. 예를 들어, n개의 모니터 신호가 상이한 입사각으로 분석되면, 시준 정보는 각각의 시간 샘플 t에 대해 n개의 공식을 제공한다.
Figure 112010046261992-pct00020
이것은 εp (t) 및 εf (t)에 대한 추정치를 제공하기 위해 용이하게 분해되는 중복 판정된 등식의 체계를 제공한다. 이 과정은, 예를 들어 기준 레그와 검사 대상체 레그 모두에서 진동이 일어날 수 있는 리닉(Linnik) 기하학에서의 경로길이 주사의 경우에 요구된다. 초점 주사가 사용되는 리닉(Linnik) 또는 미라우(Mirau) 간섭계의 경우, 상기 수학식은 다음과 같이 단순화할 수 있다.
Figure 112010046261992-pct00021
이 경우, εf(t)의 결과로서의 n개의 추정치의 중간값을 간단히 계산할 수 있다.
저간섭성 신호 데이터의 보정
일반적으로, 주사 오차를 알게 되면, 오차를 나타내기 위해 저간섭성 간섭계 데이터가 보정될 수 있다. 이하는, 임의의 추가의 처리를 행하기 전에 저간섭성 신호 자체의 보정을 나타내기 위한 상세한 예이다. 주사 위치를 측정했으면, 3차 곡선 보간법(cubic interpolation) 또는 다른 타입의 보간 공식을 사용해서, 저간섭성 주사 데이터를 보정할 수 있다. Iw(t, r)이 저간섭성 주사 데이터를 나타내는 것으로 한다. 주사 오차 분석으로부터, 이 데이터는 시간 {t}에서 샘플링된 것이 아니라, 이들 시간에 오차항을 더한 시점에서 샘플링된 것임을 알게 된다. 그래서, 실제로 이하의 시점에서 샘플링이 이루어진다.
[수학식 16]
Figure 112010046261992-pct00022
상기 식에서,
[수학식 17]
Figure 112010046261992-pct00023
상기 식에서,
[수학식 18]
Figure 112010046261992-pct00024
(경로길이 주사의 경우)
Figure 112010046261992-pct00025
(검사 대상체 초점 주사의 경우)
그래서, 값 Iw(Ti, r)을 측정하였지만, 측정하고자 하는 값은 Iw(ti, r)이다. 그러므로, 3차 곡선 스플라인 보간법을 사용해서, 이하의 공식에 의해 Iw(ti, r)을 근사적으로 계산할 수 있다.
[수학식 19]
Figure 112010046261992-pct00026
3차 곡선 스플라인을 행하기 위하여, 함수 I=I(t)에 대하여 i=0, 1, 2, ,...,n인 경우의 포인트의 테이블 [Ti,Ii]을 설정한다. 이것은 n+1개의 포인트와 이들 간에 n개의 간격을 만든다. 3차 곡선 스플라인 보간법은, 통상적으로 조각적으로 연속인 곡선(piecewise continuous curve)이며, 테이블 내의 각 값들을 지난다. 각각의 간격에 대해 개별적인 3차 곡선 다항식이 존재하며, 각각은 다음과 같은 자신의 계수를 갖는다.
[수학식 20]
Figure 112010046261992-pct00027
이와 함께, 이들 다항식 부분은 S(t), 즉 스플라인을 나타낸다.
스플라인 S(t)를 정하기 위해, 총 4n개의 파라미터 각각에 대해 n개의 간격과 4개의 계수가 있기 때문에, 이들을 알기 위해서는 4n개의 독립적인 조건이 필요하다. 2개의 조건은 3차 곡선 다항식이 간격의 양끝에서의 테이블의 값에 부합하는 요건으로부터 각 간격에 대해 구할 수 있다.
[수학식 21]
Figure 112010046261992-pct00028
이들 조건은 조각적으로 연속인 함수((piecewise continuous function)가 된다. 2n개의 조건이 더 필요하다. 보간은 가능하면 평탄하게 하는 것이 바람직하기 때문에, 1차 및 2차 도함수(derivative)도 연속적으로 된다.
[수학식 22]
Figure 112010046261992-pct00029
이들 조건은 i=1,2,...,n-1에 적용되며, 결과적으로 2n-1개의 제약 조건(constraint)이 된다. 따라서, 스플라인을 완전히 정하기 위해 2개 이상의 조건이 필요하다. 일부 표준 선택은 사용자의 몫으로 남겨 둔다.
[수학식 23]
Figure 112010046261992-pct00030
소위 "자연적"(natural)인 경우이고,
[수학식 24]
Figure 112010046261992-pct00031
소위 "고정"(clamped)인 경우이다.
다른 선택은 함수가 주기적인 경우에 가능하다. 어느 것이 최적인지는 용도에 따라 달라진다.
4n개의 계수와 4n개의 선형 조건에 의해, 종래의 알고리즘을 사용해서 이들을 판정하는 등식을 용이하게 구할 수 있다.
이렇게 해서 보정된 저간섭성 간섭 신호는 애플리케이션에 따라 처리될 수 있으며, 표면 구조의 PUPS 분석 또는 통상적인 표면 형태 측정이 된다.
J-행렬 방안
몇몇 실시예에서는, 모니터 신호로부터 주사 오차 정보를 사용해서 간섭계 데이터를 보정하기 위해 "J-행렬"라고 하는 방식을 사용할 수 있다. 이 방식에 대하여 설명한다.
완전히 균일한 간격을 가진 신호 샘플을 제공하는 주사 오차가 없는 측정에 있어서, 결과로서의 방해를 받지 않은 신호(undisturbed signal)가 M개의 요소 벡터 a에 의해 표현될 수 있으며, 이산 퓨리에 변환(DFT: discrete Fourier transform)을 수행함으로써 스펙트럼 분석될 수 있다. DFT는 행렬 형태로 선형 방정식 체계를 해결하는 것과 수학적으로 등가이다.
[수학식 25]
Figure 112010046261992-pct00032
M×M 행렬
Figure 112012021844512-pct00033
의 컬럼(column)은 순수한 발진 신호(purely oscillatory signal)를 나타내는 기저 함수이며, 신호 u는 이들 기저 함수의 선형 조합으로서 해석된다. 복소수 표기법(complex notation)에서, 행렬
Figure 112012021844512-pct00034
의 요소는 다음과 같이 된다.
[수학식 26]
Figure 112010046261992-pct00035
이 방정식 체계에 의해 벡터
Figure 112010046261992-pct00036
에 포함된 스펙트럼 계수에 대한 해를 구한다.
[수학식 27]
Figure 112010046261992-pct00037
Figure 112010046261992-pct00038
은 다음과 같이 된다.
[수학식 28a]
Figure 112010046352768-pct00162
이에 따라, 벡터
Figure 112010046261992-pct00040
의 m번째 요소는 다음과 같이 된다.
[수학식 28b]
Figure 112010046352768-pct00163
이것은 이산 퓨리에 변환을 통상적으로 정의하는 형태를 가진다(인덱스가 0이 아닌 1에서 시작하는 사실의 결과인 인덱스 시프트와 별개임). 벡터
Figure 112010046261992-pct00042
의 M개의 요소는 외란이 없는 신호(undisturbed signal)
Figure 112010046261992-pct00043
에서의 0번째, 1번째, ..., (M-1)번째 고조파(harmonic)의 주파수 성분을 나타낸다. (M-h)번째 고조파는 -h번째 고조파와 등가이다. 이것은, 스펙트럼의 상단부에서의 스펙트럼 선분이 실제로는 음(negative)의 주파수 성분이라는 것을 의미한다.
신호를, 주사 오차(예를 들어, 측정 시스템에서의 진동에 기인한)에 의해 절충된 공칭적으로 균일한 샘플링 증분 또는 누락된 데이터 포인트 등의 균일하지 않은 샘플링 증분에서 취하는 것으로 한다. 정규의 DFT에 의한 외란된 신호
Figure 112010046261992-pct00044
의 스펙트럼 분석은 외란된 스펙트럼이 될 것이다.
롬-스카글(Lomb-Scargle) 방법은, 샘플링 증분이 알려져 있는 경우에, 균일하지 않은 간격을 가진 데이터의 스펙트럼 분석을 수행하는 한가지 방식이다. 일반적으로, 롬-스카글 방법은 데이터에 대한 사인 곡선의 최소 제곱 피팅(least-squares fitting)을 나타낸다. 각각의 관련 주파수에 대한 파워 스펙트럼 추정치(power spectrum estimate)를 독립적으로 산출한다. 피팅 함수(fitting function)는 서로 직교하지 않는다는 사실에 의해, 여러 주파수 성분들 사이에 일부 누설(leakage)이 생길 수 있다. 따라서, 본 방법은 일반적으로는 정확한 방법은 아니지만, 높은 노이즈 레벨이 존재하는 경우에는 매우 강력한 방법이 된다.
소정의 실시예에서, DFT에 가까운 균일하지 않은 간격을 가진 데이터의 스펙트럼 분석을 위한 방법을 취할 수 있다. 일반적으로, DFT가 상기와 같이 설정된 방식과 달리, 새로운 M×M 행렬
Figure 112012021844512-pct00045
를 형성하기 위해, 변경된 일련의 기저 함수가 사용된다. 각각의 기저 함수(행렬 열)는 공지된 샘플링 위치에서 샘플링된 순수한 발진 신호의 값을 포함한다. DFT의 경우에서와 같이, 측정 신호를 기저 함수의 선형 조합으로 구성하는 것이 목적이다. 새로운 행렬의 요소는 다음과 같다.
[수학식 29]
Figure 112010046261992-pct00046
함수 Xm은 균일하지 않게 샘플링된 주사 위치에 관한 정보를 가지고 있다. 간섭계의 OPD 주사에서, 예를 들어 Xm은 테이터를 취한 M개의 주사 위치를 나타낼 수 있다(예를 들어,
Figure 112010046261992-pct00047
, Zm은 실제 물리적 주사 위치이며, 등식 7에 나타낸 각도 의존성을 고려한다). 일반적으로, 앞서 설명한 기술과 같이 Xm에 대한 값을 취득하기 위해 다양한 기술을 사용할 수 있다. 다른 기술에 대하여 이하에 설명한다.
함수 Yn은 관련 주파수가 무엇인지를 정의한다. 주파수 분석이 DFT를 대체하는 애플리케이션에서는, 함수 Yn이 다음과 같이 되며,
[수학식 30]
Figure 112010046261992-pct00048
0으로부터 주사 내에서
Figure 112010046261992-pct00049
주기와 동등한 값까지의 범위에 해당하는 양의 주파수 및 음의 주파수를 나타낸다. 나이퀴스트(Nyquist) 주파수로 알려진 주파수 상한(upper frequency limit)은 DFT의 일반적인 한계이며, J-행렬을 사용하는 방법은 앞서 설명한 수치적 예에서 나타낸 바와 같이, 그 한계를 넘어 주파수를 분석하도록 된 특별한 경우가 될 수 있다. 상수 c는 DFT에 근접한 정의가 바람직한 경우, 1 또는
Figure 112010046261992-pct00050
가 되도록 선택될 수 있는 인자(factor)이다.
행렬 형식의 새로운 선형 등식 체계인
[수학식 31]
Figure 112010046261992-pct00051
는 벡터
Figure 112010046261992-pct00052
내의 스펙트럼 성분에 대해 해를 구한다.
[수학식 32]
Figure 112010046261992-pct00053
벡터
Figure 112010046261992-pct00054
내의 모든 데이터 포인트가 독립적이면(Xm 내의 M개의 값이 고유함), 이 방법은 정확한 해를 구할 수 있다.
행렬
Figure 112012021844512-pct00055
에서의 일련의 기저 함수는 일반적으로 직교하지 않는다. 정확한 해를 구하기 위해, 기저 함수의 선형 독립성이면 충분하다.
통상적으로 스펙트럼 방식으로 분석을 하기 위해 많은 수의 데이터 세트가 필요한(예를 들어, 각각의 카메라 픽셀당 하나), 저간섭성 간섭계와 같은 애플리케이션에서, 해당 J-행렬 반전 값
Figure 112012021844512-pct00056
이 모든 데이터 세트에 적용될 수 있는데, 이는 균일하지 않은 OPD 샘플링이 모든 픽셀에 대해 동일하기 때문이다. 이에 의해, 계산이 하나의 행렬 반전으로 제한되고 벡터를 가진 행렬의 P배의 배수가 가능하기 때문에, 본 방법은 합리적으로 신속하게 된다. P는 카메라 픽셀의 개수이다.
앞서 설명한 바와 같이, 실제의 측정 시스템에서는 예를 들어, 진동에 의해 생긴 주사 오차에 노출될 뿐만 아니라, 미공지의 값을 기록된 하나 이상의 데이터 포인트에 추가하는 측정 노이즈(예를 들어, 간섭계의 카메라에서의 촬영 노이즈 또는 디지털 오차)이다.
일반적으로, J-행렬을 사용하는 스펙트럼 분석의 정확성은 복수의 인자에 의해 영향을 받을 수 있다. 예를 들어, J-행렬을 사용하는 스펙트럼 분석의 결과가 노이즈에 의해 영향을 받는 정도는 신호대 잡음비와 J-행렬의 상태에 의존하며, 그 반대의 경우도 마찬가지이다.
일반적으로, 여러 개의 m에 대한 Xm의 거의 동일한 값을 가진 극단적으로 균일하지 않은 주사 증분에 의해, 거의 독립적인 기저 함수와 불량 상태(badly-conditioned)의 행렬이 되기 때문에, 노이즈가 존재하는 경우, 계산된 스펙트럼의 불안정한 해를 얻게 된다.
노이즈에 의해 안정성에 문제가 있는 경우, 스펙트럼 분석을, 제로를 초과하는 스펙트럼 크기가 예상되는 주파수 대역으로 한정함으로써 더 높은 정도의 안정성을 얻을 수 있다. J-행렬은 장방형(rectangular)(행보다 열이 적다)이 된다. 결론적으로, 선형 방정식 체계가 초과 조건식(over-determined)이다. 최소 제곱 방식에서의 최적해(optimal solution)를 계산한다. 장방형 행렬의 반전은 존재하지 않기 때문에, 행렬의 의사 반전(pseudo-inverse)을 계산해야 하며, 예를 들어 특이 값 분해(singular value decomposition: SVD) 또는 다음과 같은 형태의 무어-펜로스 역행렬(Moore-Penrose inverse)을 사용해서 행할 수 있다.
[수학식 33]
Figure 112010046261992-pct00057
위 식에서, 위첨자 T는 행렬의 반전을 의미한다. 더 안정적이라는 것을 제외하고, 장방형 J-행렬의 상기 방법은, 특히 역행렬(inverse matrix)이 많은 데이터 벡터에 승산되어야 하는 경우에, 더 신속하다는 장점을 가진다.
균일하지 않은 샘플링 위치에서 취한 데이터를 스펙트럼으로 분석할 수 있는 공식을 보다 일반적인 신호 왜곡에 대한 보상을 행하는 것으로 확장할 수 있다. 이들 추가적인 왜곡은 카메라 프레임의 함수 m(예를 들어, 간섭계 애플리케이션에서의 변동하는 광원), 주파수 성분의 함수 n(예를 들어, 측정 기구에서의 요소의 스펙트럼 필터링 효과) 또는 이들의 조합(예를 들어, 스펙트럼으로 변동하는 광원)이 될 수 있다. 이들 효과는 함수 Im,n에 조합된다. 이러한 함수를 모니터링하는 것은 독립적인 방식을 필요로 한다. 함수 Im,n과 샘플링 위치에 관한 정보를 포함하는 함수 Xm은, 외란 신호(disturbed signal)
Figure 112012021844512-pct00058
(스펙트럼으로 분석되어야 하는 데이터)보다 높은 레이트에서 측정될 수 있다. J-행렬 요소는 공식 29의 우변에 나타낸 식의 항의 가중화 평균(weighted average)이 된다. S는
Figure 112012021844512-pct00059
의 요소를 측정하는 센서의 통합 시간(integration time) 내에서[예를 들어, 카메라의 프레임 통합(frame integration) 시간 내에서] 모니터링되는 I 및 X에 대한 값의 개수이다. 새로운 세트의 기저 함수는 J-행렬의 일반적인 형식을 공식화하는 데에 사용된다.
[수학식 34]
Figure 112010046261992-pct00060
이러한 J-행렬의 일반적인 형식을 다양한 왜곡 모니터링 시나리오에 대해 단순화할 수 있으며, 이러한 시나리오 중의 2개를 이하에 간략히 설명한다.
소정의 실시예에서, 세기와 스캐너 위치는 카메라 프레임당 한 번씩 모니터링되며, 세기 변화는 카메라 프레임 내에서(예를 들어, 짧은 카메라 셔터 시간에 대한) 작고, 광원의 세기 변동은 모든 주파수에 균등하게 영향을 미친다. 식 34에서의 평균의 계산은 하나의 피가수(summand)로 제한된다. I는 프레임 m의 함수일 뿐이다. 식 34는 광원 세기 변동을 나타내는 J 행렬의 공식으로 단순화될 수 있다.
[수학식 35]
Figure 112010046261992-pct00061
몇몇 실시예에서, 세기와 스캐너 위치는 카메라 프레임당 한번 씩 모니터링되며, 주사에 기인한 세기 변화는 카메라 프레임 내에서(긴 카메라 셔터 시간) 중요하고, 세기 변동(intensity fluctuation)은 주파수 의존적으로 된다. 카메라 프레임당 하나의 스캐너 위치만 측정되지만, 프레임 통합 시간 내에 주사의 움직임과 측정에 대한 결과적인 효과에 대한 추정치가 주어질 수 있다. 스캐너가 프레임 m-1과 m+1 사이에서 선형으로 이동하는 것으로 가정하면, X는 카메라 프레임 m 내에서,
Figure 112010046261992-pct00062
에서
Figure 112010046261992-pct00063
으로 변화할 것이다. T는 카메라 프레임의 통합 시간이며, FR은 Hz(1/s) 단위로 측정한 카메라의 프레임 레이트(frame rate)이다. 식 3에서의 합계는 그 해가 다음 식 36과 같이 된 이후에 적분(integral)으로 대체된다.
[수학식 36]
Figure 112010046261992-pct00064
정의 sinc(x)=sin(πx)/πx가 사용되었으며, 카메라 프레임 통합 시간 내에서는 광원의 세기가 일정한 것으로 가정하였다. 등식 36은 카메라의 유한 개의 프레임 통합 시간에 기인한 간섭 무늬 콘트라스트(fringe contrast)의 주파수 종속성 감소를 반영한다. 맨 처음 및 마지막 카메라 프레임의 경우, 싱크 함수(sinc function) 내의 소수부(fraction)는 각각 Xm +1 - Xm 및 Xm - Xm - 1 로 대체된다.
식 34의 함수 I 또는 식 29 및 식 34의 함수 X가 모든 카메라 픽셀에 대해 균일하게 표현될 수 없는 간섭계 애플리케이션이 있다. 이러한 경우, 각각의 카메라 픽셀 또는 카메라 픽셀의 그룹에 대해 J-행렬을 계산해야 한다. 픽셀 의존성 편차에 대한 가능한 이유에는 피스톤 형태의 주사 움직임을 방해하거나, 시야각의 끝에서 대부분 영향을 미치는 픽셀을 비네팅(vignetting)하거나, 주사 움직임에 대하여 표면 직각(surface normal angle)을 변경하는 간섭 캐비티(interferometric cavity)의 팁 틸트(tip-tilt) 형태의 움직임이 포함된다[예를 들어, 기준 구(reference sphere)를 가진 피조(Fizeau) 타입을 사용하여 구형의 표면을 측정하는 경우].
신호 자체의 스펙트럼 분석을 필요로 하지 않는 애플리케이션은 J-행렬 방식을 사용하는 신호 분석보다 개선된 장점을 갖는다. 앞서 설명한 과정은 DFT를 치환한 것임을 알 수 있기 때문에, 계산된 스펙트럼의 역 DFT는 J-행렬의 계산에서 고려했던 임의의 다른 영향(광원 변동, 유한의 프레임 통합 시간에 기인한 간섭 무늬 콘트라스트 등)이 없으며 균일한 증분에서 샘플링된 원본 신호와 동등한 신호를 나타낼 것이다.
J-행렬 방법의 3가지 편차는 도 11, 도 12a, 및 도 12b에 나타낸 플로차트에 요약되어 있다. 구체적으로, 도 11의 플로차트는 J-행렬 방법을 사용한 스펙트럼 분석을 나타내며, 도 12a에 나타낸 플로차트는 추가의 신호 왜곡에 대해 보상하는 확장된 J-행렬
Figure 112010046261992-pct00065
를 나타낸다. 도 12b는 보정된 간섭계 신호의 재구성을 위한 J-행렬 공식의 사용을 나타낸다.
도 11을 참조하면, J-행렬 방법은, 데이터 생성부(1151) 및 스펙트럼 분석부(1133)를 포함함으로써, N개의 스펙트럼이 생성된다(1159). 데이터 생성부(1151)는, N개의 주사 위치(1155) 및 N개의 간섭계 데이터 세트(1157)를 스펙트럼 분석부(1133)에 제공하는, 예를 들어 데이터 취득 및 주사 움직임 판정부(1153)를 포함한다. N개의 주사 위치(1155)는 등거리(equidistant)로 하지 않아도 되지만, 판정된 주사 움직임 이력(scan-motion history)으로부터 편차(deviation)가 알려져 있다. N개의 간섭계 데이터 세트(1157)는 간섭계 시스템의 검출기와 기본 광원을 사용해서 취득한 저간섭성 간섭계 신호에 대응한다.
스펙트럼 분석부(1133)는 N개의 간섭계 데이터 세트(1157)의 스펙트럼 분해를 포함하며, 추가의 분석을 위한 출력으로서 N개의 스펙트럼(1159)을 제공한다. 구체적으로 말하면, 스펙트럼 분석부(1133)는 J-행렬을 구성(compose)하고(1161), J-행렬을 반전(invert)시키며(1163), 반전된 J-행렬을 데이터 세트(1157)로 승산(multiply)(1165)한다.
J-행렬을 조합하기 위하여, 먼저 상이한 주파수에 대응하는 기저 함수를 계산하고(1161A), 열(column)로서 기저 함수를 가진 J-행렬을 형성한다(1161B). 일반적으로, 기저 함수는 소정의 외란된 주사 위치에서 순수한 발진 신호의 값에 대응한다.
N개의 스펙트럼(1159)은 주사의 평가에 직접 사용되거나, 이와 달리 또는 이에 추가로, 예를 들어, DFT의 기본(변경되지 않은) 함수에 기초해서 보정된 간섭계 신호를 재구성하는 데에 사용될 수 있다.
도 12a를 참조하면, 확장된 J-행렬의 경우, 데이터 생성부(1271)는, 데이터 생성부가 J-행렬을 조합하기 위한 것으로도 고려되는, 신호 왜곡 영향부(signal-distorting influence: 1273)를 추가로 측정하는 것을 제외하고는, J-행렬 방법에 대한 것과 유사하다. 구체적으로, 확장된 J-행렬에 대한 기저 함수는 추가의 신호 왜곡 영향부(1273)에 따라 변경된 소정의 외란된 주사 위치에서의 순수 발진 신호의 값에 대응한다. 스펙트럼 분석부(1233)는 J-행렬 방법과 유사한 단계를 포함하지만, J-행렬을 조합하는 것은 추가의 신호 왜곡 영향부(1273)의 기록에 기초해서 변경된, 상이한 주파수에 대응하는 기저 함수를 계산하는 것을 포함한다.
도 12b에 나타낸 플로차트는 확장된 J-행렬의 애플리케이션을 나타낸다. N개의 스펙트럼(1159)은 도 12a에 개략적으로 나타낸 과정과 동일한 방식으로 계산된다. 계속해서, 보정된 스펙트럼은 역 DFT(1212)의 적용에 의해 유도된 일련의 N개의 보정된 간섭계 데이터 세트(1211)를 재구성하는 데에 사용된다.
도 13a~15c는 상이한 저간섭성 신호에 적용될 때의 통상적인 DFT에 비해, J-행렬 방법이 어떻게 수행되는 지를 수치적 실험으로 나타낸 것이다.
도 13a-13e는 진동과 카메라 노이즈가 없는 신호(즉, 주사 오차가 없는 신호)의 데이터를 나타낸다. 도 13a는 가우스 포락선(Gaussia envelope)을 가진, 합성적으로 생성된 코사인에 해당하는 신호를 나타낸다. 굵은 선은 방해받지 않은 연속 신호이며, 실제의 데이터 포인트는 점으로 나타내고 있다. 이들 신호 구성은, 전체 SWLI 신호의 대략 4분의 1만을 나타내고 있다. 도 13b-13e는 스펙트럼의 오차 크기와 J-행렬 방법 및 DFT에 의해 복원된 스펙트럼 진폭(spectrum amplitude)을 나타낸다. 구체적으로, 도 13b 및 도 13d는 DFT 방법을 사용할 때의 스펙트럼 및 스펙트럼 오차를 나타내고, 도 13c 및 13e는 J-행렬 방법을 사용할 때의 스펙트럼 및 스펙트럼 오차를 나타낸다. 주사 오차가 없으면, DFT와 J-행렬 주파수 스펙트럼은 동일한 가우스 분포가 되며, 제로 스펙트럼 오차를 가진다.
도 14a-14e는 도 13a-13e에 나타낸 것과 유사한 데이터 구성을 나타내지만, 데이터 포인트는 이상적인 곡선상에 유지되며, 균일하지 않은 분산된 주사 위치를 가진다. 도 14b에 나타낸 것과 같이, DFT 방법을 사용하는 경우, 주사 오차에 의해 이상적인 가우스 곡선으로부터 벗어난 주파수 스펙트럼이 된다. 정확한 샘플 위치에 관한 정보는, 표준 DFT 방법을 사용하는 경우에 손실되므로, 도 14d에 나타낸 것처럼, 스펙트럼에 오차가 생긴다. 도 14c와 14e는 J-행렬 방법이 오차가 없는 스펙트럼을 복원한다.
도 15a-15e는 도 13a-13e에 나타낸 것과 유사한 구성을 나타내지만, 이들은 모두 균일하지 않은 샘플링이며, 플로어 노이즈(floor noise)가 신호에 영향을 미치는 점이 다르다. 도 15a에 나타낸 바와 같이, 균일하지 않은 주사 증분(uneven scan increment)에서 분산되어 있다는 것 외에, 데이터 포인트가 이상적인 곡선으로부터 벗어나 있다는 결과를 알 수 있다. 도 15b-15e를 참조하면, 플로어 노이즈에 의해 DFT와 J-행렬 스펙트럼이 평탄하게 변동하는 함수로부터 벗어나고, 스펙트럼에 오차가 생기게 된다. 그러나, 일반적으로, 오차의 크기는 J-행렬 방법에서보다 DFT 방법에서 더 크다.
도 13a-15e와 관련해서 앞서 설명한 예에서, 샘플 위치는 정확한 등간격 위치로부터 주기 RMS의 대략 1/16번째만큼 벗어나도록 설정되었으며, 도 15a-15e에서의 카메라 노이즈 레벨은 완전한 신호 범위 중의 1% RMS이었다.
실제로, J-행렬 방법을 사용하는 장점은 오차 광원의 합성에 따라 달라진다. 예를 들어, 진동이 오차의 주요 광원이고, 진동이 모니터링될 수 있으면, J-행렬은 측정의 정확성을 실질적으로 향상시킬 수 있다. 모니터링하지 않은 노이즈가 주된 것이면, J-행렬 방법은 크게 도움이 되지 않을 것이다.
저간섭성 간섭계(예를 들어, SWLI 간섭계)를 사용해서 이룬 측정의 정확성을 향상시키는 것과 관련해서 J-행렬 방법을 설명하였지만, 보다 일반적으로는, 다른 타입의 간섭계 데이터에 적용할 수 있다. 예를 들어, J-행렬 방법은 긴 코히어런스 길이(long coherence length)의 간섭계[즉, SWLI 신호와 같은 가우스 포락선으로 변조되지 않은 사인곡선 간섭 무늬(sinusoidal fringe)를 포함]를 사용해서 취득한 신호를 분석하는 데에 사용될 수 있다. 이론에 얽매이지 않고서, 이러한 신호에 대한 J-행렬의 사용은 수치적 실험을 사용해서 증명된다. 도 16a-16b를 참조하면, 예를 들어, 순수한 사인파(sine-wave)의 80개의 주기로 이루어진 신호는 소정의 기간 내에 완전히 랜덤한 샘플링 위치에서 단지 100개의 샘플로 샘플링된다. 도 16a는 샘플 데이터 포인트가 사인 곡선상에서 점으로 나타낸 신호 구성을 나타낸다. 나이퀴스트(Nyquist) 관점에서, 신호는 언더 샘플링(under-sample)된다. 도 16b를 구체적으로 참조하면, 100×100의 J-행렬에 의해 100개의 데이터 포인트가 분석되었으며, J-행렬은 소정의 기간 내에 50개 내지 99개의 주기에 대응하는 기저 함수와 이들의 음의 대응부분(negative counterpart)으로 이루어져 있다. 주파수 성분에 관해서 어느 정도는 알고 있는 것으로 가정하였다. 기저 함수를 정의하기 위해 선택적인 주파수 대역을 사용하였다. 데이터는 노이즈가 없는 것이다. J-행렬 스펙트럼은 기간당 80개의 사이클에서 별개의 피크를 나타내며, 이것은 J-행렬 방법이 신뢰성을 가지고 수행된다는 것을 의미한다.
도 17a-17c를 참조하면, 도 16a에 나타낸 것과 동일한 데이터를 사용해서 두 번째 수치 실험을 수행하였으며, 신호에 추가된 신호 범위의 2%에 대응하는 노이즈가 추가되었다. 이 데이터에 대하여 2번의 J-행렬 분석을 행하였다. 도 17b를 보면, 1차 분석에서, 오차로 채워진 주파수 스펙트럼(error-filled frequency spectrum)을 제공하는 불량 상태의 100×100의 J-행렬 방법이 사용되었다. 도 17c를 참조하면, 2차 분석에서, 100×80 J-행렬을 사용해서 데이터를 분석하였으며, 정확한 주파수에서 별개의 피크가 생긴다.
도 18을 참조하면, 신호의 보정된 스펙트럼을 계산하는 것과 달리, 왜곡된 간섭계 신호를 복원하는 데에 확장된 J-행렬 방법을 사용한 수치적 실험을 행하였다. 이 예는, 신호 (b)에서 신호 (e)까지 더 많은 신호 왜곡 영향이 추가된 저간섭성 간섭계[(a)-(f)로 표시]의 6개의 신호를 나타낸다. 왜곡되지 않은 간섭계 신호를 나타내는 신호 (a)에서 시작한다. 신호 (b)는 균일하지 않은 주사 증분을 가진 주사에 대응한다. 어느 정도의 광원 변동이 신호 (c)에 추가되며, 신호 (d)에서는 유한 프레임 통합 시간의 영향이 포함되었다. 이 효과는 프레임 128 부근에서 가장 명백하다. 추가된 최종적인 노이즈 광원은 카메라 노이즈이고, 이것은 왜곡된 간섭계 신호 (c)가 된다. 확장된 J-행렬의 기저 함수는 독립적으로 모니터링될 수 없는 카메라 노이즈를 제외하고는 모든 신호 왜곡 영향이 포함된다. 스펙트럼을 계산한 이후, 역 DFT는 보정된 간섭계 신호 (f)를 나타낸다. 원본의 왜곡되지 않은 신호가 점선으로 비교를 위해 중첩된다. 이 실험에서, 고주파수 범위를 제거한, 장방형의 256×181 요소의 확장된 J-행렬이 사용되었다.
앞서 설명한 바와 같이, 균일하지 않게 샘플링된 주사 위치 Xm에 관한 정보가 다양한 광원로부터 제공될 수 있다. 물론, 몇몇 실시예에서는, 도 1, 7, 9 및 10에 나타낸 실시예와 관련해서 설명한 바와 같이, 모니터 시스템의 측정에 기초한 정보가 제공된다. 그러나, 더 일반적으로 말하면, 정보가 다른 광원로부터 제공되어도 된다. 예를 들어, 가속도계(accelerometer), 터치 프로브(touch probe), 용량성 게이지(capacitive gauge), 공기 게이지(air gauge), 광학 인코더(예를 들어, 선형의 광학 인코더)를 사용하거나 저간섭성 간섭계 데이터의 해석에 기초한 기술로부터 취득할 수 있다.
혼성 기준( Compound Reference )
몇몇 실시예에서, 주사 오차에 관한 정보가 혼성 기준을 사용해서 정해진다. 혼성 기준은 적어도 2개의 기준 계면, 즉 1차 기준 계면(primary reference interface) 및 2차 기준 계면(secondary reference interface)을 포함하는 기준 대상체(reference object)이다.
1차 기준 계면은 종래의 기준 계면으로 구성되며, 2차 기준 계면은 간섭 현미경에 대하여 검사 대상체의 변위를 모니터링할 수 있으며, 간섭 현미경의 OPD를 주사할 수 있도록 하는 정보를 제공하도록 되어 있다. 일반적으로, 2차 기준 계면은 1차 기준 계면에 대하여 기계적으로 고정 부착된다.
1차 기준 계면과 2차 기준 계면은 시스템의 시야각에 대해 적어도 위상이 변화하는 필드 종속성 복합 효과 반사특성(field-dependent complex effective reflectivity)을 제공하는 특징이 있다. 일반적으로, 유효한 반사특성은 간섭 이미지 전체 또는 낮은 공간 주파수 위상 오프셋(low-spatial frequency phase offset)의 판정을 가능하게 하도록 구성된다.
혼성 기준의 동작 원리를 도 19-31을 참조해서 설명한다.
도 19는 피조(Fizeau) 레이저 간섭계 시스템(2000)의 실시예를 간략하게 나타낸 것으로서, 이 시스템은, 광원(2163), 빔 분할기(2198), 검사 대상체(2175)와 혼성 기준(2100)으로 형성된 간섭 캐비티(interferometric cavity)를 포함하며, 이 혼성 기준은 반사도가 r1인 1차 기준 계면(2181A)과 반사도가 r2인 2차 기준 계면(2181B)을 포함해서 구성된다. 혼성 기준(2100)은 간섭 주사를 수행하기 위해 액추에이터(2193)['위상 시프터'(phase shifter)라고도 함]에 의해 Z방향으로 변위 가능하게 되어 있다. 간섭계 시스템(2000)은 1차 카메라(2191), 개구(2106), 및 2차 카메라(2199)['모니터 카메라'라고도 함]를 더 포함한다. 도 19는 렌즈 등의 추가적인 광학 요소 또는 도 28과 관련해서 그중 일부를 설명하는 이미지 간섭계 시스템의 다른 구성을 나타내지 않는다.
2차 기준 면(2181B)은 이 기준 면으로부터 반사된 광이 1차 카메라(2191)에 의해 차단되고 2차 카메라(2199)에 입사되도록 배향된다. 모니터 카메라(2199)와 혼성 기준(2100)은 함께 작용해서 액추에이터(2193)에 의해 개시되는 주사 움직임의 개시 위치에 대한 일시적인 평균 광로 길이 변경('피스톤'이라고도 함)과 같은 간섭 캐비티의 특징을 정한다.
모니터 카메라(2199)는 혼성 기준(200)의 1차 기준 면(2181A) 및 2차 기준 면(2181B)과 검사 대상체(2175)를 보지만, 1차 카메라(2191)는 1차 기준 면(2181A)의 2면 간섭(two-surface interference)과 검사 대상체(2175)만 본다. 모니터 카메라(2199)에 의해 수집된 간섭 캐비티에 관한 정보는, 예를 들어 진동이나 난류(air turbulence)가 있는 경우에도, 전체적인 광로에 관한 정보를 검사 대상체(2175)에 제공함으로써, 대상 3D 표면 높이의 생성을 가능하게 한다.
이론에 얽매이지 않고, 간섭 신호는 다음과 같이 시스템(2000)을 사용해서 생성된다. 도 19에서, 검사 대상체(2175)의 표면은 복합 반사도(complex reflectivity) r0을 가지며, 1차 기준 면(2181A)은 반사도 r1을 가지고, 2차 기준 면(2181B)은 반사도 r2를 가진다. 이들 모든 반사도는 수평 좌표(lateral coordinate) x,y에 대해 의존성을 가질 수 있다. 광원(2163)으로부터 나오는 광은 검사 대상체(2175)의 표면으로부터 뿐만 아니라 1차 기준 면(2181A)과 2차 기준 면(2181B)으로부터 부분적으로 반사된다. 그러나 1차 카메라(2191)는 1차 기준 면(2181A)과 검사 대상체(2175)로부터 반사된 광만을 검출하는데, 2차 기준 면(2181B)은 그 반사가 개구(2106)에 의해 차단되는 방식으로 경사져 있기 때문이다. 한편, 모니터 카메라(2199)는 개구를 갖지 않기 때문에, 모두 3개의 반사를 갖게 된다.
1차 카메라(2191)에 의해 검출된 간섭을 다음과 같이 표현할 수 있다.
[수학식 37]
Figure 112010046261992-pct00066
세기 반사도(intensity reflectivity)는 다음과 같이 된다.
[수학식 38]
Figure 112010046261992-pct00067
[수학식 39]
Figure 112010046261992-pct00068
위상 θ는 대상체 표면 높이 h에 비례한다.
[수학식 40]
Figure 112010046261992-pct00069
[수학식 41]
Figure 112010046261992-pct00070
간섭과 관련된 위상 프로파일 오프셋은 다음과 같이 된다.
[수학식 42]
Figure 112010046261992-pct00071
모니터 카메라(2199)의 경우, 간섭은 다음과 같이 표현된다.
[수학식 43]
Figure 112010046261992-pct00072
위 식에서,
[수학식 44]
Figure 112010046261992-pct00073
[수학식 45]
Figure 112010046261992-pct00074
유효한 복합 간섭 반사도는 다음과 같이 된다.
[수학식 46]
Figure 112010046261992-pct00075
예를 들어, 도 20은 검사 대상체(2175)가 존재하지 않는 경우에 100×100 픽셀의 그리드에 대해 시뮬레이션에 의해 계산된 복합 세기 반사도 프로파일(compound intensity reflectivity profile) P, 광로차의 2개의 파장에 누적되는 1차 기준 면(2181A)과 2차 기준 면(2181B) 사이의 상대적 기울기, 전체 시야각(FOV)에 대해 1차 반사도 R1가 4%이고 2차 반사도 R2가 0.4%인 것을 나타낸다.
도 21a는 이미지의 x(즉, 수평) 방향에서의 도 20의 단면도로서, 2개의 기준 면(식 44)의 조합의 결과를 가지는 세기 프로파일 P를 보다 정량적으로 나타내고 있다. 도 21b는 복합 기준(2100)의 복합 위상(complex phase)
Figure 112010046261992-pct00076
이 도 21a의 세기 프로파일과 동일한 측 단면에 대해 어떻게 변동하는지를 나타낸다.
검사 대상체(2175)를 사용하는 것과 관련해서, 도 22는 R0=2%의 반사도를 갖는 검사 대상체(2175)를 사용하고, 모니터 간섭 패턴(monitor interference pattern) I의 좌측 위로부터 우측 아래로 대각선을 따라 1차 기준 면(2181A)에 대해 약간 경사져 있는 경우에, 모니터 카메라(2199)로 보이는 모니터 간섭 패턴(I)을 나타낸다. 세기 편차(intensity variation)는 혼성 기준(2100)에 기본적으로 관련되며, 1차 카메라(2191)로는 볼 수 없다.
1차 카메라(2191)에 의해 검출되어 시뮬레이션 처리된 간섭 이미지를 도 23에 나타낸다. 1차 카메라(2191)에 의해 검출된 간섭과 모니터 카메라(2199)에 의해 검출된 간섭 간의 차이는, 도 24a, 24b, 25a, 25b에 나타낸 단면 프로파일에 명백히 보인다. 구체적으로, 도 24a는 2%의 반사도를 갖는 약간 경사진 검사 대상체(2175)를 사용하는 도 19에서와 같이 혼성 기준에 대한 모니터 카메라(2199)에 대한 간섭 편차를 나타내며, 도 24b는 모니터 카메라(2199)에 의해 보이는 것에 대응하는 위상 편차(phase variation)를 나타낸다.
동일한 파라미터에 대해, 도 25a는 혼성 기준과 약간 경사진 검사 대상체(2175)에 대한 1차 카메라(2191)에서의 간섭 편차를 나타내며, 도 24b는 1차 카메라(2191)로 보이는 것에 대응하는 위상 편차를 나타낸다.
동작 동안, 위상 시프터(2193)는 혼성 기준(2100)을 검사 대상체(2175)에 대하여 기계적으로 변위시킨다. 이에 의해, 모니터 카메라(2199)와 1차 카메라(2191)에 의해 보이는 것과 같이 신호에 대한 일련의 위상 편이(phase shift)가 생긴다. 위상 편이는 도면에 나타낸 바와 같이, 간섭 신호가 전혀 상이한 경우에도 2개의 카메라에 대해 동일하다. 따라서, 모니터 카메라(2199)에 의해 보이는 것과 같이 위상 편이의 판정은, 1차 카메라(2191)에 의해 취득된 데이터에서의 위상 편이의 정확한 해석에 유용하게 사용될 수 있다.
시간의 경과에 따라 취득한 단색의 간섭 데이터(monochromatic interference data)로부터의 위상 편이를 판정하기 위한 몇 개의 예시적인 데이터 처리 기술을 설명하였으며, 개시 위상 값의 범위에 의해, 모든 진동 주파수에 대해 간섭 캐비티의 전체적인 광로 길이의 판정이 향상된다.
도 24b에 비해, 도 25b는 혼성 기준(2100)이 검사 대상체(2175)의 구조와 독립적인 FOV로 가로지르는 위상에서의 편차를 갖는 것을 나타내며, 이에 의해 모니터 카메라(2199)에 함께 혼성 기준(2100)을 사용하게 된다.
도 26의 플로차트를 참조하면, 혼성 기준(2100)에 기초한 간섭계 시스템[예를 들어, 간섭계 시스템(2000)]의 동작에는, 검사 대상체(2175)의 모니터 데이터 및 간섭 데이터의 데이터 취득 단계, 모니터 데이터의 데이터 처리 단계, 및 모니터 데이터의 데이터 처리의 결과를 사용해서 간섭 데이터를 처리하는 단계를 포함할 수 있다.
구체적으로 말해서, 전달된 위상 편이의 범위에 대해 1차 카메라에 의한 간섭 신호와 모니터 카메라에 의한 모니터 간섭 신호가 취득된다(단계 2010). 모니터 카메라에 의해 1차 및 2차 기준 계면으로부터의 기여(contribution)를 포함하는 간섭 패턴이 보이며, 1차 카메라에 의해 1차 기준으로부터의 기여만을 포함하는 간섭 패턴이 보인다.
이어서, 데이터 취득 동안 생기는 위상 편이를 판정하기 위해 모니터 간섭 신호를 분석한다(단계 2020).
모니터 간섭 신호로부터 판정된 위상 편이에 관한 정보를 사용해서, 1차 카메라에 의해 검출된 간섭 신호를 분석하고, 예를 들어 검사 대상체의 표면의 3D 표면 높이를 판정한다(단계 2030).
도 26에 간략히 나타낸 데이터 처리는 간섭계에 사용된 혼성 기준에 의해 취득한 데이터를 사용하기 위한 방법의 예를 나타낸다. 그러나 혼성 기준을 사용해서 대상체의 표면 특징을 판정할 수 있는 다른 타입의 데이터 처리도 사용이 가능하다. 예를 들어, 밀집한(dense) 간섭 무늬 패턴을 포함하는, 도 20에서 명백한 세기 패턴은 간섭 무늬 위치를 해석하는 공간 방법(spatial method)을 사용해서 분석될 수 있다. 다른 예는, M. Kujawinska, Spatial phase measurement methods, D.W. Robinson 및 G.T. Reid, Eds(1993년, Physics Publishing의 Bristol and Philadelphia, Inst), 페이지 145-166에 개시되어 있으며, 그 내용을 참조에 의해 원용하는 것으로 한다.
도 19에서는, 제1 및 제2 기준 면을 제공하기 위해, 단일의 광학 소자를 사용했지만, 다른 구성을 채택해도 된다. 예를 들어, 일부 실시예에서는, 제1 및 제2 간섭 계면이 상이한 광학 소자의 일부이지만, 이들 광학 소자는 서로에 대해 기계적으로 고정되어 있다.
예를 들어, 도 27은 도 19와 관련해서 설명한 바와 같이 많은 요소를 포함하는 간섭계 시스템(2001)을 나타낸다. 그러나 혼성 기준(2100) 대신에, 1차 및 2차 기준 면이 개별적인 광학 소자의 일부가 되는 혼성 기준 어셈블리(2200)가 사용된다. 구체적으로, 혼성 기준 어셈블리(2200)는 제1 광학 소자(2202A)와 제2 광학 소자(2202B)를 포함하며, 이들 광학 소자는 일반적인 설치용 플랜지(mounting flange: 2204)에 설치함으로써 서로 기계적으로 고정된다.
도 28을 참조하면, 몇몇 실시예에서, 간섭계 시스템(2001)은 다양한 광빔 안내 소자를 포함하는 광원 및 검출 유닛(3210)을 포함할 수 있다. 예를 들어, 중계용 광학기기(2169, 2171)는 광원(2163)으로부터 나오는 광을 빔 분할기(2164)로 향하게 하고, 이 광은 애퍼처(aperture: 2106)를 통과해서 콜리메이터 광학기기(collimator optic: 2177)에 의해 시준된다. 간섭 캐비티로부터 되돌아 나오는 광은 이미징 렌즈(imaging lens: 2189)에 의해 1차 검출기(2191)에 부분적으로 결상된다. 광은 또한 빔 분할기(2198)에 의해 픽업(pick up)되어 모니터 카메라(2199)로 향하게 되고, 렌즈(2190)에 의해 결상된다.
간섭계 시스템(2001)이 평면형 검사 대상체를 검사하도록 구성되어 있지만, 다른 구성도 물론 가능하다.
예를 들어, 도 29는 광원 및 검출 유닛(3215)과 혼성 기준(2250)을 포함하는 간섭계 시스템(2002)을 나타낸다. 광원 및 검출 유닛(3215)은 광원 및 검출 유닛(3210)과 유사하다. 그러나 혼성 기준(2250)은 곡면형의 검사 대상체(3175)를 조명하기 위해 평면형이 아닌 구형의 파면을 형성하도록 구성되어 있다는 점에서, 혼성 기준(2200)과 다르다. 구체적으로, 혼성 기준(2250)은 제1 광학 소자(2252A), 렌즈(2258), 및 제2 광학 소자(2252B)를 포함한다. 렌즈(2258)와 제2 광학 소자(2252B)는 단일의 유닛 내에 함께 설치될 수 있으며, 설치용 플랜지(2204)에 의해 제2 광학 소자(2252B)에 기계적으로 고정된다. 제1 광학 소자(2252A)는 곡면형의 제1 기준 면(2181A)을 제공하며, 제2 광학 소자는 평면형의 제2 기준 면(2181B)을 제공함으로써, 간섭계 시스템(2002)의 FOV에서의 위상이 변화하는 간섭 캐비티의 필드 종속성 복합 효과 반사특성(field-dependent complex effective reflectivity)을 제공한다.
예를 들어, 도 20과 도 27에 나타낸 것과 같은 실시예에서는, 모니터 이미지가 1차 이미지와 형태가 구분되었지만(예를 들어, 2차 간섭 계면으로부터의 광이 1차 카메라에 의해 차단되는 것에 의해), 다른 구성도 물론 가능하다. 예를 들어, 소정의 실시예에서, 모니터 이미지는 1차 이미지로부터 파장에 의해 구분될 수 있다.
예를 들어, 도 30은 간섭 현미경의 OPD를 주사하면서, 간섭 현미경에 대한 검사 대상체의 변위를 모니터링하기 위해 모니터 이미지(예를 들어, 단색의 모니터 이미지)를 사용하도록 구성된 간섭계 시스템(2003)을 나타낸다.
구체적으로 말해서, 간섭계 시스템(2003)은 간섭 플랫폼(interferometric platform: 3310), 모니터 어셈블리(3300), 및 간섭 대물렌즈(3167)를 포함한다. 간섭 플랫폼(3310)은 광대역 광원(broadband source: 31363), 빔 분할기(3170), 및 간섭 패턴을 백색광 카메라(3191)에 결상하기 위한 이미징 렌즈(3189)를 포함한다. 또한, 간섭 플랫폼(3310)은 픽오프 미러(pickoff mirror: 3308), 모니터 이미징 렌즈(3190), 및 모니터 카메라(3199)를 포함한다.
간섭 플랫폼(3310)은 모니터 어셈블리(3300)의 서브시스템과 간섭 대물렌즈(3167)를 검사 대상체(2175)에 대하여 변위시키는 기계적 스캐너(mechanical scanner: 3193)에 의해 모니터 어셈블리(3300)와 간섭 대물렌즈(3167)에 부착된다.
모니터 어셈블리(3300)는 2차 광원(3197)[예를 들어, 단색 광원와 같은 협대역 광원), 파장만을 모니터링하는 부분 미러(partial mirror: 3304)[예를 들어, 50/50 미러), 기준 렌즈(reference lens: 3306), 2차 기준 면(2181B)을 가진 2차 기준(3302B)을 포함한다.
간섭 대물렌즈(3167)는 대물렌즈, 간섭계 빔 분할기(3179), 및 1차 기준 면(2181A)을 포함하는 1차 기준 미러(3302A)를 포함한다.
검사 대상체(2175)의 변위를 모니터링하는 것은, 별개의 2차 광원(3197)에 따라, 모니터 이미지를 통해 이루어진다. 모니터 이미지는 1차 기준 면(2181A)과 2차 기준(2181B)의 유효한 기준 면에 대한 고정된 복합 반사도를 포함하는 3면 간섭(3-surface interference)을 통해 형성된다. 모니터 이미지는 위상 편이 보정을 판정하는 데에 사용된다. 몇몇 실시예에서, 모니터 이미지의 양은 SWLI 간섭 이미지보다 작을 수 있다.
일반적으로, 위상 변조 이력(phase modulation history)은 모니터 이미지의 각각의 픽셀에서 독립적으로, 예를 들어 코사인 변환(cosine inversion)에 의해 평가될 수 있다. SWLI 데이터 취득을 보정하기 위해, 백색 SWLI 이미지를 정확하게 해석하기 위해 위상 편이가 사용될 수 있다. 이러한 모니터링 방법의 장점은 변경될 필요가 없는(또는 최소로만 변경되는) 통상의 간섭 대물렌즈가 사용될 수 있다는 것이다. 따라서, 이러한 모니터 기구의 구성은 표준 대물렌즈 설계와 호환되도록 구성될 수 있다.
도 19 내지 도 30과 관련해서 설명한 간섭계 시스템은 SWLI용으로 구성되어 있지만, 다른 대체가능한 동작 모드도 가능하다. 예를 들어, 도 31을 참조하면, 간섭계 시스템(2004)은 PUPS 이미지용으로 구성된다. 이 경우, 모니터 이미지는 간섭계 시스템(2003)과 유사한 파장에 의해 PUPS 이미지로부터 구분된다. 광대역 및 협대역 광은 광을 공통의 빔 분할기(5170)을 통해 렌즈(5177)를 구비한 간섭 대물렌즈(5167)에 결합시키는 공통의 광원 유닛에 의해 생성된다. 광원 유닛은 광대역 광원(5163), 렌즈(5169, 5171), 모니터 광원(5197), 및 빔 분할기(5164)를 포함한다. 조명은 검사 대상체(2175) 상의 단일 지점(5400)에 집광된다. 간섭 대물렌즈(5167)는 이송 스테이지(translation stage)(5193)에 의해 주사될 수 있다.
간섭계 시스템(2004)에서, 광학 소자, 예를 들어 튜브 렌즈(tube lens)(5198)와 빔 분할기(5189)는, 1차 카메라(5191)와 모니터 카메라(5199)가 검사 대상체(5167)의 동공면에 사용하는 표면에 위치하도록 배치된다. 2차 기준 면(2181B)을 가진 2차 기준은, 2차 기준 면(2181B)이 1차 기준 면(2181A)에 대하여 경사지도록 위치한다. 2차 기준 면(2181B)은 모니터 파장에 대하여 부분 반사특성이 있기 때문에, 위상 오프셋의 범위를 도입함으로써 3면 간섭이 된다.
1차 카메라(5191) 및 모니터 카메라(5199)의 이미지 정보는 프로세서를 구비한 제어용 컴퓨터(5192)에 제공된다. 제어용 컴퓨터(5192)는 이송 스테이지(5193)와 상호작용을 행한다.
혼성 기준을 포함하는 소정의 실시예에 대하여 설명하였지만, 일반적으로 다른 구성도 가능하다. 예를 들어, 앞서 설명한 실시예는 혼성 기준이 모니터 정보를 캡처하기 위한 2차 카메라를 포함하고, 일부 실시예에서는 단일의 카메라만을 사용할 수 있다. 예를 들어, 2차 카메라 및 1차 카메라는 1차 및 모니터 이미지를 위한 별개의 FOV를 갖는 단일의 카메라로 조합될 수 있다.
또한, 시간 다중화 취득(time-multiplexed acquisition)을 사용하거나, 데이터 처리 단계와 별개로 또는 동시에 전체 간섭 위상 오프셋과 대상 표면 특징을 동시에 판정하기 위해 처리되는 단일 이미지를 사용할 수 있다.
혼성 기준은 평면형, 구형, 비구면 등과 같이 임의의 바람직한 형태를 갖는 둘 이상의 기준 반사로 구성될 수 있다. 또한, 혼성 기준은 전체 시야각 또는 시야각의 일부에 대해서만 작용할 수도 있다.
변위 측정 간섭계( Displacement Measuring Interferometer )
몇몇 실시예에서, 주사 오차와 관련된 정보는, 간섭 현미경과 별개(예를 들어, 공통의 광학 성분을 사용하지 않음)이며 간섭 현미경의 OPD를 주사하면서 간섭 현미경에 대한 검사 대상체의 변위를 모니터링하도록 구성된 변위 측정 간섭계(DMI)를 사용해서 정해진다. 이러한 시스템의 예를 도 19에 나타내며, 2차 광원(197)와 2차 검출기(199)를 포함하지 않도록 구성된 간섭 현미경(110)을 나타낸다. 그 대신에, 예를 들어 레이저 광원을 사용하는 변위 측정 간섭계(1801)는 미라우(Mirau) 대물렌즈(167)에 설치되며, 측정용 광이 검사 대상체(175)로부터 반사되도록 구성되어 있다. DMI(1801)는 컴퓨터(192)에 연결되어, 동작 중에, 간섭 신호를 컴퓨터(192)에 전송한다. 컴퓨터(192)는 간섭 신호에 기초해서, 미라우 대물렌즈(167)와 검사 대상체(175) 간의 상대적 변위를 모니터링하고, 간섭 현미경(110)의 동작과 같이, 간섭 현미경(110)을 사용해서 행한 측정값과 관련된 주사 오차에 관한 정보를 제공한다.
일반적으로, 다양한 DMI가 사용될 수 있다. 시판되고 있는 DMI의 예로는, Zygo Corporation(커네티컷, 미들필드에 소재함)에서 판매하는 ZMI 시리즈인 Displacement Measuring Interferometers가 있다. DMI의 다른 예는, 2007년 1월 23일에 제출된, "INTERFEROMETER SYSTEM FOR MONITORING AN OBJECT"란 명칭의 미국특허출원 11/656,597에 개시되어 있으며, 그 내용을 본 명세서에 참조에 의해 원용한다.
몇몇 실시예에서, DMI(1801)에 의해 사용되는 광원은, 미라우 대물렌즈(167)에 설치된 어셈블리 내에 포함된다. 소정의 실시예에서, 광원은 대물렌즈로부터 이격되어 수용될 수 있으며, DMI에 대한 광은, 예를 들어 광섬유 도파로를 통해 DMI로 향하도록 할 수 있다. 이러한 시스템의 예는, 미국특허출원 11/656,597호에 개시되어 있다. 이러한 구성은 대물렌즈에 설치된 실제의 어셈블리가 소형이고 상대적으로 눈에 띄지 않지만, 처리용 전자소자와 광원은 대물렌즈로부터 원격에 위치한다는 장점이 있다.
소정의 실시예에서, 주사 동안 검사 대상체의 변위를 모니터링하기 위해 복수의 DMI를 사용할 수 있다. 예를 들어, 미국특허출원 11/656,597호에는 상이한 위치에서의 변위를 측정(예를 들어, 상대적 또는 절대적)하기 위한 DMI를 각각 사용하는 복수의 검출 채널을 포함하는 시스템을 개시하고 있다.
광섬유 센서 시스템
주사 오차 모니터링을 위한 광섬유 DMI 시스템('센서 시스템'이라고도 함)을 구현한 복수의 예에 대해서, 도 33-도 48b와 관련해서 설명한다.
일부 실시예에서, 센서 시스템을 간섭계 시스템에 구현함으로써, 검사 대상체 또는 기준 대상체의 표면과 같은 모니터 표면의 위치를 판정할 수 있다. 예를 들어, 이것은 간섭계 시스템의 자동초점 메커니즘(autofocusing mechanism) 내의 내부 기준 평면에 대한 검사 대상체의 상대적 거리를 판정하기 위해 사용될 수 있다.
도 33은 간섭계 시스템(4110)의 미라우(Mirau) 대물렌즈 등에 부착된 센서(4099A, 4099B)와 서브시스템(4010)을 포함하는 센서 시스템(4000)의 예를 나타낸다.
서브시스템(4010)은 광대역 광원(4020)과, 광원(4020)으로부터의 광으로 조사되는 광역 파장 가변 내부 캐비티(widely-tunable internal cavity)(4030)와, 내부 캐비티(4030)로부터 광을 수광해서 여러 채널(4050-4053)에 광을 분배하는 광 분배 모듈(4040)과, 각 채널(4050-4053)에 대해 각각의 검출 모듈(4070-4073)(예를 들어, 광검출기)을 구비하는 검출 및 위상계 전자장치(detection and phase meter electronics)(4060)를 포함한다.
더 구체적으로 말하면, 광대역 광원(4020)은 간섭계 시스템(4110)에서 사용되는 파장으로부터 멀리 제거된 중앙 파장(central wavelength)에서 발광하는 표면 발광 LED가 될 수 있다. 예를 들어, 광원(4020)은 대략 9mW의 파워, 1550nm의 중앙 파장, 30nm의 반치 전폭의 스펙트럼 폭, 및 대략 50㎛의 간섭성 길이를 가질 수 있다.
광원(4020)으로부터의 광은 광섬유 케이블(4012)과 절연체(isolator: 4014, 4016)를 사용해서 안내된다. 절연체는 내부 캐비티(4030)로부터 광원(4020)으로의 피드백과 광 분배 모듈(4040)로부터 내부 캐비티(4030)로의 피드백에 의한 시스템 왜곡을 피하기 위한 것이다. 절연체(4014, 4016)는, 예를 들어 복귀 광(returning light)의 30dB 억제를 제공한다.
센서 시스템 내에서, 50/50 광섬유 커플러(fiber coupler)는 입력 및/또는 출력되는 광을 분리, 분배 및/또는 조합하기 위해 여러 위치에 사용될 수 있다. 예를 들어, 내부 캐비티(4030)는 광원(4020)과 광 분배 모듈(4040)에 한쪽이 연결된 50/50 광섬유 커플러(4095)를 포함한다. 한편, 커플러(4095)는 변경가능한 OPD를 가진 내부 캐비티(4030)의 2개의 레그(leg)와 연결된다. 각각의 레그는 광섬유 경로에서의 편광 변화(polarization change)에 기인한 콘트라스트 페이딩(contrast fading)을 감소시킬 있는 패러데이 미러(Faraday mirror)(4034B, 4034B)를 포함한다.
내부 캐비티의 2개의 레그를 따라 전파되는 광에 대한 OPD는, 예를 들어 FSM(4032A, 4032B)을 사용해서 광로를 확장하거나 감축함으로써 제어가능하다. 일부 실시예에서, OPD는, 예를 들어 10mm의 범위에서 적어도 3mm의 범위에서 변경될 수 있다. 내부 캐비티(4030)를 남기면, 2개의 레그로부터의 광이 커플러(4095) 내에서 재조합된다.
다른 예로서, 50/50 광섬유 커플러는 입력되어 반사되는 광을 다양한 채널(405-5053) 내에서 분할함으로써 센서로부터 복귀하는 광이 커플러를 통과한 후에 위상계 전자기기(4060)로 향하도록 하는 데에 사용된다. 특히, 커플러(4090)는 기준 캐비티(4080)에 광 분배 모듈(4040)의 채널(4050)로부터 광을 제공하며, 기준 캐비티(4080)로부터의 광을 검출 모듈(4070)로 향하도록 한다. 이와 마찬가지로, 50/50 광섬유 커플러(4091)는 센서(4099A)에 광 분배 모듈(4040)의 채널(4051)로부터의 광을 제공하고, 센서(4099B)로부터의 광을 검출 모듈(4071)로 향하도록 한다. 동일한 방식으로, 커플러(4092, 4093)는 관련된 채널 및 센서와 광에 대해 상호작용을 행한다.
움직임 측정에 대하여, 센서는 물리적 대상체에 부착되어 단독으로 또는 적절한 정도 또는 자유도로 조합되어, 기준 위치에 대하여 모니터링한다. 예를 들어, 채널(4051, 4052)은 검사 대상체(4175)와 센서(4099A, 4099B), 그리고 미라우 대물렌즈(4167) 간의 거리를 측정하는 센서(4099A, 4099B)에 접속된다. 채널(4051, 4052)을 측정 채널이라고도 한다. 센서 구성의 예에 대해서는 도 34(이하 참조)와 관련해서 설명한다.
기준 신호를 제공하기 위해, 기준 캐비티(4080)는 채널(4050)에 접속된다. 도 35(이하 참조)와 관련해서 설명하는 바와 같이, 기준 캐비티(4080)는 센서(4099A, 4099B)와 유사한 구성을 갖는다. 채널(4050)을 기준 채널이라고도 한다.
센서(4099A, 4099B)는 독립적으로 결합된 캐비티 간섭계를 형성하는 내부 캐비티(4030)와 함께 센서 캐비티를 관측하도록 구성된다. 센서 캐비티는, 예를 들어, 센서의 반사 면과 관측 부분의 반사 면 사이에 형성된다. 도 33의 구성에서, 센서의 반사 면은 센서의 마지막 면이며, 관측 부분의 반사 면은 검사 대상체의 면이다. 이러한 구성에서, 센서 캐비티의 OPD는 미라우 대물렌즈(4167)의 축을 따라 주사 움직임에 대해 비례적으로 변경된다.
센서(4100)의 구성의 예를 도 34에 나타낸다. 열 확장 코어(TEC: thermally expanded core) 광섬유(4102)는 경사 굴절형(GRIN: graded index) 렌즈(4104)에 부착된다. 센서(4100)는 빔 웨이스트(beam waist) 위치(4106)에서의 구체적인 폭의 빔을 제공하도록 되어 있다. 센서를 제조하는 동안, 빔 웨이스트 위치의 배치를 가능하게 하기 위해, 센서(4100)의 최종적인 면(4108)에 대한 빔 웨이스트 위치(4106)를 설정하는 제조 과정 중에 조정되는, GRIN 렌즈(4104)와 TEC 광섬유(4102) 간의 갭이 사용될 수 있다. 조작하는 동안, 센서(4100)는 타겟(4114)의 타겟 면(4112)과 센서 캐비티를 형성한다. 타겟(4114)은, 예를 들어 검사 대상체(4175), 광학 소자, 또는 이들 소자 중 하나의 마운트의 일부가 될 수 있다.
센서(4000)의 구성에서, GRIN 렌즈(4104)의 마지막 면(4108)은 필요에 따라 기준 면으로서 사용될 수 있다. 이어서, 마지막 면(4108)과 타겟 면(4112)은 센서 캐비티를 형성한다. 이와 달리, 마지막 면(4108)은 면의 반사를 감소시키도록 코팅된 반사 방지(AR: anti-reflected)가 될 수 있다. 도포 중에, 센서(4100)는 기준 면으로서 마지막 면을 사용할 수도 있다. 센서(4100)는 단순한 구성을 가지며 크기와 비용을 감소시킬 수 있다.
센서 캐비티에 기여하는 바람직한 면은 결합된 캐비티 간섭계의 형상을 조절함으로써 선택될 수 있는데, 조명 광의 제한된 간섭성 길이가 원치 않는 면으로부터 간섭을 배제할 수 있기 때문이다.
기준 캐비티(4200)의 구성의 예를 도 35에 나타낸다. 기준 캐비티(4200)는 분배기(4040)로부터의 광을 수광하기 위한 광섬유 케이블(4202)을 포함한다. GRIN 렌즈(4204)는 빔을, 고정된 OPD 페브리 페롯(Fabry Perot: FP) 캐비티로 시준한다. 일부 실시예에서, 기준 OPD는, 예를 들어 도 34에 나타낸 것과 같이, 이격 거리(standoff distance) D의 2배가 되도록 조절하고, 대물렌즈의 최적의 초점의 경우, 마지막 면(4108)으로부터 검사 대상체의 면까지의 거리가 된다.
도 33을 참조하면, 센서 시스템(4000)의 동작 동안, 적절한 간섭성 및 세기의 광이 내부 캐비티(4030)에 공급되어, 2개의 레그 사이에 제어가능한 OPD를 제공한다. 내부 캐비티(4030)를 통과한 후에, 광섬유 분배 시스템(4040)은 다양한 측정 채널(4051-4052)과 기준 채널(4050) 중에 광을 분할한다. 절연체(4014, 4016)는, 광 성능(light performance)가 광 피드백(optical feedback)에 의해 절충되지 않도록 하는 것을 보장한다. 측정 채널(4051-4052)은 센서(4099A, 4099B)로부터 및 센서로 광을 향하게 하며, 각각의 센서에 의해 모니터링되는 자유도에 따라 OPD가 달라지는 센서 캐비티를 형성하도록 방식으로 간섭계 시스템(4110)이 센서에 부착된다. 측정 채널(4050-4052) 내에서 광이 동일한 조명 광섬유(4012)를 따라 복귀하며, 전자기기(4060)로 향하며, 하나 이상의 채널의 신호가 모니터링된 자유도에 관한 정보를 유도하도록 처리된다.
내부 캐비티(4030)의 OPD를 조정하는 것은 위상 변조에 따라 달라지며, 측정 채널 내의 센서 캐비티의 간섭 위상과 OPD를 판정하는 데에 사용된다. 센서 시스템(4000)은 간섭성 주사 모드와 움직임(또는 위상) 모니터링 모드에 대한 위상 변조를 채택할 수 있다. 센서 시스템(4000)은 이들 모드 사이에서 필요에 따라 신속하게 전환되도록 구성될 수 있다.
간섭성 주사 모드에서, 센서 캐비티의 OPD는 각 채널 내의 변조가 최대로 되는, 내부 캐비티 조정 내의 포인트를 찾음으로써 내부 캐비티(4030)의 조정 범위 내에서 판정될 수 있다. 간섭성 주사 모드는, 예를 들어, 도 38 및 도 39와 관련해서 이하에 설명하는 바와 같이, 자동 초점 메커니즘 내에서 사용될 수 있다.
간섭성 주사 모드에서, 내부 캐비티(4030)의 OPD는 위상계 전자기기(4060)가 측정 채널(4051-4053)에 대한 간섭성 피크(최대 간섭 변조)를, 예를 들어 동시에 그리고 실시간으로 검색하는 동안, 큰 진폭에 따라 변화한다. 내부 캐비티(4030)의 OPD는, 채널 간섭성이 최대인 시점에서, 해당 채널과 연관된 센서 캐비티의 OPD를 판정한다. 구체적으로, 기준 OPD의 적절한 설정에 의해, 기준 채널(4050)과 측정 채널(4051 또는 4052)의 피크 간섭 위치 간의 거리는, 최적의 초점 위치로부터, 예컨대 검사 대상체(4175)의 상대 위치를 보여준다.
움직임 모니터링 모드는, 예를 들어 진동 모니터링에 대해 사용될 수 있다. 움직임 모니터링 모드에서, 측정 채널(4051-4053)의 간섭 위상은 고속으로 측정된다. 따라서, 측정 채널(4051-4053)이 조명 광의 간섭성 피크 내에 있으면, 임의의 다른 채널에 대한 채널의 OPD 편차를 모니터링할 수 있다.
움직임 모니터링 모드에서, 내부 캐비티(4030)의 OPD는 하나 이상의 센서 캐비티의 간섭 위상이 높은 업데이트 레이스로 위상 추출 알고리즘에 의해 계산되도록 하는 방식에서 작은 위상으로 높은 주파수에서 변화한다. 센서 캐비티의 변화 레이트는 충분히 작은 것으로 가정되어, 인접한 샘플 사이의 간섭 위상 변화가 π보다 작고, 표준 위상 접속 방법을 통한 연속 위상 간섭을 허용한다.
움직임 모니터링 모드에서, 기준 채널(4050)은 관측된 검사 면의 움직임에 대응하는 측정 위상으로부터 내부 캐비티(4030) 내의 광로의 변화를 감산(subtract)하는 데에 사용될 수 있다. 예를 들어, 기준 채널(4050)은 측정 위상의 업데이트 주파수에 비해 이동(drift)이 느린 동안에는, 내부 캐비티(4030)의 이동을 수용할 수 있다.
일부 실시예에서, 센서로부터 발광된 광빔은 움직임 축에 거의 평행하게 전파하여 오정렬 각도(misalignment angle)의 코사인에 비례하는 측정된 움직임에 오차를 생기게 할 수 있는 오정렬(misalignment)을 감소시킨다. 센서의 복귀 손실(return loss)은 또한 검사 면에서의 조명 광의 입사각에 좌우되는데, 특히 타겟 면 경사의 함수로서 증가할 수 있다. 일반적으로, 센서의 경사 감도(tilt sensitivity)는 센서 설계의 상세에 따라 달라지며, 예를 들어 GRIN 렌즈와 센서 작업 거리로서 알려진 빔 웨이스트 위치 간의 거리에 따라 달라질 수 있다. 일반적으로, 관측 부분의 법선 면 평면에 수직인 센서 방광을 정렬시키는 것은 사용가능한 경사 위상 공간(tilt phase space)을 확대시킬 수 있다.
도 33에 나타낸 실시예에서, FSM에 전원이 공급되지 않는 경우의 내부 캐비티(4030)의 OPD를 "정규 OPD"라고 정의한다. 센서가 자동 초점에 사용된다면, 센서 캐비티의 OPD는 대물렌즈가 최적의 초점에 있을 때에 정규 OPD에 근접해야 한다. 이러한 방식의 간섭 피크 콘트라스트 위치는 최적의 초점을 식별하는 데에 사용될 수 있다.
내부 캐비티의 OPD를 제어하는데에 사용된 FSM은 온도 민감특성을 가질 수 있으며, 예를 들어 대략 10ppm/C의 OPD 온도 계수를 가질 수 있다. 2개의 FSM을 열적으로 밀접하게 접촉시키면, 온도차로부터 OPD 편차를 최소로 할 수 있다. 또한, FSM은 크리프(creep)를 경험하는 PZT에 의해 구동될 수 있다. 크리프는 열 섭동(thermal agitation) 하에서의 전자기 응력(electrostatic stress)에 기인한 PZT 영역의 재정렬(realignment)에 의해 생기며, 통상적으로 대수적인 시간 종속성을 가진다. 마지막으로, 제조 과정 중에, 내부 캐비티의 2개의 레그의 광섬유 길이를 물리적으로 정합시키는 것을 어려울 수 있다.
OPD 변동성의 관점에서, 보상 메커니즘의 고정된 기준 캐비티로서 하나의 채널을 사용할 수 있다. 일부 실시예에서, 기준 캐비티의 OPD는 내부 캐비티의 정규 OPD에 동등하도록 설정된다. 고정된 기준 캐비티의 예를 도 35에 나타낸다.
기준 채널은 남아 있는 측정 채널과 동시에 그리고 동기적으로 취득될 수 있다. 모니터 채널의 신호를 분석하면, 위상 측정으로부터 기준 위상을 감산할 수 있다. 따라서, 기준 캐비티 OPD가 고정되는 한도까지, 내부 캐비티의 임의의 OPD 편차가, 간섭성 길이와 비해 그 편차가 작은 동안에는 감산되어, 기준 신호가 손실되지 않는다.
기준 캐비티는 정규의 OPD 위치를 규정하는 데에 사용될 수 있으며, 자동 초점을 위한 대물렌즈의 최적의 초점 위치에 대응할 수 있다.
일례로서, 센서 시스템을 가진 현미경의 동작에 대하여, 도 36-38과 관련해서 설명한다. 센서 시스템은, 예를 들어 도 33과 관련해서 설명했던 센서 시스템이 될 수 있다. 동작에는 센서 시스템의 자동 초점 기능과 움직임(또는 위상) 모니터링 기능이 포함된다.
도 36의 플로차트로 나타낸 바와 같이, 현미경 헤드, 예를 들어 간섭 현미경의 대물렌즈는 검사 대상체가 위치하는 측정 지점 위에 위치한다(단계 4310). 검사 대상체는 현미경으로 검사될 검사 면을 가진다.
센서 시스템의 자동 초점 모드가 인에이블되면(단계 4320), OPD 주사가 수행된다.
도 37은 자동 초점 OPD 주사 동안 측정된 기준 캐비티의 기준 신호의 변조 피크(4420)와 모니터 캐비티의 검사 신호의 변조 피크(4410)를 개략적으로 나타낸다. 측정된 신호는, 예를 들어, 변조 피크의 위치를 식별하고 최적의 초점 위치에 대한 검사 대상체의 표면 위치를 산출하는 전자 프로세서를 사용해서 분석된다(단계 4330). 이 예에서, 최적의 초점 위치는 기준 신호의 변조 피크(4420)의 위치로 나타낸다.
판정된 상대 위치에 기초해서, 현미경은 최적의 표면 위치를 향해 검사 표면을 측정된 거리만큼 이동시킨다(단계 4340). 검사 표면의 최종 위치는 도 38에 개략적으로 나타낸 바와 같이 검증될 수 있으며(단계 4350), 기준 캐비티의 변조 피크(4420)와 모니터 캐비티의 변조 피크(4410')는 OPD 주사의 동일 OPD 주변에서 발생한다. 적절한 위치설정 또는 개선을 확실히 하기 위해, 단계 4330과 단계 4340의 루프(4355)가 수행될 수 있다.
현미경의 초점을 맞춘다(검사 캐비티와 기준 캐비티 간섭성 기능이 오버랩). 적절한 위치가 확인되고, 현미경의 초점이 맞춰졌으면, OPD 주사의 자동 초점 DC 전압을 최대 변조로 설정한다(단계 4360). 도 38은 센서 시스템에서의 OPD의 이러한 고속 사인파 주사의 기준 신호(4520)와 모니터 신호(4510)를 개략적으로 나타낸다. 일부 실시예에서, 최대 간섭 무늬 콘트라스트의 포인트에서 FSM 전압을 클램핑한다.
이어서, 진동 모드를 인에이블해서(단계 4370), 검사 표면의 움직임을 모니터링하고, 검사 대상체의 SWLI(또는 PUPS) 주사 측정을 현미경으로 시작한다(단계 4380). 움직임의 동기 측정에 의해, 진정한 움직임 프로파일의 계산 및 출력이 가능하고, SWLI(PUPS) 데이터와 동기화된다(단계 4390).
진정한 움직임에 기초해서, 주사 오차 기여를 제거하기 위해 SWLI(또는 PUPS) 분석과 함께 측정된 위상 편차를 사용할 수 있다(단계 4395). 이것은 SWLI(또는 PUPS) 데이터를 후처리하는 동안 또는 실시간으로 이루어질 수 있다.
앞서 설명한 실시예서는 자동 초점 기능과 움직임 모니터링 기능이 순차적으로 수행되고 있지만, 이들 각각의 기능은 개별적으로 및/또는 여러 번 행해질 수 있다.
자동 초점 모드를 사용하는 실시예에서, OPD 주사 및 센서 시스템의 파라미터는 예를 들어 5mm를 초과하는 작업 거리에 대한 1mm를 넘는 작업 범위, 대략 100nm의 위치 해상도, 대략 250nm(구조화된 부분)의 위치 반복성, 대략 0.5nm 직경의 스폿 사이즈, 10Hz 를 넘는 속도를 제공하도록 선택된다.
자동 초점 기능을 도 33에 나타낸 간섭계 시스템에서의 FSM(4032A, 4032B)와 같은 FSM을 가진 센서 시스템에 적용하면, FSM은 상대적으로 낮은(예를 들어, 10Hz 이하) 큰 진폭 사인파 전압으로 전원이 공급될 수 있으며, 검사 및 기준 간섭성 피크 사이의 상대적 지연으로부터 검사 표면 위치가 정해질 수 있다. 전체적인 OPD 스윕 범위(sweep range)는 FSM(4032A, 4032B)의 스풀(spool)에서의 광섬유의 길이와 FSM(4032A, 4032B)의 PZT의 최대 확장에 의존한다. 크리프와 온도 민감도는 광섬유 길이에 직접 의존할 수 있기 때문에, 사용할 광섬유의 최적의 양은 종종 스윕 길이와 허용가능한 민감도 사이에서 절충된다. 예를 들어, 18m의 광섬유를 사용하는 FSM은 6.6mm OPD 주사, 9.5 미크론/V 전송 계수 및 254 미크론/C 온도 민감도를 제공한다.
움직임 모니터 모드를 사용하는 실시예에서, OPD 주사 및 센서 시스템의 파라미터는 0.2mm보다 작은 움직임 해상도, 1mm보다 작은 반복성(구조화된 부분), 대략 200kHz의 샘플 레이트, 및 5kHz를 넘는 업데이트 주파수를 제공하도록 선택된다.
또한, 움직임 모니터 기능을 도 33에 나타낸 간섭계 시스템 내의 FSM(4032A, 4032B)과 같은 FSM을 가진 센서 시스템에 적용하게 되면, FSM은 높은 레이트로 캐비티 간섭 위상의 계산을 가능하게 하는 진폭을 가진 고주파(예를 들어, 10kHz 이하)의 파형(최적의 간섭을 제공하는 DC 클램프)으로 전원 공급될 수 있다. 일부 실시예에서, 이것은 표준 선형 편이 알고리즘이 사용되는 경우에, 톱니 또는 삼각파 변조 프로파일로 이루어진다. 다른 실시예에서, 변조는 사인파이며, SinPSI 알고리즘이 사용된다. 예를 들어, "SINUSOIDAL PHASE SHIFTING INTERFEROMETRY"란 명칭의 P.J.De Groot에 의한 미국특허출원 2008/0180679-A1 및/또는 2009년 3월 20일에 제출된 "ERROR COMPENSATION IN PHASE SHIFTING INTERFEROMETRY"란 명칭의 미국특허출원 12/408,121에 개시된 사인파 위상 편이 알고리즘이 사용된다. 미국특허출원 2008/0180679-A1 및 미국특허출원 12/408,121의 전체 내용을 본 명세서에서 참조에 의해 원용한다.
채널은 이러한 변조에 대한 적절한 주파수와 위상으로 동시에 샘플링되어, 각각의 사이클마다 새로운 위상을 얻을 수 있다. 위상 편차는 λ/4π를 승산함으로써 물리적 길이 편차로 변환된다. 계산적인 부담은 이들 레이트에서는 작으며, 모든 채널에 대한 표준 마이크로프로세서에 의해 동시에 실시간으로 용이하게 수행될 수 있다.
간섭계 측정(예를 들어, SWLI 또는 PUPS) 동안, 캐비티 움직임은 간섭계 시스템을 제어하는 마이크로프로세서에 의해 판독될 수 있다. 움직임 데이터는 피드백 메커니즘을 통해 실시간으로 간섭계 시스템의 주사 움직임을 보정하거나, 본 명세서에서 언급했던 J-행렬 방법을 사용해서 바람직하지 않은 주사 움직임을 보정하기 위해 간섭 데이터의 후처리 동안 보존 및 사용된, 간섭 데이터로 타임 스템핑하는 데에 사용될 수 있다.
일반적으로, 다양한 타입의 간섭 대물렌즈가, 동작 동안 모니터 표면을 가진 모니터 캐비티를 형성하는 센서를 구비하는 센서 시스템과 함께 사용될 수 있다. 다음으로, 간섭계 대물렌즈로 조사된 검사 대상체를 사용해서 모니터 캐비티를 형성하는 간섭 대물렌즈로 센서를 설치한 예에 대하여 설명한다.
확대해서 나타낸 도 39는, 도 33에 나타낸 바와 같은 대물렌즈 유닛(4540)은 미라우 대물렌즈(4167)와 센서 칼라(sensor collar)(4545)를 포함한다. 미라우 대물렌즈(4167)는 간섭 측정에 대한 기준 광로와 검사 광로를 제공하는 미러(4560)와 렌즈(4550)를 포함한다. 센서 칼라(4545)는 광섬유(4012)를 통해 도 33에 나타낸 것과 같은 서브시스템(4010)에 접속된 센서(4099A, 4099B)를 포함한다. 센서(4099A, 4099B)는 검사 대상체(4175)에 수직인 방사선을 방출함으로써, 미라우 대물렌즈(4167)의 시야각 내에 속하지 않는 범위에서 검사 대상체의 표면과 모니터 캐비티를 형성한다.
도 40은 미켈슨(Michelson) 대물렌즈(4580)와 센서(4570)의 조합체를 나타낸다. 센서(4570)는 미켈슨 대물렌즈(4580)의 시야각 내에서 빔 분할기(4585)를 거쳐 검사 대상체(4175)를 조사한다.
도 41은 리닉 대물렌즈(4592) 내의 2개의 센서(4090A, 4090B)의 구현을 나타낸다. 리닉 대물렌즈(4592)는 검사 레그(4596A)와 기준 레그(4596B) 내에 슈발츠실드(Schwarzschild) 광학기기(4594A, 4594B)와 편광기 P를 포함한다. 센서(4090A, 4090B)는 리닉 대물렌즈(4592)의 중심부에 위치한다. 센서(4594A)는 예를 들어 리닉 대물렌즈(4580)의 시야각 내에서 90도의 각도로 검사 대상체(4175)를 조사함으로써, 검사 대상체(4175)의 표면과 제1 모니터 캐비티를 형성하게 된다. 마찬가지로, 센서(4594B)는 90도의 각도로 기준 대상(4181)을 조사함으로써, 기준 대상(4181)의 표면과 제2 모니터 캐비티를 형성한다. 표시된 바와 같이, 기준 대상(4181)은 간섭 SWLI 측정에 대해 위상 편이를 제공하도록 변위가능하다.
앞서 설명한 바와 같이, 센서 시스템은 사용된 간섭 대물렌즈에 따라 다양한 방식으로 구현될 수 있다. 또한, 센서 시스템은 간섭 측정에 사용된 주사 모드에 따라 다양한 방식으로 구현될 수 있다. 예를 들어, 초점이 주사되는지 여부 또는 경로 길이가 초점 위치를 유지하는 동안 주사되는지 여부에 따라 초점 주사과 경로 길이 주사를 구분한다.
초점 주사에서, 검사 표면에 대한 간섭 대물렌즈의 초점 평면의 위치가, 대물렌즈가 전체적으로 이동함에 따라 변화한다. 초점 주사는, 예를 들어 미라우 타입의 대물렌즈와 같이 기준 면에 접근할 수 없는 간섭 대물렌즈와 함께 사용될 수 있다.
경로 길이 주사에서, 기준 면은 초점 평면이 고정되어 있는 동안 이동된다(예를 들어, 그 위치는 사인파 변조된다). 경로 길이 주사는 기준 면이 액세스될 수 있으며 SWLI 및 PUPS 간섭계가 수행될 수 있는, 리닉 또는 미켈슨 대물렌즈와 함께 사용될 수 있다.
초점 주사에 적합한 예로서, 도 42a-42c는 일반 대물렌즈(4600)를 가진 센서의 구현을 나타낸다. 도 42a-42c와 후속하는 도면의 대부분에서는 하나의 센서만 도시되어 있지만, 불필요한 중복을 위해 하나 이상의 센서를 채택할 수 있으며, 각도 움직임 정보를 제공할 수 있다.
도 42a에서, 센서(4610)는 검사 대상체를 유지하는 스테이지(4620)에 매립되고 스테이지(4620)에 대한 일반 대물렌즈(4600)의 움직임을 모니터링한다. 도 42b에서, 센서(4630)는 일반 대물렌즈(4600)에 부착되고, 스테이지(4620)(스테이지의 표면 부분이 모니터 캐비티를 형성하는 경우)에 대한 또는 직접 검사 대상체(검사 대상체의 표면 부분이 모니터 캐비티를 형성하는 경우)에 대한 일반 대물렌즈(4600)의 움직임을 모니터링한다. 도 42c에서, 센서(4640)는 일반 대물렌즈(4600)에 설치되는데, 스테이지(4620) 또는 검사 표면으로부터 비스듬히 반사하며 일반 대물렌즈(4600)의 다른 쪽에 설치된 미러(4660)로부터 센서(4640)로 다시 반사된 센서 빔을 방출하도록 설치된다. 도 42c의 구성에 의하면, 일반 대물렌즈(4600)(도 42c에 나타냄)의 측정 포인트(4670)로부터 센서 빔(4650)이 반사되는 아베(Abbe) 오차를 감소시키며, 감소된 수직 움직임 민감도를 가질 수 있다.
미켈슨 및 리닉 대물렌즈에 의하면, 센서 빔의 광로를 규정하기 위한 미켈슨 및 리닉 대물렌즈의 광학기기를 사용함으로써 수직 민감도를 절충하지 않고도 아베(Abbe) 오차를 감소시키는 초점 주사를 위한 특히 단순한 센서 구성이 가능하다.
예를 들어, 도 43a에 나타낸 센서(4570)를 갖는 미켈슨 대물렌즈의 조합은 도 41에 나타낸 구성에 대응하며, 이 구성에서는 센서(4570)의 센서 빔(4680)이 검사 대상체(4175) 상에서 수직으로 빔 분할기(4585)에 의해 반사된다. 센서(4570)는 간섭 캐비티를 제공하기 위해 예를 들어 도 34와 관련해서 설명한 바와 같이 내장 기준(built-in reference)을 포함할 수 있다.
도 43a에 나타낸 구성과 달리, 도 43b에 나타낸 구성은 내장형 기준을 제공하지 않는 센서(4570A)로 동작할 수 있는데, 미켈슨 대물렌즈의 기준 레그(4686)가 센서(4570A)에 대해 기준으로서 사용되는 기준 대상(4688)을 제공하기 때문이다. 구체적으로, 빔 분할기(4585)와 센서 빔(4680)의 상호작용은 기준 빔(4690)이 투과되어 기준 대상(4688)에서 다시 반사되도록 구성된다. 빔 분할기(4585)는, 예를 들어 편광 상태 또는 파장 분할에 기초할 수 있다.
다른 예로서, 센서(4700), 검사 대물렌즈에 기초한 렌즈(4715) 및 기준 대물렌즈에 기초한 렌즈(4718)와 리닉 대물렌즈의 조합을 도 43c에 나타낸다. 도 43a에서, 센서(4700)의 센서 빔(4710)은 검사 대상체(4175)에서 수직으로 빔 분할기(4720)에 의해 반사된다. 센서(4700)는 예를 들어 간섭계 캐비티를 제공하기 위해 도 34와 관련해서 설명한 내장형 기준을 포함할 수 있다.
도 43c의 구성과 달리, 도 43d의 구성은 내장형 기준을 제공하지 않는 센서(4700A)와 동작할 수 있는데, 리닉 대물렌즈의 기준 레그(4730)가 센서(4700A)를 위한 기준으로서 사용되는 기준 대물렌즈(4740)를 제공하기 때문이다. 구체적으로, 빔 분할기(4720)의 센서 빔(4710)과의 상호작용은 기준 빔(4750)이 투과해서 기준 대상(4740)으로부터 반사되도록 구성된다. 빔 분할기(4720)는, 예를 들어 편광 상태 또는 파장 분할에 기초할 수 있다.
도 43a-43d가 초점 주사으로 사용된 구성인 것으로 설명하였지만, 소정의 실시에에서, 센서 시스템은 경로 길이 주사으로 동작하는 간섭계 시스템과 조합될 수 있다. 경로 길이 주사에서, 타겟 표면이 아닌 대물렌즈 기준 면이, 간섭 측정 동안 OPD를 변경하도록 주사된다.
도 44a에 나타낸 예로서, 미켈슨 대물렌즈는 기준 대상(4810)의 후면(back side)의 표면과 모니터 캐비티를 형성하는 센서(4800)와 조합될 수 있다. 기준 대상(4810)에 의해 다시 반사되는 센서(4800)의 센서 빔(4820)은 기준 대상(4810)의 움직임에 대해 민감하며, 움직임 오차를 보정하는 데에 사용될 수 있지만, 자동 초점에 대해서는 사용될 수 없다. 센서(4800)는 예를 들어 간섭 캐비티를 제공하기 위해 도 34와 관련하여 설명한 것과 같은 내장형 기준을 포함할 수 있다.
다른 예에서, 센서(4830)와 리닉 대물렌즈의 조합을 도 44b에 나타낸다. 도 44a에 나타낸 바와 같이, 센서(4830)의 센서 빔(4840)은 기준 대상(4686)에 의해 반사된다. 센서(4830)는, 예를 들어 도 34와 관련해서 설명한 것과 같은 내장형 기준을 포함함으로써 간섭 캐비티를 제공할 수 있다.
내장형 기준이 없는 센서를 사용한 예로서, 도 43b와 도 43d와 관련해서 설명한 바와 같은 구성은 경로 길이 주사에 사용될 수 있다. 예를 들어 검사 대상체(4175) 또는 검사 레그에서의 리닉 검사 대물렌즈(4715)의 주사 대신에, 검사 레그에서의 초점 위치를 유지할 수 있으며, 도 43b에서 기준 대상(4686)의 위치를 변경하고, 도 43d에서 기준 대상(4740), 리닉 기준 대물렌즈(4718) 또는 이들 둘(예를 들어, 동시에)의 위치를 변경한다.
소정의 응용에서, 기준 면과 타겟 면을 동시에 주사한다. 이어서, 센서 시스템을 사용해서 움직임을 동시에 모니터링할 수 있다. 또한, 기준 면 경사와 같은 추가의 자유도를 모니터링할 수 있으며, 예를 들어 PUPS 애플리케이션에 사용할 수 있다.
2개 이상의 움직임을 동시에 모니터링하는 것은, 예를 들어, 도 33과 관련해서 설명한 바와 같이 서브시스템(4010)의 개별 채널에 연결된 둘 이상의 개별 센서로 수행될 수 있다. 2개 이상의 센서가 미켈슨 또는 리닉 간섭계에 어떻게 배치될 수 있는지의 예를 도 45a-45c에 나타낸다.
도 45a는 미켈슨 대물렌즈에 설치된 제1 센서(4630A)가 미켈슨 대물렌즈에 대한 검사 대상체(4175)의 움직임을 모니터링하면서 제2 센서(4800A)가 기준 대상(4686)의 움직임을 모니터링하는, 도 42b 및 도 44a에 나타낸 실시예의 조합이다.
도 45b는 리닉 검사 대물렌즈(4715)에 설치된 제1 센서(4630B)가 리닉 대물렌즈에 대한 검사 대상체(4175)의 움직임을 모니터링하고, 제2 센서(4830B)가 기준 대상(4740)의 움직임을 모니터링하는, 도 42b와 도 44b에 나타낸 실시예의 조합이다.
도 45c는 기준 대상(4740)의 움직임에 추가적으로, 기준 대상(4740)의 기준 면의 경사 및 피스톤(piston)이 2개의 센서(4830C, 4830D)를 사용해서 모니터링하는, 도 45b에 나타낸 구성과 유사한 구성을 나타낸다. 도 46은 간섭 캐비티를 모니터링하는 것이 아니라, 센서(4800)가 스캐너(4810)의 움직임을 직접 모니터링하는 실시예를 나타낸다. 모니터링 스캐너(4810)는 스캐너(4810)가 움직임 불확정성의 가장 큰 광원이었던 경우에, 수행될 수 있으며, 정확하지 않고 저렴한 주사 메커니즘을 사용할 수 있게 된다.
도 47은 검사 대상체(4175)의 검사 표면(4820)이 너무 작아서 축을 벗어난 센서(4830)에 의해 직접 액세스할 수 없는 경우에, 또는 검사 대상체(4175)의 검사 표면(4820)이 센서(4830)에 대한 신뢰가능한 복귀 신호를 제공하지 않는 표면 경사(surface slope)를 갖는 경우의, 간섭 캐비티를 모니터링할 수 있도록 하는 실시예를 나타낸다. 이 경우, 검사 대상체(4175)는 센서(4830)가 바라보는 미러(4850)를 갖는 특별한 부분 스테이지(4840)에 설치된다. 미러 표면은 그 표면 높이가 검사 대상체(4175)의 예상 표면 높이에 대응하도록 설치된다. 이 구성은, 예를 들어 검사 대상체가 매우 유사한, 어셈블리 라인 애플리케이션에 적용될 수 있다. 도 48a와 도 48b는 회전 부분(4930)과 비회전 부분(4940)을 가진 대물렌즈 터렛(objective turret)(4900)을 사용하는 구성을 나타낸다. 터렛은 현미경 애플리케이션에 사용되어, 상이한 타입의 대물렌즈(4910A, 4910B)에, 측정에 특유한 배율을 제공한다. 도 48a에서, 각각의 대물렌즈(4910A, 4910B)는 부착된 자기 자신의 센서(4920A, 4920B)를 가지며, 도 48b에서는, 단일의 센서(4920C)가 터렛(4900)의 비회전 부분(4940)에 부착된다. 도 48a에 나타낸 구성은, 터렛(4900)의 회전 부분(4930)과 비회전 부분(4940) 사이의 기계적 연결에서의 예상하지 못한 움직임에 의해 영향을 받지 않거나 거의 받지 않는데, 기계적 연결에서의 움직임은 센서(4920A, 4920B)에 의해 고려되는 원리에서 최소이기 때문이다. 그러나 도 48b에 나타낸 구성은 더 적은 수의 센서를 필요로 할 수 있으며, 터렛(4900)이 회전함에 따라 복귀 광섬유를 권선하는 것과 관련될 필요가 없다.
다른 실시예
설명한 실시예 중의 일부에서의 광원 서브시스템은 1차 광원(163)과 2차 광원(197)을 포함하였지만, 물론 다른 구성도 가능하다. 일반적으로, 2차 광원(197)으로부터의 광의 파장은 필요에 따라 변경이 가능하고, 파장은 2차 검출기(199)에 의해 검출될 수 있다. 선택된 파장은 1차 광원(163)의 대역폭 내에 포함될 수 있거나 전체적으로 상이한 파장이 될 수 있다. 예를 들어, 1차 광원(163)은 백색의 가시 파장 광을 제공하도록 선택될 수 있으며, 2차 광원(197)은 스펙트럼의 UV 또는 IR 부분에 해당하는 광을 제공한다. 또한, 2차 광원(197)은 일련의 이산 파장을 가진 광을 함께 또는 순서대로 제공할 수 있다.
또한, 일부 실시예에서, 광원 서브시스템은 개별의 1차 및 2차 광원이 아닌, 단일의 광원을 포함한다. 단일의 광원은 1차 검출기(191)를 위한 방사선과 2차 검출기(199)를 위한 방사선을 생성한다. 예를 들어, 2차 검출기(199)와 결합하는 데에 사용되는 필터(101)는 광원로부터 2차 검출기(199)로 단일의 파장(또는 좁은 파장 대역)을 통과시키도록 선택될 수 있다.
일반적으로, 2차 광원(197)은 확장된 또는 포인트 광원이 될 수 있으며, 2차 광원 이미징은 퀼러(Koehler) 또는 임계치가 될 수 있다. 일반적으로, PUPS를 가진 포인트 광원을 사용하면, 동공면을 조명하기 위해 임계 조명(critical illumination)이 바람직하며, SWLI의 경우에는 더 큰 부분을 조명하기 위해 퀼러 조명이 바람직하다.
1차 광원(163)은 LED, 아크 램프, 백열등, 백색광 레이저, 또는 광대역의 간섭계에 적합한 임의의 다른 광원이 될 수 있다.
일실시예에서, 광원의 공간 확장(spatial extent)을 제어하기 위해 구경 조리개(aperture stop)가 사용될 수 있다. 중간 평면 조명(intermediate-plane illumination)도 사용될 수 있다.
검출기 서브시스템의 다양한 구성도 가능하다. 예를 들어, 2차 검출기(199)는 2개의 검출 포인트 또는 픽셀의 최소값을 가진 검출로서 설명될 수 있다. 따라서, 2차 검출기(199)는 통합된 검출기 소자(설명한 실시예에 나타낸 것과 같은)를 가진 단일의 검출기가 되거나, 다중의 이산 단일 소자 검출기로 이루어질 수 있다.
일부 실시예에서, 단일의 검출기가 1차 검출기(191)와 2차 검출기(199) 대신에 사용될 수 있다. 예를 들어, 1차 검출기(191)는 모니터 신호를 취득하는 작업을 행하는 몇 개의 검출기 소자를 포함할 수 있다. 이것은, 예를 들어 대응하는 검출기 요소의 앞에 개별의 협대역 필터를 포함하거나, 모니터 신호에 대한 신호를 1차 검출기(191)의 특정의 요소로 향하도록 선택된 광학기기를 포함할 수 있다.
모니터 신호들 사이에 위상 다이버시티를 도입하는 다양한 방법에 대하여 설명하였다. 이것을 달성하는 다른 방법도 물론 가능하다. 예를 들어, 기준 및 측정 광 사이에 상대적 경사(relative tilt)를 도입해서 2차 검출기(199)의 FOV를 가로지르는 간섭 무늬를 도입할 수 있으며, 동일한 효과를 얻기 위해 추가의 광학 소자를 사용해도 된다. 예를 들어, 일부 실시예에서, 검출기(199)에서 광을 가로지르는 위상을 편이하기 위해 편광 소자를 사용할 수 있다. 이것은, 예를 들어 측정 빔과 기준 빔 사이의 상대적인 위상 편이를 생성하기 위해 편광 소자를 가진 단일의 측정 포인트의 제한된 경우를 포함한다.
설명한 실시예에서, 검출기 및 광원 서브시스템은 1차 및 2차 검출기 및 광원 모두에 각각 사용된다. 다른 실시예도 물론 가능하다. 예를 들어, 일부 실시예에서, 2차 광원 및 검출기는 1차 시스템의 광학 기기 중의 일부를 공유하는 개별의 서브시스템에 함께 통합된다. 예를 들어, 2차 광원 및 검출기는 1차 검출기와 시스템의 나머지 부분 사이에, 또는 대물렌즈와 시스템의 나머지 부분 사이에 적합한 모듈에 함께 패키지화될 수 있다.
또한, 이상의 설명은, 주사 프로파일이 시간에 따라 공칭적으로 선형인 것을 가정하고 있지만, 주사 오차 보정 기술이 다른 주사 프로파일에도 적용될 수 있다.
상기 설명한 실시예는 리닉 또는 미라우 대물렌즈를 구비하는 간섭 현미경에 특징이 있지만, 주사 오차 생성을 위한 기술은 다른 타입의 간섭 현미경(예를 들어, 미켈슨 간섭계를 이용하는 현미경)을 사용해서 구현해도 된다. 더 일반적으로, 본 기술은 간섭 현미경에서의 사용에만 한정되지 않으며, 현미경을 사용하지 않는 간섭계에 의해서도 구현될 수 있다.
컴퓨터 프로그램
상기 설명한 임의의 컴퓨터 분석 방법은 하드웨어나 소프트웨어 또는 이들의 조합으로 구현될 수 있다. 본 방법은 본 명세서에서 설명한 방법과 도면에 나타낸 표준 프로그래밍 기술을 사용하는 컴퓨터 프로그램으로 구현될 수 있다. 프로그램 코드를 입력 데이터에 사용하여, 본 명세서에서 설명한 기능을 구현할 수 있으며 출력 정보를 생성할 수 있다. 출력 정보는 디스플레이 모니터와 같은 하나 이상의 출력 장치에 사용된다. 각각의 프로그램은 높은 수준의 과정 또는 객체 지향(object oriented) 프로그래밍 언어로 구현하여 컴퓨터 시스템과 통신을 행할 수 있다. 그러나 프로그램은 필요에 따라 어셈블리 언어 또는 기계어로 구현할 수도 있다. 임의의 경우, 이 언어는 컴파일링 언어 또는 인터프리터 언어가 될 수 있다. 또한, 프로그램은 해당 용도를 위해 미리 프로그램된 전용의 집적 회로에서 실행될 수 있다.
이러나 각각의 컴퓨터 프로그램은 범용 또는 전용의 프로그램가능한 컴퓨터에 의해 판독가능한 기억 매체(예를 들어, ROM 또는 자기 디스크)에 기억시키는 것이 바람직하다. 이러한 프로그램은 본 명세서에 개시한 과정을 수행하기 위해 컴퓨터에 의해 기억 매체가 판독될 때에 컴퓨터를 구성 및 동작시킬 수 있다. 컴퓨터 프로그램은 프로그램을 실행시키는 동안, 캐시 또는 메인 메모리에 상주할 수 있다. 분석 방법은, 컴퓨터 프로그램으로 구성된, 컴퓨터로 판독가능한 기억 매체로서 구현되어도 된다. 기억 매체는 컴퓨터로 하여금 본 명세서에 개시된 기능을 수행하기 위해 구체적이고 미리 정해진 방식으로 동작시킨다.
실시예들은 검사 대상체와 관련된 정보를 판정하기 위한 간섭계 시스템 및 방법에 관련되어 있다. 적절한 저간섭성 간섭계 시스템, 전자 처리 시스템, 소프트웨어, 및 관련 처리 알고리즘에 관한 추가의 정보는, "METHOD AND SYSTEMS FOR INTERFEROMETRIC ANALYSIS OF SURFACES AND RELATED APPLICATIONS"란 명칭의 미국특허출원 2005-0078318-A1, "PROFILING COMPLEX SURFACE STRUCTURES USING SCANNING INTERFEROMETRY"란 명칭의 미국특허출원 2004-0189999-A1, 및 "INTERFEROMETRY METHOD FOR ELLIPSOMETRY, REFLECTOMETRY, AND SCATTEROMETRY MEASUREMENTS, INCLUDING CHARACTERIZATION OF THIN FILM STRUCTURES"란 명칭의 미국특허출원 2004-0085544-A1에 개시되어 있으며, 이들 특허문헌의 내용을 본 명세서에서 참조에 의해 원용하는 것으로 한다.
애플리케이션의 예
앞서 설명한 주사 오차 보정을 사용하는 저간섭성 간섭계 방법 및 시스템은 다음과 같은 표면 분석 과제를 위해 사용될 수 있다: 단순한 박막; 다층 박막; 회절시키거나 복합적인 간섭 효과를 생성하는 샤프한 에지 및 표면 특징; 해결되지 않은 표면 거칠기; 해결되지 않은 표면 특성, 예를 들어 그렇지 않았으면 평탄했을 표면상의 서브파장 폭 그루브; 이종 재료; 표면의 편광 의존적 특성; 간섭 현상의 입사각 의존성 동요가 생기게 하는 표면의 굴절, 진동 또는 움직임이나 변경가능한 표면 특성. 박막(thin film)의 경우, 가변 파라미터가 막의 두께, 막의 굴절률, 기판의 반사율, 또는 이들의 조합이 될 수 있다. 이러한 특징을 나타내는 대상과 장치를 포함하는 애플리케이션의 예에 대하여 설명한다.
반도체 처리 공정
상기 설명한 시스템 및 방법은 공정 흐름 자체를 모니터링 또는 제어하기 위한 전용의 툴을 위한 반도체 처리 공정에 사용될 수 있다. 애플리케이션 모니터링 과정에서, 단일층 또는 다층 막에 대하여, 대응하는 처리용 툴에 의해 패턴화하지 않은 Si 웨이퍼(모니터 웨이퍼) 상에서 성장, 증착, 연마 또는 에칭을 행하고, 본 명세서에서 설명한 주사 오차 보정 기술을 채택하는 간섭계 시스템을 사용하여, 두께 및/또는 광학 특성을 측정한다. 이들 모니터 웨이퍼의 두께(및/또는 광학 특성)의 웨이퍼 균일성과 평균은, 관련된 공정 툴이 목표로 하는 명세에 따라 동작하는지 여부 또는 목표 재설정되어야 하는지, 조정되어야 하는지, 아니면 생산용으로 사용되어야 하는지 여부를 판정하는 데에 사용된다.
공정 제어 애플리케이션에서, 후자의 단일층/다층 막은 패턴화된 Si 상에서 성장, 증착, 연마 또는 에칭되고, 대응하는 공정 툴에 의한 생산 웨이퍼와 후속하는 두께 및/또는 광학 특성은 본 명세서에 개시된 주사 오차 보정 기술을 채택하는 간섭계 시스템에 의해 측정된다. 공정 제어를 위해 사용된 생산 측정은 작은 측정 위치를 차지하며, 측정 툴을 관련 샘플 영역에 정렬시키는 능력을 포함한다. 이 위치는 다층 막 스택(그 자체로 패턴화될 수 있음)으로 이루어질 수 있으며, 관련된 물리적 파라미터를 추출하기 위해 복잡한 수학적 모델을 필요로 할 수 있다. 공정 제어 측정은, 통합된 공정 흐름의 안정성을 판정하고, 통합 공정이 계속되어야 하는지, 목표 재설정되어야 하는지, 다른 설비를 사용하여야 하는지, 또는 전체적으로 차단시켜야 하는지 여부를 판정한다.
구체적으로, 예를 들어, 본 발명의 간섭계 시스템은 다음의 설비를 모니터링하는 데에 사용될 수 있다: 확산, 신속한 열적 어닐링, 화학 증착 툴(모두 낮은 압력과 높은 압력), 유전성 에칭, 화학적 기계적 연마, 플라즈마 증착, 플라즈마 에칭, 리소그래피 트랙, 및 리소그래피 노광 툴. 추가적으로, 본 발명의 간섭계 시스템은 트렌치, 절연, 트랜지스터 형성, 층간 절연 형성(예를 들어, 이중 다마신)과 같은 공정을 제어하는 데에 사용될 수 있다.
구리 상호연결 구조 및 화학적 기계적 연마
소위, "이중 다마신 구리"(dual damascene copper) 공정을 사용해서, 칩의 여러 컴포넌트들 사이를 전기적으로 상호연결시키는 것이 칩 제조업자들 사이에서 보편화되고 있다. 이것은 적절한 표면 토포그래피 시스템을 사용하여 효과적인 특징을 가질 수 있는 공정의 예이다. 이중 다마신 공정은, 6개의 부분: (1)층간 유전체(ILD) 증착으로서, 유전성 재료(폴리머, 유리 등)로 이루어진 층이 웨이퍼(복수 개의 개별 칩을 포함) 상에 증착되는 단계; (2)화학적 기계적 연마(CMP)로서, 유전성 층을 연마하여, 정확한 광 리소그래피에 적절한 평탄 표면을 생성하는 단계; (3)리소그래피 패터닝 및 반응성 이온 에칭의 조합 단계로서, 웨이퍼 표면에 평행하게 형성되는 좁은 트렌치와 트렌치의 바닥으로부터 하위의 전기 도전성을 갖는 층까지 형성되는 작은 비아를 포함하는 복합적인 네트워크가 형성되는 단계; (4)구리 트렌치와 비아의 증착을 형성하는 금속 증착 조합의 단계; (5)유전체가 구리 트렌치 및 비아의 위에 도포되는 유전체 증착 단계, 및 (6)최종의 CMP 단계로서, 과잉 구리를 제거하고, 유전체 재료로 둘러싸인 구리로 채워진 트렌치(비아를 포함할 수 있음)의 네트워크를 남기는 단계.
도 49a를 참조하면, 장치(500)는 기판(501) 상에 증착된 구리 형상부(502)의 위에 유전체(504)의 증착으로 형성된 막 구조의 예이다. 유전체(504)는 높이 편차를 나타내는 균일하지 않은 외부 표면(506)을 포함한다. 장치(500)로부터 취득한 간섭 신호는 표면(506), 구리 형상부(502)와 유전체(504) 사이의 계면(508), 기판(501)과 유전체(504) 사이의 계면(510)을 포함할 수 있다. 장치(500)는 간섭 패턴을 형성하는 복수 개의 다른 형상부를 포함할 수 있다.
도 49b를 참조하면, 장치(500')는 최종적인 CMP 단계 이후에 장치(500)의 상태를 나타낸다. 상부면(506)은 표면(506')으로 평탄화되었고, 계면(508)은 주위에 노출된 상태가 될 수 있다. 기판 표면의 계면(510)은 처리되지 않은 상태로 된다. 장치 성능과 균일성은 표면(504)의 평탄화를 모니터링하는 것에 크게 좌우된다. 연마 레이트(polishing rate), 즉 연마 이후의 남아 있는 구리(그리고 유전체) 두께는 구리와 주변 유전체 영역의 국부적인 상세한 배치(즉, 배향, 근접성 및 형상)뿐만 아니라, 연마 상태(패드 압력과 연마 슬러리 조성 등)에서의 복합적인 방식에 크게 좌우된다. 그래서, 표면(506)의 구리 요소(502) 위의 부분은 표면(506)의 다른 부분과 상이한 레이트로 에칭될 수 있다. 게다가, 일단 구리 요소(502)의 계면(508)이 노출되었으면, 유전체 및 구리 요소가 상이한 에칭 레이트를 나타낼 수 있다.
이러한 "위치 종속적 연마 레이트"(position dependent polishing rate)는 많은 측면 길이 스케일에서 가변의 표면 토폴리지를 형성하는 것으로 알려져 있다. 예를 들어, 웨이퍼의 에지에 근접해서 위치한 칩은 중심에 가까이 위치한 것보다 더 빨리 연마되며, 에지에 바람직하게 근접한 것보다 더 얇고 중심에서보다 더 두꺼운 구리 영역을 생성하는 것을 의미할 수 있다. 이것은 "웨이퍼 스케일"(wafer scale) 공정 비균일성, 즉 웨이퍼 직경에 필적하는 길이 스케일(length scale)에서 발생하는 예이다. 이것은 또한 높은 밀도의 구리 트렌치를 갖는 영역이 낮은 구리 라인 밀도를 갖는 부근의 영역보다 더 높은 레이트로 연마되는 것으로 알려져 있다. 이에 의해, 높은 구리 밀도 영역세서의 "CMP 유도 침식"(CMP induced erosion)과 같은 것으로 알려진 현상이 생기게 된다. 이것은 "칩 스케일"(chip scale) 공정 비균일성, 즉 단일의 칩의 선형 치수에 필적하는(그리고 때때로 훨씬 더 많이) 길이 스케일에서 발생하는 예이다. "디싱"(dishing)으로 알려진 다른 타입의 칩 스케일 비균일성은 단일의 구리로 채워진 트렌치 영역(주위의 유전성 재료보다 더 높은 레이트에서 연마되는 경향을 가짐) 내에서 생긴다. 폭이 수 미크론을 넘는 트렌치의 경우, 디싱은 칩 불량을 일으키는 과도한 전기적 저항을 보이게 되는 결과로서 심각한 것이 될 수 있다.
CMP 유도 웨이퍼와 칩 스케일 공정 비균일성은 예측하기가 어려우며, CMP 공정 시스템 내의 상태가 변화하면 시간의 경과에 따라 변화한다. 효과적으로 모니터링하고 허용가능한 한계 내에서의 임의의 비균일성이 남는 것을 보장할 목적에 맞는 공정 조건을 적절하게 조절하기 위해, 많은 개수와 넓은 범위의 위치에서 칩에 대해 엔지니어로 하여금 빈번한 비접촉 표면 토폴로지 측정을 행하도록 하는 것이 중요하다. 이것은, 본 발명의 간섭계 방법 및 시스템의 실시예를 사용하여 행할 수 있다.
일부 실시예에서, 하나 이상의 공간 특징, 예를 들어 표면(506)의 토포그래피 및/또는 유전체(504)의 두께는, CMP 이전 및/또는 그동안, 구조체로부터 낮은 간섭성 간섭 신호를 취득함으로써 모니터링된다. 이러한 공간 특성에 기초하여, 연마 조건은 바람직한 평면 표면(506')을 달성하도록 변경될 수 있다. 예를 들어, 패드 압력, 패드 압력 분배, 연마제 특징, 용제 조성 및 흐름, 및 다른 조건이 공간 특성에 기초해서 정해질 수 있다. 일부의 연마 기간 이후에, 공간 특성은 다시 판정될 수 있으며, 필요에 따라 연마 조건이 변경될 수 있다. 토포그래피 및/또는 두께는, 예를 들어 표면(504')이 달성되는 엔드 포인트(end-point)를 나타낸다. 따라서, 저간섭성 간섭 신호는 대상체의 상이한 영역을 연마함으로써 생기는 함몰을 피하기 위해 사용될 수 있다. 저간섭성 간섭 방법 및 시스템이 바람직한 이유는, 장치의 공간 특성, 예를 들어 (a)구리 요소(502) 위와 (b)기판 표면(510) 위의 유전체의 표면의 상대적인 높이, 인접한 구리 요소(502)에 복수의 계면이 존재하는 경우에도 판정이 가능하다는 점에 있다.
포토리소그래피
많은 마이크로전자 애플리케이션에서, 기판, 예를 들어 실리콘 웨이퍼의 위에 포토레지스트 층을 패턴화하기 위해 포토리소그래피가 사용된다. 도 50a와 도 50b를 참조하면, 대상(30)은, 기판, 예컨대 웨이퍼(32)와 그 위에 포토레지스트 층(34)을 포함한다. 대상(30)은 상이한 굴절률을 가진 재료들 사이에서 생성되는 복수의 계면을 포함한다. 예를 들어, 포토레지스트 층(34)의 외부 표면(39)이 주위의 대상(30), 예를 들어 액체, 공기, 다른 기체나 진공과 접촉하는 대상 주위의 계면(38)이 형성된다. 기판 층 계면(36)은 웨이퍼(32)의 표면(35)과 포토레지스트 층(34)의 바닥면(37) 사이에 형성된다. 웨이퍼의 표면(35)은 복수 개의 패턴화된 형상부(29)를 포함할 수 있다. 이들 형상부 중의 일부는 기판의 인접한 부분과 동일한 높이와 상이한 굴절률을 가질 수 있다. 다른 형상부는 기판의 인접한 부분에 대해 상방으로 또는 하방으로 확장될 수 있다. 이에 따라, 계면(36)은 포토레지스트의 외부 표면 하부의 복합적이고 가변하는 토포그래피를 나타낼 수 있다.
포토리소그래피 장치는, 패턴을 대상에 결상한다. 예를 들어, 패턴은 전자 회로(또는 회로의 네거티브)의 요소와 대응할 수 있다. 결상 후에, 포토레지스트 부분을 제거하고 제거한 포토레지스터 하부의 기판을 노출시킨다. 노출된 기판은 에칭을 행할 수 있으며, 증착 재료로 피복시키거나, 다른 방식으로 변경할 수 있다. 남은 포토레지스트는 이러한 변경으로부터 기판의 다른 부분을 보호한다.
제조 효율을 높이기 위해, 단일의 웨이퍼로부터 하나 이상의 장치를 준비할 수 있다. 이 장치는 동일할 수도 상이할 수도 있다. 각각의 장치는, 웨이퍼의 서브세트가 패턴으로 결상되어야 한다. 순차적인 결상는 몇 가지 이유에 의해 수행될 수 있다. 광학 수차(optcal aberration)는 웨이퍼의 더 넓은 영역에서 적절한 패턴 초점 품질을 달성하는 것을 방지한다. 광학 수차가 없는 경우에도, 웨이퍼와 포토레지스트의 공간 특성은 웨이퍼의 넓은 영역에서의 적절한 패턴 초점을 달성하는 것을 방지한다. 웨이퍼/레지스터와 초점 품질의 공간 특성 간의 관계에 대해서는 나중에 설명한다.
도 50a를 다시 참조하면, 대상체(30)에는 N개의 서브세트(40i)가 있는 것으로 도시되어 있는데, 이들 서브세트는 결상될 대상체의 전체 영역(41)보다는 작다. 각각의 서브세트(40i) 내에, 공간 특성 편차, 예를 들면 웨이퍼 또는 포토레지스트의 높이 및 경사 편차는 통상적으로 전체 영역(41)의 위에 있을 때보다 작다. 그럼에도, 여러 서브세트(40i)를 갖는 웨이퍼 또는 포토레지스트는 상이한 높이와 경사를 갖는다. 예를 들어, 층(34)은 두께 Δt1 및 Δt2를 가지며, 표면(39)의 높이와 경사를 변화시킨다. 따라서, 대상체의 각각의 서브세트는 포토리소그래피 결상 장치(photolithography imager)와 상이한 공간적 관계를 가질 것이다. 초점의 품질(quality)은 공간적 관계, 예를 들면 대상체와 포토리소그래피 결상 장치 사이의 거리와 관련된다. 대상체의 여러 서브세트에 대하여 초점을 적절하게 잡는 것은, 대상체와 결상 장치의 상대적인 재위치 설정을 필요로 할 것이다. 대상체의 높이와 경사 편차 때문에, 서브세트의 적절한 초점화는, 대상체 중에서 결상된 서브세트의 먼 쪽에 있는 부분, 예를 들어 대상측의 측부(43)에 대한 대상체의 위치 및 배향을 판정하는 것만으로는 달성할 수 없다.
적절한 초점화는 결상될(또는 다른 방식으로 처리될) 대상체의 서브세트 내의 대상체의 공간적 특성을 판정함으로써 달성될 수 있다. 서브세트의 위치를 판정했으면, 대상체(및/또는 포토리소그래피 결상 장치의 일부)를 위치 이동시키거나, 회전시키거나, 및/또는 기울어지게 해서, 기준에 대하여 서브세트의 일부, 예를 들면 포토리소그래피 결상 장치의 일부를 변경할 수 있다. 판정 및 이동(필요한 경우)은 결상할 각각의 서브세트에 대해 반복해서 행할 수 있다.
서브세트의 공간적 특성의 판정은 대상체의 얇은 층의 외측 표면의 하나 이상의 포인트의 위치 및/또는 높이의 판정을 포함하고, 하나 이상의 포인트는 결상할 대상체의 서브세트 내에 위치한다. 예를 들어, 서브세트(402)(도 50a)의 외측 표면(39)의 위치 및 배향은, 서브세트 내의 포인트(421-423)의 위치에 기초해서 판정될 수 있다. 결상할 서브세트의 공간적 특성의 판정에는, 광으로 서브세트를 조명하기 위해 간섭계를 사용하는 것과, 조명된 서브세트로부터 반사되는 광을 포함하는 간섭 신호를 검출하는 과정이 포함될 수 있다. 일부 실시예에서, 복수의 서브세트가 광에 의해 동시에 결상됨으로써, 복수의 간섭 신호를 얻을 수 있다. 각각의 간섭 신호는 서브세트의 하나 이상의 공간적 특성을 나타낸다. 따라서, 간섭 신호는 복수의 서브세트에 대하여 대상체의 형상(topography)을 나타내는 이미지를 제공하는 데에 사용될 수 있다. 서브세트의 포토리소그래피 과정 중에, 웨이퍼는 복수의 간섭 신호로부터 판정되는 각 서브세트의 형상에 기초해서 위치된다. 따라서, 각각의 서브세트는 포토리소그래피 장치에 대하여 최적의 초점을 위한 위치가 설정될 수 있다.
결상할 대상체의 각각의 서브세트로부터 간섭 신호를 검출하는 것은, 검출된 길이의 적어도 간섭성 길이만큼 큰 OPD 범위에서 서브세트로부터 반사된 광과 기준 광을 검출하는 과정을 포함할 수 있다. 예를 들어, 적어도 간섭성 길이에 대하여 광이 검출될 수 있다. 일부 실시예에서, 간섭계는, 조명된 서브세트로부터 반사된 광이 외부 계면[예를 들어, 외측 표면(39)]이나 내부 계면[예를 들어, 계면(36)]으로부터 반사된 광에 의해 지배를 받는다. 일부 실시예에서, 대상체의 공간적 특성은 간섭 신호의 일부에 의해서만 기초해서 판정된다. 예를 들어, 간섭 신호가 둘 이상의 중첩하는 간섭 패턴을 포함한다면, 대상체의 공간적 특성은 대상체의 단일의 계면으로부터의 기여에 의해 지배를 받는 간섭 패턴 중의 하나의 일부에 기초해서 판정될 수 있다.
솔더 범프 공정
도 51a 및 도 51b를 참조하면, 솔더 범프 공정 동안 구조체(1050)가 만들어진다. 구조체(1050)는 기판(1051), 솔더에 의해 습식되지 않는 영역(1002), 및 솔더에 의해 습식가능한 영역(1003)을 포함한다. 영역(1002)은 외부 표면(1007)을 포함한다. 영역(1003)은 외부 표면(1009)을 포함한다. 따라서, 계면(1005)은 영역(1002)과 기판(1051) 사이에 형성된다.
공정 중에, 솔더(1004)는 습식가능한 영역(1003)에 접하도록 위치된다. 솔더를 플로우(flowing) 처리하면, 솔더는 습식가능한 영역(1003)과 밀접하게 접하도록 형성된다. 인접하는 습식되지 않는 영역(1002)은 플로우 처리된 솔더가 구조체에 대하여 침범하지 않도록 하는 댐(dam)과 같은 역할을 한다. 상대적으로 높이를 갖는 표면(1007, 1009)을 포함하는 구조체의 공간적 특성과 표면(1002)에 대한 솔더(1004)의 크기는 알고 있는 것이 바람직하다. 본 명세서에서 언급한 것에 의해 판정될 수 있는 바와 같이, 구조체(1050)는 간섭 패턴이 될 수 있는 복수의 계면을 포함한다. 계면 패턴들 사이의 중첩 부분은 주지의(known) 간섭계 기술을 사용해서 공간적 특성의 정확한 확정을 방해한다. 본 발명의 시스템 및 방법을 적용하면, 공간적 특성을 판정하는 것이 가능하다.
구조체(1050)로부터 판정된 공간적 특성은, 층(1002, 1003)에 대한 증착 시간 및 영역(1003)에 사용되는 솔더(1004)의 양과 같은 제조 조건을 변경하는 데에 사용될 수 있다. 이에 추가로, 솔더를 플로우하기 위해 사용되는 가열 조건도, 공간적 특성에 기초해서 변경함으로써, 적절한 플로우를 달성하고 솔더의 침범을 방지할 수 있다.
평판 디스플레이
본 발명의 간섭계 시스템 및 방법은 액정 디스플레이(LCD)와 같은 평판 디스플레이의 제조에 사용될 수 있다.
일반적으로, 다양한 여러 종류의 LCD가, LCD 텔레비전, 데스크탑 컴퓨터 모니터, 노트북 컴퓨터, 셀폰, 자동차 GPS 네비게이션 시스템, 자동차 및 항공기 엔터테인먼트 시스템과 같은 많은 여러 가지 애플리케이션에 사용되고 있다. LCD의 구체적인 구성은 다양하지만, 많은 종류의 LCD는 유사한 패널 구조를 사용한다. 도 52a를 참조하면, 예를 들어, 일부 실시예에서, LCD 패널(450)은 에지 밀봉제(edge seal: 454)로 연결된 2개의 유리판(452, 453)을 포함하는 여러 개의 층으로 이루어져 있다. 유리판(452, 453)은 갭(464)에 의해 분리되어 있으며, 갭은 액정 물질로 채워져 있다. 유리판(453, 452)의 외측 표면에 편광판(polarizer: 456, 474)을 도포한다. LCD로 통합하면, 편광판 중의 하나를 동작시켜서 디스플레이의 광원으로부터의 광(예를 들어, 백라이트; 도시하고 있지 않음)을 편광시키고, 다른 편광판은 분광기(analyzer)로서 작용하여, 편광판의 투과 축에 평행하게 편광된 광의 성분만을 투과시킨다.
어레이 형태로 배치된 컬러 필터(476)가 유리판(453) 상에 형성되고, 패턴화된 전극층(458)이 컬러 필터(476) 상에 형성되고, 전극층은 투명 도체, 일반적으로는 인듐 주석 산화물(ITO)로 이루어진다. 하드 코팅층이라고도 불리는 패시베이션 층(passivation layer)(460)은, 일반적으로 SiOx로 이루어지며, 전극층(458) 위에 피복되어 표면을 전기적으로 절연시킨다. 패시베이션 층(460) 위에 배향막(alignment layer: 462)(예를 들어, 폴리이미드 층)을 형성해서, 갭(464) 내의 액정 물질을 배향시킨다.
패널(450)은 유리판(452) 상에 형성된 제2 전극층(472)을 포함한다. 전극층(472) 상에 다른 하드 코팅층(470)이 형성되고, 하드 코팅층(470) 상에 다른 배향막(468)이 형성된다. 능동형 매트릭스 LCD(AM LCD)에서, 전극층 중의 하나는 일반적으로 어레이 형태로 배치된 박막 트랜지스터(TFT)(예를 들어, 각각의 서브픽셀에 대해 하나 이상) 또는 다른 집적회로 구조를 포함한다.
액정 물질은 복굴절 재료이며, LCD 패널을 통해 전파하는 광의 편광 방향을 변경한다. 액정 물질은 유전 이방성(dielectric anisotropy)을 가지기 때문에, 갭(464)에 인가된 전기장에 민감하게 된다. 따라서, 액정 분자는 전기장이 인가될 때에 배향을 변경함으로써, 패널의 광학적 특성을 변경시킨다. 액정 물질의 유전 이방성과 복굴절을 이용하면, 패널에 의해 투과되는 광량을 제어할 수 있다.
셀 갭(cell gap) Δg, 즉 액정 물질의 두께는 2개의 유리판(452, 453)을 일정한 거리만큼 이격시키는 스페이서(466)에 의해 정해진다. 일반적으로, 스페이서는 천공된 원통형 또는 구형의 입자 형태를 가질 수 있으며, 그 직경은 패턴화 기술(예를 들어, 종래의 포토리소그래피 기술)을 사용해서 기판상에 형성될 수 있거나 원하는 셀 갭과 동일한 직경을 가질 수 있다. 셀 갭은 패널을 통과하는 광의 광 지연(optical retardation)량과 액정 물질의 분자 정렬의 점탄성 응답에 영향을 미치기 때문에, LCD 패널의 제조를 정확하게 제어하기 위한 중요한 파라미터가 된다.
일반적으로, LCD 패널 제조 공정에는, 다양한 층을 형성하는 복수의 공정 단계를 포함한다. 예를 들어, 도 52b를 참조하면, 공정(499)은, 각각의 유리판 상에 다양한 층을 병렬로 형성하는 단계와, 셀을 형성하기 위해 판을 접착하는 단계를 포함한다. 도시한 바와 같이, 처음에, 제1 유리판 상에 TFT 전극을 형성한다(단계 499A1). TFT 전극 위에 패시베이션 층을 형성하고(단계 499A2), 패시베이션 층 위에 배향막을 형성한다(499A3). 다음으로, 배향막 상에 스페이서를 증착한다(단계 499A4). 제2 유리판의 공정에는 일반적으로 컬러 필터를 형성하는 단계(단계 499B1)와, 컬러 필터 위에 패시베이션 층을 형성하는 단계(단계 499C1)를 포함한다. 그 다음으로, 패시베이션 층 상에 전극(예를 들어, 공통 전극)을 형성하고(단계 499B3), 전극 상에 배향막을 형성한다(단계 499B4).
제1 및 제2 유리판을 서로 접착해서 셀을 형성하고(단계 499C1), 셀을 액정 물질로 채운 다음 밀봉한다(단계 499C2). 밀봉 후에, 유리판의 각각의 외측 표면에 편광판을 도포해서(단계 499C3), LCD 패널을 완성한다. 플로차트에 나타낸 단계들의 조합과 순서는 예시에 불과하며, 일반적으로 다른 조합이나 상대적인 순서화가 가능하다.
또한, 도 52b의 플로차트에 나타낸 각각의 단계는 복수의 공정 단계를 포함할 수 있다. 예를 들어, 제1 유리판 상에 TFT 전극(일반적으로, "픽셀 전극"이라고 함)을 형성하는 과정에는 많은 여러 공정 단계가 포함될 수 있다. 마찬가지로, 제2 유리판 상에 컬러 필터를 형성하는 과정에는 많은 공정 단계가 포함될 수 있다. 통상적으로, 픽셀 전극을 형성하는 과정에는, 예를 들어 TFT, ITO 전극, 및 TFT에 대한 다양한 버스 라인을 형성하기 위한 복수의 공정 단계가 포함될 수 있다. 사실상, TFT 전극층을 형성하는 공정은, 실질적으로 대규모 집적회로를 형성하는 공정이며, 종래의 집적회로 제조에 사용된 것과 같은 많은 증착 및 포토리소그래픽 패터닝 공정 단계를 포함한다. 예를 들어, TFT 전극층의 많은 부분을, 재료층(예를 들어, 반도체, 도체, 또는 유전체)을 증착하고, 재료층 위에 포토레지스트 층을 형성하며, 포토레지스트를 패턴화 방사선에 노출시킴으로써 구성될 수 있다. 포토레지스트 층을 성장시키면, 패턴화된 포토레지스트 층이 된다. 다음으로, 패턴화된 포토레지스트 층의 아래에 위치하는 재료층의 일부를 에칭 공정으로 제거하고, 포토레지스트의 패턴을 재료층에 전사한다. 마지막으로, 남은 포토레지스트를 기판으로부터 벗겨내고, 패턴화된 재료층을 남긴다. 이들 공정 단계는 TFT 전극층의 여러 컴포넌트를 배치하기 위해 여러 번 반복할 수 있으며, 유사한 증착 및 패터닝 단계를 사용해서 컬러 필터를 형성할 수 있다.
일반적으로, 본 발명의 간섭계 기술은 LCD 패널을 생성하기 위한 여러 단계에서 LCD 패널의 생산을 모니터링하기 위해 사용될 수 있다. 예를 들어, 간섭계 기술은 LCD 패널을 생산하는 동안 사용된 포토레지스트 층의 균일성 및/또는 두께를 모니터링하는 데에 사용될 수 있다. 앞서 설명한 바와 같이, 포토레지스트 층은 TFT 컴포넌트과 컬러 필터의 리소그래피 패턴화에 사용된다. 소정의 공정 단계의 경우, 포토레지스트에 패턴화 방사선을 노광시키기 전에 저간섭성 간섭계 시스템을 사용해서 포토레지스트 층을 연구할 수 있다. 저간섭성 간섭계 시스템은 유리판의 하나 이상의 위치에서 포토레지스트 층의 두께 프로파일을 측정할 수 있다. 이와 달리 또는 이에 추가로, 본 기술은 포토레지스트 층의 표면 프로파일을 판정하는 데에 사용될 수 있다. 어느 경우에서나, 측정된 포토레지스트 층의 특징은 구체적인 허용 오차 범위(tolerance window)에 속하게 되며, 포토레지스트 층은 바람직한 패턴화 방사선에 노출될 수 있다. 포토레지스트 층이 구체적인 허용 오차 범위 내에 포함되지 않는다면, 유리판으로부터 벗겨내서 새로운 포토레지스트 층을 증착한다. 예를 들어, 패턴화 형상의 임계 치수(예를 들어, 라인 폭)가 연구될 수 있다. 이와 달리 또는 이에 추가로, 간섭계 기술은 패턴화된 레지스트의 형상부와 포토레지스트 층 아래의 형상부 사이의 중첩 오차(overlay error)를 판정하는 데에 사용될 수 있다. 다시, 측정된 임계 치수 및/또는 중첩 오차가 공정 범위를 벗어나면, 패턴화된 포토레지스트를 기판으로부터 벗겨낼 수 있으며, 새로운 패턴화 포토레지스트 층을 형성한다.
소정의 실시예에서, 간섭계 기술은 하프톤(half-tone) 포토리소그래프와 관련해서 사용될 수 있다. 하프톤 리소그래피는, 패턴화 레지스트 층의 형상부에서의 특정의 두께 편차가 요구되는 경우에 사용된다. 본 발명의 저간섭성 간섭계 기술은 하프톤 영역에서 포토레지스트 패턴의 두께 프로파일을 모니터링하는 데에 사용될 수 있다. 또한, 본 기술은 이들 형상부의 중첩 및 임계 치수를 정하는 데에도 사용될 수 있다.
일부 실시예에서, 간섭계 기술은 생산 공정의 여러 단계서 유리판에 관한 여러 단계에서 오염 물질(예를 들어, 외부 입자)을 검출하는 데에 사용될 수 있다. 이러한 오염 물질은 디스플레이 패널에 가시적인 결함[예를 들어, 무라(mura) 결함]을 생기게 해서, 결국에는 제조 수율에 영향을 미칠 수 있다. 종종, 이러한 결함은 패널을 조립한 후에 수행되는 시각적인 검사에 의해서만 검출될 수 있다. 본 발명의 간섭계 기술은 생산 공정 중의 여러 포인트에서 유리판의 자동화 검사를 수행하는 데에 사용될 수 있다. 입자를 검출했으면, 유리판의 오염된 표면을 세정한 후에 다음 단계로 진행한다. 따라서, 본 기술을 사용하면, 패널에 무라 결함이 생기는 것을 감소시킬 수 있으며, 패널의 품질을 향상시키고, 제조 비용을 절감할 수 있다.
다른 요인들 중에서, 전기 광학적 특성(예를 들어, 콘트라스트 비율 및 밝기)는 셀 갭 Δg에 의존한다. 제조 과정 중의 셀 갭의 제어는 균일한 품질의 디스플레이를 얻기 위해 중요한 것일 수 있다. 소정의 실시예에서, 본 발명의 간섭계 기술은 셀 갭이 바람직한 균일성을 갖도록 하는 데에 사용될 수 있다. 예를 들어, 본 기술은 유리판 상의 스페이서의 높이 및/또는 위치를 모니터링하는 데에 사용될 수 있다. 스페이서의 높이를 모니터링하고 제어함으로써, 디스플레이에서의 셀 갭의 편차를 감소시킬 수 있다.
일부의 경우에, 실제의 셀 갭은 스페이서의 치수와 다를 수 있는데, 조립하는 동안, 액정 물질을 도입하기 위해 압력 또는 진공이 가해질 수 있기 때문이다. 에지 밀봉제를 경화하고, 치수를 변경할 수 있으며, 액정 물질을 추가해서 유리판 사이의 모세관 힘을 생성할 수 있다. 액정 물질을 추가하기 전과 추가한 후에, 유리판 상의 노출된 층의 표 면은 광을 반사함으로써, 셀 갭 Δg을 나타내는 간섭 패턴을 만든다. 간섭 신호의 저간섭성 특성은 그 자체로 또는 본 발명의 간섭 신호 처리 기술과 조합하여, 셀의 다른 층에 의해 형성된 계면이 존재하는 경우에도, 제조 과정 중에 셀 갭 Δg을 포함하는 셀의 특성을 모니터링하는 데에 사용될 수 있다.
방법의 예에는 액정 물질을 추가하기 전에 셀 갭 Δg을 나타내는 간섭 패턴을 포함하는 저간섭성 간섭 신호를 취득하는 과정이 포함될 수 있다. 셀 갭(또는 셀의 다른 공간적 특성)은, 간섭 패턴으로부터 판정될 수 있으며, 구체적인 값과 비교될 수 있다. 구체적인 값과 판정된 셀 갭 사이의 차이가 허용 범위를 초과하는 경우에, 셀 갭 Δg을 변경하기 위해 제조 조건, 예를 들어 유리판에 가해지는 압력이나 진공을 변화시킬 수 있다. 이러한 공정은, 원하는 셀 갭을 얻을 때까지 반복해서 행할 수 있다. 액정 물질을 셀에 도입한다. 추가할 액정 물질의 양은 셀의 측정된 공간적 특성으로부터 정해질 수 있다. 이에 의하면, 넘침이나 부족함을 피할 수 있다. 충전(filling) 공정은 유리판 상의 노출된 층의 표면으로부터 간섭 신호를 관측함으로써 모니터링이 가능하다. 셀을 채웠으면, 셀 갭 Δg(또는 다른 공간적 특성)을 모니터링하기 위한 추가의 저간섭성 간섭 패턴을 얻을 수 있다. 제조 조건을 변경해서, 셀 갭을 유지하거나 허용 범위 내에 포함되도록 할 수 있다.
소정의 LCD에서, 배향막은 원하는 배향 특징을 액정 물질에 제공하는 돌출 구조를 포함한다. 예를 들어, 일부 LCD는 돌출 구조가 상이한 배향 영역을 제공하는 디스플레이의 각각의 픽셀에 대한 하나 이상의 배향 영역을 갖는다. 저간섭성 간섭은, LCD 패널의 하부 특성부에 대하여 형상, 라인 폭, 높이 및/또는 중첩 오차와 같은, 돌출부의 다양한 특성을 측정하는 데에 사용될 수 있다. 돌출부가 만족스럽지 못하다면, 필요에 따라, 수선이나 제거해서 재설치할 수 있다.
일반적으로, 저간섭성 간섭계 시스템은 필요에 따라 LCD 패널 생산의 다양한 단계를 모니터링하도록 설정될 수 있다. 일부 실시예에서, 간섭계 시스템을 포함하는 검사 스테이션(inspection station)은 제조 라인 자체에 설치될 수 있다. 예를 들어, 모니터링 스테이션은, 포토리소그래피 단계가 수행되는 세정 제조 환경에 설치될 수 있다. 유리판을 검사 스테이션과 주고 받는 것은 모두 자동으로 행해질 수 있으며, 로보트에 의해 행해질 수 있다. 이와 달리 또는 이에 추가로, 검사 스테이션은 제조 라인으로부터 제거해서 설정될 수도 있다. 예를 들어, 디스플레이의 샘플링만을 검사하는 경우에, 샘플을 제조 라인으로부터 검색해서 오프라인으로 검사할 수 있다.
도 52c를 참조하면, 검사 스테이션(4000)은 간섭 센서(4010)(예를 들어, 앞서 언급한 것과 같은 간섭 현미경)가 설치되는 갠트리(gantry: 4020)를 포함하는 테이블(4030)을 포함한다. 테이블(4030)(진동 격리 베어링을 포함할 수 있음)은 LCD 패널(4001)(또는 유리판)을 지지하며, 센서(4010)에 대해 패널(4010)을 위치 설정한다. 센서(4010)는 레일(rail)을 통해 갠트리(4020)에 장착되는데, 레일에 의해 센서는 화살표(4012) 방향으로 앞뒤로 이동될 수 있다. 갠트리(4020)는 레일 상의 테이블(4030) 상에 장착되며, 화살표(4014) 방향으로 앞뒤로 이동이 가능하다. 이에 의해, 검사 스테이션(4000)은 디스플레이 패널(4001) 상의 임의의 위치를 검사하도록 센서(4010)를 이동시킬 수 있다.
스테이션(4000)은 제어용 전자장치(4050)를 포함할 수 있으며, 이 제어용 전자장치는 센서(4010)를 위한 위치설정 시스템을 제어하고, 패널(4001)에 관한 정보를 포함하는 신호를 센서(4010)로부터 취득한다. 이에 의하면, 제어용 전자장치(4050)는 취득한 데이터로 센서의 위치설정을 조정할 수 있다.
레이저 스크라이빙 커팅
상이하지만 동시에 제조되는 구조체, 예를 들어 마이크로전자 구조체를 분리시키기 위해 레이저를 사용해서 대상체를 스크라이브(scribe)할 수 있다. 분리의 품질은 스크라이빙 조건, 예를 들어 레이저 초점 사이즈, 레이저 파워, 대상체의 변형 비율, 및 스크라이브 깊이에 관련된다. 구조체의 특성부의 밀도는 클 수 있기 때문에, 스크라이브 라인은 구조체의 인접한 박막 또는 층이 될 수 있다. 박막이나 층과 연관된 계면은 간섭계가 스크라이브 깊이를 판정하기 위해 사용될 때에 나타나는 간섭 패턴을 생성할 수 있다. 본 발명의 방법 및 시스템은 이러한 인접한 막이나 층이 존재하는 경우에도, 스크라이브 깊이를 판정하기 위해 사용될 수 있다.
본 방법은 하나 이상의 전자 구조체를 스크라이브하고, 이 스크라이브 라인을 따라 구조체를 분리시키는 과정을 포함할 수 있다. 분리를 행하기 전 및/또는 분리를 행한 후에, 저간섭성 간섭 신호를 사용해서 스크라이브 깊이를 판정할 수 있다. 다른 스크라이빙 조건, 예를 들어 레이저 스폿 사이즈, 레이저 파워, 변형 비율 등이 알려져 있다. 스크라이브 깊이는 간섭 신호로부터 판정될 수 있다. 스크라이브 깊이를 포함하는 스크라이빙 조건의 함수로서의 분리의 품질은 분리된 구조를 평가함으로써 판정될 수 있다. 이러한 판정에 기초해서, 원하는 분리 품질을 얻기 위해 필요한 스크라이빙 조건을 판정할 수 있다. 제조 과정 중에, 저간섭성 간섭 신호는 공정을 모니터링하기 위해 스크라이빙된 영역으로부터 취득될 수 있다. 스크라이빙 조건은 허용 범위 내에서 스크라이브 특성을 유지하기 위해 변경이 가능하다.
본 발명의 다양한 실시예를 설명하였으며, 다른 실시예는 청구범위에 있다.

Claims (62)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 주사 오차를 보정하는 방법으로서,
    검사 대상체(test object)에 대하여, 노이즈 때문에 모두가 서로 균일한 간격으로 이격되어 있지는 않은 일련의 광로차(OPD: optial path difference) 값에 대응하는 하나 이상의 간섭 신호(interferometry signal)를 제공하는 단계;
    상기 일련의 OPD 값의 균일하지 않은 간격에 관한 정보를 제공하는 단계;
    상기 간섭 신호 각각을, 상기 균일하지 않은 간격을 가진 OPD 값에서 샘플링되고 상이한 주파수에 각각 대응하는 복수의 기저 함수(basis functions)로부터의 기여(contribution)로 분해(decompose)하는 단계; 및
    검사 대상체에 관한 정보를 판정하기 위해 상기 간섭 신호 각각에 대한 상기 복수의 기저 함수 각각으로부터의 기여에 관한 정보를 이용하는 단계
    를 포함하는 것을 특징으로 하는, 주사 오차를 보정하는 방법.
  36. 제35항에 있어서,
    상기 간섭 신호 각각을 상기 기저 함수 각각으로부터의 기여로 분해하는 단계는, 각각의 간섭 신호에 대한 각각의 기저 함수의 진폭 및 위상에 관한 정보를 포함하는, 주사 오차를 보정하는 방법.
  37. 제35항에 있어서,
    상기 기저 함수 각각은 상기 균일하지 않은 간격을 가진 OPD 값에서 샘플링된 정현파 기저 함수인, 주사 오차를 보정하는 방법.
  38. 제35항에 있어서,
    상기 분해는 선형 분해(linear decomposition)인, 주사 오차를 보정하는 방법.
  39. 제35항에 있어서,
    상기 하나 이상의 간섭 신호는 상기 검사 대상체의 여러 위치에 대응하는 복수의 간섭 신호를 포함하는, 주사 오차를 보정하는 방법.
  40. 제35항에 있어서,
    상기 하나 이상의 간섭 신호는 상기 검사 대상체를 조명하여 상기 간섭 신호를 생성하기 위해 사용되는 대물렌즈에 대한 동공면(pupil plane)의 여러 위치에 대응하는 복수의 간섭 신호를 포함하는, 주사 오차를 보정하는 방법.
  41. 제40항에 있어서,
    상기 간섭 신호 각각은 동일한 복수의 기저 함수로부터의 기여로 분해되는, 주사 오차를 보정하는 방법.
  42. 제35항에 있어서,
    상기 간섭 신호 각각은 상기 검사 대상체로부터 방출되는 검사 광(test light)이 상이한 OPD 값의 각각에 대해 검출기 상에서의 기준 광(reference light)과 조합되는 경우에 측정한 간섭 세기 값에 대응하며, 상기 검사 광과 상기 기준 광은 공통의 광원으로부터 유도되고, 상기 OPD는 상기 공통의 광원으로부터 상기 검출기까지의 상기 검사 광과 상기 기준 광 사이의 광로 길이차인, 주사 오차를 보정하는 방법.
  43. 제35항에 있어서,
    상기 복수의 기저 함수는 비직교(non-orthogonal) 기저 함수를 포함하는, 주사 오차를 보정하는 방법.
  44. 제43항에 있어서,
    상기 복수의 기저 함수는 선형 의존성을 갖는 기저 함수인, 주사 오차를 보정하는 방법.
  45. 제35항에 있어서,
    상기 간섭 신호를 분해하는 단계는, 각각의 열이 기저 함수에 대응하는 행렬(matrix)을 형성하고, 상기 행렬을 반전시키며, 반전된 행렬을 각각의 간섭 신호에 적용하는 단계를 포함하는, 주사 오차를 보정하는 방법.
  46. 제45항에 있어서,
    각각의 상기 기저 함수의 요소의 개수는 기저 함수의 개수를 초과하는, 주사 오차를 보정하는 방법.
  47. 제35항에 있어서,
    상기 간섭 신호 각각은, 상기 검사 대상체로부터 방출되는 검사 광이 상이한 OPD 값의 각각에 대해 검출기 상에서의 기준 광과 조합되는 경우에 측정한 간섭 세기 값에 대응하며, 상기 검사 광과 상기 기준 광은 공통의 광원으로부터 유도되고, 각각의 기저 함수는 오차 없는 간섭 신호에 대응하는 공칭값으로부터, 측정된 간섭 세기 값의 편차를 고려하는, 주사 오차를 보정하는 방법.
  48. 제47항에 있어서,
    상기 편차는 광원의 세기 레벨의 편차에 기인하는 것인, 주사 오차를 보정하는 방법.
  49. 제47항에 있어서,
    상기 편차는 상기 검출기의 유한 프레임 통합 시간(finite frame integration times)에 기인하는 것인, 주사 오차를 보정하는 방법.
  50. 제35항에 있어서,
    상기 일련의 OPD 값의 균일하지 않은 간격에 관한 정보를 제공하는 단계는 상기 OPD의 변화를 나타내는 하나 이상의 모니터 간섭 신호를 생성하는 단계를 포함하며, 상기 모니터 간섭 신호는 상기 일련의 OPD 값에 대응하는 상기 간섭 신호가 취득되는 동안 생성되는, 주사 오차를 보정하는 방법.
  51. 제50항에 있어서,
    상기 일련의 OPD 값의 균일하지 않은 간격에 관한 정보는 복수의 모니터 간섭 신호의 생성을 포함하는, 주사 오차를 보정하는 방법.
  52. 제51항에 있어서,
    상기 모니터 간섭 신호는 상기 일련의 OPD 값에 대응하는 간섭 신호를 생성하기 위해 사용되는 동일한 간섭계 광학 기기를 이용하여 생성되는 것인, 주사 오차를 보정하는 방법.
  53. 제35항에 있어서,
    상기 정보를 이용하는 단계는, 상기 간섭 신호 각각에 대한 상기 복수의 기저 함수의 각각으로부터의 기여에 관한 정보에 기초해서 보정된 간섭 신호를 구성하는 단계와, 보정된 간섭 신호에 기초해서 상기 검사 대상체에 관한 정보를 판정하는 단계를 포함하는, 주사 오차를 보정하는 방법.
  54. 제35항에 있어서,
    상기 일련의 OPD 값의 균일하지 않은 간격에 관한 정보는, 가속도계(accelerometer), 터치 프로브(touch probe), 용량성 게이지(capacitive gauge), 공기 게이지(air gauge), 및 광학 인코더를 포함하는 그룹에서 선택되는 센서(sensor)를 이용하여 생성되는 것인, 주사 오차를 보정하는 방법.
  55. 디스플레이 패널을 제조하기 위한 방법에 있어서,
    상기 디스플레이 패널의 컴포넌트를 제공하는 단계;
    청구항 35의 방법을 이용하여, 검사 대상체에 대응하는 상기 컴포넌트에 관한 정보를 판정하는 단계; 및
    상기 컴포넌트를 이용하여 상기 디스플레이 패널을 형성하는 단계
    를 포함하는 것을 특징으로 하는 디스플레이 패널의 제조 방법.
  56. 제55항에 있어서,
    상기 컴포넌트는 갭(gap)으로 분리된 한 쌍의 기판을 포함하며, 상기 정보에는 상기 갭에 관한 정보가 포함되고, 상기 디스플레이 패널을 형성하는 단계는 상기 정보에 기초해서 상기 갭을 조정하는 단계를 포함하는, 디스플레이 패널의 제조 방법.
  57. 제55항에 있어서,
    상기 컴포넌트는 기판과 상기 기판상의 레지스트 층을 포함하며, 상기 정보는 상기 레지스트 층의 두께에 관한 정보를 포함하는, 디스플레이 패널의 제조 방법.
  58. 제55항에 있어서,
    상기 컴포넌트는 스페이서(spacer)를 갖는 기판을 포함하며, 상기 정보는 상기 스페이서에 관한 정보를 포함하는, 디스플레이 패널의 제조 방법.
  59. 제58항에 있어서,
    상기 디스플레이 패널을 형성하는 단계는 상기 정보에 기초해서 상기 스페이서를 변경하는 단계를 포함하는, 디스플레이 패널의 제조 방법.
  60. 주사 오차 보정을 이용하여 대상체에 관한 정보를 판정하기 위한 장치로서, 상기 장치는,
    간섭계 광학 기기, 주사 스테이지, 및 검출기 시스템을 구비하는 간섭계 시스템(interferometry system); 및
    상기 검출기 시스템에 연결된 전자 프로세서(electronic processor)
    를 포함하고,
    상기 간섭계 광학 기기(interferometer optics)는 검사 대상체(test object)로부터의 검사 광을 기준 대상체로부터의 기준 광과 조합하여 검출기 상에 간섭 패턴(interference pattern)을 형성하며, 상기 검사 광과 상기 기준 광은 공통의 광원으로부터 유도되고,
    상기 주사 스테이지(scanning stage)는 상기 공통의 광원으로부터 상기 검출기까지 상기 검사 광과 상기 기준 광 사이의 광로차(OPD: optical path difference)를 주사하도록 구성되며,
    상기 검출기 시스템은 일련의 OPD 값 각각에 대한 간섭 패턴을 기록함으로써 하나 이상의 간섭 신호를 제공하기 위한 검출기를 구비하고,
    상기 전자 프로세서는 상기 하나 이상의 간섭 신호에 기초하여 상기 검사 대상체에 관한 정보를 판정하도록 구성되며,
    상기 일련의 OPD 값은 노이즈 때문에 모두가 서로 균일한 간격으로 이격되어 있지는 않으며, 상기 전자 프로세서는 상기 간섭 신호 각각을, 상기 균일하지 않은 간격을 가진 OPD 값에서 샘플링되고 상이한 주파수에 각각 대응하는 복수의 기저 함수로부터의 기여로 분해함으로써 상기 검사 대상체에 관한 정보를 판정하도록 구성된 것을 특징으로 하는, 주사 오차 보정을 이용하여 대상체에 관한 정보를 판정하기 위한 장치.
  61. 삭제
  62. 삭제
KR1020107015982A 2008-11-26 2009-11-23 저간섭성 주사 간섭계에서의 주사 오차 보정 KR101191842B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11815108P 2008-11-26 2008-11-26
US61/118,151 2008-11-26
US12/509,098 US8004688B2 (en) 2008-11-26 2009-07-24 Scan error correction in low coherence scanning interferometry
US12/509,083 2009-07-24
US12/509,098 2009-07-24
US12/509,083 US8120781B2 (en) 2008-11-26 2009-07-24 Interferometric systems and methods featuring spectral analysis of unevenly sampled data
PCT/US2009/065529 WO2010062853A2 (en) 2008-11-26 2009-11-23 Scan error correction in low coherence scanning interferometry

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127007041A Division KR101530898B1 (ko) 2008-11-26 2009-11-23 저간섭성 주사 간섭계에서의 주사 오차 보정

Publications (2)

Publication Number Publication Date
KR20100094584A KR20100094584A (ko) 2010-08-26
KR101191842B1 true KR101191842B1 (ko) 2012-10-18

Family

ID=42195958

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107015982A KR101191842B1 (ko) 2008-11-26 2009-11-23 저간섭성 주사 간섭계에서의 주사 오차 보정
KR1020127007041A KR101530898B1 (ko) 2008-11-26 2009-11-23 저간섭성 주사 간섭계에서의 주사 오차 보정

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020127007041A KR101530898B1 (ko) 2008-11-26 2009-11-23 저간섭성 주사 간섭계에서의 주사 오차 보정

Country Status (7)

Country Link
US (5) US8120781B2 (ko)
EP (1) EP2238430B9 (ko)
JP (1) JP5536667B2 (ko)
KR (2) KR101191842B1 (ko)
CN (1) CN102057269B (ko)
TW (1) TWI425184B (ko)
WO (2) WO2010062853A2 (ko)

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006033229B4 (de) * 2006-07-18 2013-05-08 Ezono Ag Ultraschallsonde und Verfahren zur optischen Detektion von Ultraschallwellen
US10426348B2 (en) 2008-03-05 2019-10-01 Purdue Research Foundation Using differential time-frequency tissue-response spectroscopy to evaluate living body response to a drug
US7839496B2 (en) * 2008-04-24 2010-11-23 Araca Incorporated Confocal microscopy pad sample holder and method of hand using the same
US8120781B2 (en) * 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
DE102008060621B3 (de) * 2008-12-05 2010-08-12 Carl Zeiss Ag Optische Anordnung zum berührungslosen Messen oder Prüfen einer Körperoberfläche
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
JP5426901B2 (ja) * 2009-02-26 2014-02-26 株式会社日立製作所 Duv−uv帯域の分光光学系およびそれを用いた分光測定装置
US7869027B2 (en) * 2009-04-20 2011-01-11 Araca, Inc. Confocal microscopy pad sample holder that measures displacement and method of using the same
CN114563030A (zh) * 2009-05-27 2022-05-31 希里克萨有限公司 光学感测的方法及装置
FI20095619A0 (fi) * 2009-06-04 2009-06-04 Gasera Ltd Järjestelmä ja menetelmä suhteellisen liikkeen mittaamiseksi
US9025156B2 (en) * 2009-12-14 2015-05-05 Konica Minolta Holdings, Inc. Interferometer and fourier spectrometer using same
US8508746B2 (en) * 2010-03-30 2013-08-13 Duke University Interferometric systems having reflective chambers and related methods
WO2011135698A1 (ja) * 2010-04-28 2011-11-03 キヤノン株式会社 変形計測方法
US8705041B2 (en) * 2010-05-27 2014-04-22 Promet International, Inc. Coaxial interferometer and inspection probe
US10401793B2 (en) 2010-06-17 2019-09-03 Purdue Research Foundation Digital holographic method of measuring cellular activity and measuring apparatus with improved stability
US9977859B2 (en) * 2010-06-17 2018-05-22 Purdue Reserach Foundation Digital holographic method of measuring cellular activity and of using results to screen compounds
TWI500963B (zh) * 2010-06-29 2015-09-21 Chroma Ate Inc An image capturing device and method
JP4912504B1 (ja) * 2010-09-16 2012-04-11 キヤノン株式会社 屈折率の計測方法および計測装置
CN102554709A (zh) * 2010-12-10 2012-07-11 通用电气公司 距离测量系统和方法
JP5794664B2 (ja) * 2011-01-20 2015-10-14 キヤノン株式会社 断層画像生成装置及び断層画像生成方法
DE102011003807A1 (de) * 2011-02-08 2012-08-09 Leica Microsystems Cms Gmbh Mikroskop mit Autofokuseinrichtung und Verfahren zur Autofokussierung bei Mikroskopen
NL2008111A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Optical apparatus, method of scanning, lithographic apparatus and device manufacturing method.
US10359361B2 (en) * 2011-02-18 2019-07-23 The General Hospital Corporation Laser speckle micro-rheology in characterization of biomechanical properties of tissues
KR101186464B1 (ko) * 2011-04-13 2012-09-27 에스엔유 프리시젼 주식회사 Tsv 측정용 간섭계 및 이를 이용한 측정방법
US8817269B2 (en) * 2011-04-26 2014-08-26 Carl Zeiss Meditec, Inc. Fizeau reference arm using a chirped fiber bragg grating
TWI472822B (zh) * 2011-05-20 2015-02-11 Univ Feng Chia 平行度檢測裝置及其方法
EP2710330B1 (en) * 2011-05-20 2016-02-10 Universitat Politécnica De Catalunya Method and device for non-contact measuring surfaces
US20120300040A1 (en) * 2011-05-25 2012-11-29 Microsoft Corporation Imaging system
TWI447352B (zh) * 2011-07-08 2014-08-01 私立中原大學 光學斷層攝影系統
JP5787255B2 (ja) * 2011-07-12 2015-09-30 国立大学法人 筑波大学 Ps−octの計測データを補正するプログラム及び該プログラムを搭載したps−octシステム
US20130017762A1 (en) * 2011-07-15 2013-01-17 Infineon Technologies Ag Method and Apparatus for Determining a Measure of a Thickness of a Polishing Pad of a Polishing Machine
JP2013036848A (ja) * 2011-08-08 2013-02-21 Nikon Corp 高さ計測装置及び方法
TWI466112B (zh) * 2011-10-07 2014-12-21 Ind Tech Res Inst 光學設備及光學定址方法
DE102011115027A1 (de) * 2011-10-07 2013-04-11 Polytec Gmbh Kohärenzrasterinterferometer und Verfahren zur ortsaufgelösten optischen Vermessung der Oberflächengeometrie eines Objekts
CN103033129B (zh) * 2011-10-07 2015-10-21 财团法人工业技术研究院 光学设备及光学定址方法
US9201313B2 (en) 2011-11-09 2015-12-01 Zygo Corporation Compact encoder head for interferometric encoder system
US20130114087A1 (en) * 2011-11-09 2013-05-09 Zygo Corporation Low coherence interferometry using encoder systems
EP2776792B1 (en) * 2011-11-09 2016-08-10 Zygo Corporation Double pass interferometric encoder system
JP5527625B2 (ja) * 2011-11-22 2014-06-18 横河電機株式会社 顕微鏡装置
US20130153552A1 (en) * 2011-12-14 2013-06-20 Gwangju Institute Of Science And Technology Scribing apparatus and method for having analysis function of material distribution
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
CN102589463B (zh) * 2012-01-10 2014-01-15 合肥工业大学 二维和三维一体化成像测量系统
JP5984406B2 (ja) * 2012-02-01 2016-09-06 キヤノン株式会社 測定装置
TWI502549B (zh) * 2012-02-20 2015-10-01 Univ Nat Kaohsiung Applied Sci 元件影像檢測方法及其系統
JP2013200182A (ja) * 2012-03-23 2013-10-03 Toshiba Corp 欠陥検査装置および欠陥検査方法
JP2015520358A (ja) * 2012-03-29 2015-07-16 エーエスエムエル ホールディング エヌ.ブイ. 小型自蔵式ホログラフィ及び干渉計デバイス
TWI516746B (zh) 2012-04-20 2016-01-11 賽格股份有限公司 在干涉編碼系統中執行非諧循環錯誤補償的方法、裝置及計算機程式產品,以及微影系統
EP2662661A1 (de) * 2012-05-07 2013-11-13 Leica Geosystems AG Messgerät mit einem Interferometer und einem ein dichtes Linienspektrum definierenden Absorptionsmedium
US9113782B2 (en) * 2012-06-01 2015-08-25 Joshua Noel Hogan Multiple reference OCT system
KR102330743B1 (ko) * 2012-06-26 2021-11-23 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
JP5975785B2 (ja) * 2012-08-14 2016-08-23 株式会社アドテックエンジニアリング 描画装置、露光描画装置、プログラム及び描画方法
JP6006053B2 (ja) * 2012-09-06 2016-10-12 アストロデザイン株式会社 レーザー走査蛍光顕微鏡装置
FR2995677B1 (fr) * 2012-09-14 2014-08-29 Thales Sa Instrument d'optique a analyseur de front d'onde
US9115975B2 (en) 2012-10-04 2015-08-25 Zygo Corporation Position monitoring system with reduced noise
EP2986928A4 (en) * 2012-10-26 2017-03-29 Applied Spectral Imaging Ltd. Method and system for spectral imaging
CN104903678B (zh) * 2012-11-30 2018-12-11 Qed技术国际股份有限公司 集成的波前传感器和轮廓测定仪
US20150157199A1 (en) * 2012-12-06 2015-06-11 Noam Sapiens Method and apparatus for scatterometric measurement of human tissue
US9291505B2 (en) * 2012-12-07 2016-03-22 Baker Hughes Incorporated Polarization scrambling in interferometer systems
TWI623776B (zh) * 2012-12-17 2018-05-11 Lg伊諾特股份有限公司 設計光學基板的方法
EP2947489A4 (en) 2013-01-17 2016-10-05 Hamamatsu Photonics Kk IMAGE-DEFINITION APPARATUS AND METHOD FOR FOCUSING AN IMAGE-DEFINITION DEVICE
EP2947487A4 (en) 2013-01-17 2016-08-24 Hamamatsu Photonics Kk IMAGE-DEFINITION APPARATUS AND METHOD FOR FOCUSING AN IMAGE-DEFINITION DEVICE
EP2947490A4 (en) 2013-01-17 2016-08-31 Hamamatsu Photonics Kk IMAGE ACQUISITION DEVICE AND FOCUSING METHOD FOR IMAGE ACQUISITION DEVICE
CN104937469B (zh) * 2013-01-17 2017-06-23 浜松光子学株式会社 图像取得装置以及图像取得装置的聚焦方法
CN103105236B (zh) * 2013-01-18 2014-08-20 电子科技大学 一种用于液晶空间光相位调制器相位检测的方法
EP2956742A4 (en) * 2013-02-12 2016-11-02 Zygo Corp INTERFEROMETER FOR SURFACE TOPOGRAPHY USING SURFACE COLOR
US9305753B2 (en) * 2013-03-06 2016-04-05 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US9052190B2 (en) * 2013-03-12 2015-06-09 Kla-Tencor Corporation Bright-field differential interference contrast system with scanning beams of round and elliptical cross-sections
KR101462848B1 (ko) * 2013-03-18 2014-11-18 에스엔유 프리시젼 주식회사 색정보를 측정할 수 있는 3차원 형상 측정 장치
US9313418B2 (en) * 2013-05-30 2016-04-12 Toshiba America Electronic Components, Inc. Method and apparatus for detection of biological conditions
WO2014209987A1 (en) * 2013-06-26 2014-12-31 Zygo Corporation Coherence scanning interferometry using phase shifted interferometrty signals
CN104375383B (zh) * 2013-08-13 2017-08-29 上海微电子装备有限公司 用于光刻设备的调焦调平检测装置及方法
JP6250329B2 (ja) * 2013-08-19 2017-12-20 株式会社ディスコ 加工装置
US9116038B2 (en) 2013-08-19 2015-08-25 International Business Machines Corporation Integrated optical illumination reference source
US9857159B1 (en) 2013-09-24 2018-01-02 TVS Holdings, LLC Velocity compensated frequency sweeping interferometer and method of using same
JP6257072B2 (ja) * 2013-10-16 2018-01-10 国立大学法人 筑波大学 白色干渉計装置による表面形状の測定方法
JP2015085398A (ja) * 2013-10-28 2015-05-07 株式会社ディスコ 切削装置
JP6305013B2 (ja) * 2013-10-28 2018-04-04 株式会社ディスコ 加工装置
US9874628B2 (en) * 2013-11-12 2018-01-23 The Boeing Company Dual hidden point bars
CN104730279B (zh) * 2013-12-20 2018-04-10 中国工程物理研究院激光聚变研究中心 一种啁啾脉冲速度干涉仪
SG11201605108VA (en) 2014-01-09 2016-07-28 Zygo Corp Measuring topography of aspheric and other non-flat surfaces
US10317189B2 (en) * 2014-01-23 2019-06-11 Kabushiki Kaisha Topcon Detection of missampled interferograms in frequency domain OCT with a k-clock
WO2015138529A1 (en) 2014-03-11 2015-09-17 Ametek Precitech, Inc. Edge treatment process
US9719777B1 (en) * 2014-05-30 2017-08-01 Zygo Corporation Interferometer with real-time fringe-free imaging
US9282304B1 (en) 2014-06-02 2016-03-08 Bruker Nano Inc. Full-color images produced by white-light interferometry
NL2014773A (en) * 2014-06-10 2016-03-31 Asml Netherlands Bv A Lithographic Device and a Method of Manufacturing a Lithographic Device.
RU2572412C1 (ru) * 2014-06-27 2016-01-10 Общество с ограниченной ответственностью "Фурье фотоникс" Интерферометр повышенной точности с активным подавлением паразитных вибраций
KR102340495B1 (ko) * 2014-07-14 2021-12-16 지고 코포레이션 스펙트럼 분석을 사용하는 간섭측정식 인코더
WO2016025505A1 (en) 2014-08-12 2016-02-18 Zygo Corporation Calibration of scanning interferometers
JP6707258B2 (ja) * 2014-08-21 2020-06-10 公立大学法人大阪 応力可視化装置および力学物性値可視化装置
CN104219463B (zh) * 2014-09-17 2017-05-31 中国科学院苏州生物医学工程技术研究所 基于积分采样的激光扫描共焦显微镜成像数据处理方法
TWI522979B (zh) 2014-09-19 2016-02-21 群創光電股份有限公司 液晶顯示面板及偵測其中液晶層與配向膜間離子所產生之電位之方法
CN104345168A (zh) * 2014-11-07 2015-02-11 中国工程物理研究院激光聚变研究中心 一种扫描频域干涉仪
US9976947B1 (en) 2014-11-24 2018-05-22 TVS Holdings, LLC Position measurement device
DE102014118151A1 (de) * 2014-12-08 2016-06-09 Universität Kassel Weißlicht-Interferenzmikroskop und Verfahren zum Betreiben eines Weißlicht-Interferenzmikroskops
TWI546841B (zh) 2014-12-10 2016-08-21 財團法人工業技術研究院 具有載台的電子顯微鏡
WO2016122883A1 (en) 2015-01-26 2016-08-04 Thorlabs, Inc. Microscopy system with auto-focus adjustment by low-coherence interferometry
TWI550982B (zh) * 2015-03-06 2016-09-21 智泰科技股份有限公司 可見光之即時波長修正系統
DE102015108912A1 (de) * 2015-06-05 2016-12-08 Carl Zeiss Microscopy Gmbh Vorrichtung und Verfahren zur Erfassung von Oberflächentopographien
US9759555B2 (en) * 2015-07-09 2017-09-12 Camtek Ltd. High throughput triangulation system
DE102015113465B4 (de) * 2015-08-14 2018-05-03 Medizinisches Laserzentrum Lübeck GmbH Verfahren und Vorrichtung zum Ablichten wenigstens einer Schnittfläche im Innern eines Licht streuenden Objekts
US20170067735A1 (en) * 2015-09-09 2017-03-09 Vishal Khosla Apparatus for In-Line Test and Surface Analysis on a Mechanical Property Tester
CN105509638A (zh) * 2015-12-01 2016-04-20 中国科学院长春光学精密机械与物理研究所 一种基于误差补偿的移相干涉信息处理方法
CN105655267A (zh) * 2016-01-04 2016-06-08 京东方科技集团股份有限公司 一种用于对基板进行检测的预警系统及生产设备
WO2017136721A1 (en) * 2016-02-03 2017-08-10 Yizheng Zhu Methods, systems and apparatus of interferometry for imaging and sensing
WO2017139774A1 (en) 2016-02-12 2017-08-17 The General Hospital Corporation Laser speckle micro-rheology in characterization of biomechanical properties of tissues
DE102016204535A1 (de) * 2016-03-18 2017-09-21 Carl Zeiss Smt Gmbh Messmikroskop zur Vermessung von Masken für lithographische Verfahren sowie Messverfahren und Kalibrierverfahren hierfür
FR3050023B1 (fr) * 2016-04-11 2020-02-14 Unity Semiconductor Procede et systeme d'inspection et de mesure optique d'une face d'un objet
CN105806236B (zh) * 2016-05-11 2018-08-28 天津大学 Linnik型干涉光谱测量薄膜的非线性相位补偿方法
CN107578986B (zh) * 2016-07-04 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法和光刻偏移的测量方法
DE102016213237A1 (de) * 2016-07-20 2018-01-25 Carl Zeiss Smt Gmbh Messvorrichtung zur interferometrischen Bestimmung einer Form einer optischen Oberfläche
US10024776B2 (en) * 2016-07-20 2018-07-17 Rtec-Instruments, Inc. Apparatus for in-line testing and surface analysis on a mechanical property tester
KR102456213B1 (ko) 2016-09-15 2022-10-18 케이엘에이 코포레이션 이미징 기반 오버레이 계측을 위한 포커스 최적화를 위한 시스템 및 방법
WO2018093637A1 (en) * 2016-11-18 2018-05-24 Zygo Corporation Method and apparatus for optimizing the optical performance of interferometers
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
CN106855521B (zh) * 2017-03-06 2023-07-14 南京市计量监督检测院 一种铁轨轨腰表面裂纹微形变检测装置及检测方法
US10753726B2 (en) 2017-03-26 2020-08-25 Cognex Corporation System and method for 3D profile determination using model-based peak selection
EP3396306B1 (en) * 2017-04-26 2019-11-27 Mitutoyo Corporation Method and system for calculating a height map of a surface of an object from an image stack in scanning optical 2.5d profiling of the surface by an optical system
DE102017114023A1 (de) * 2017-06-23 2018-12-27 SmarAct Holding GmbH Verfahren und Detektor zur mit Interferometrie korrelierten Bildaufnahme
JP6461446B1 (ja) 2017-07-06 2019-01-30 浜松ホトニクス株式会社 ミラーユニット及び光モジュール
US10310085B2 (en) * 2017-07-07 2019-06-04 Mezmeriz Inc. Photonic integrated distance measuring pixel and method of distance measurement
DE102017115922C5 (de) * 2017-07-14 2023-03-23 Precitec Gmbh & Co. Kg Verfahren und Vorrichtung zur Messung und Einstellung eines Abstands zwischen einem Bearbeitungskopf und einem Werkstück sowie dazugehöriges Verfahren zur Regelung
CN109381189B (zh) * 2017-08-04 2021-07-30 适着三维科技股份有限公司 校准设备
US10365089B1 (en) 2017-08-04 2019-07-30 The United States Of America, As Represented By The Secretary Of The Navy Atmospheric infrasonic sensing from an array of aircraft
US10578440B1 (en) 2017-08-04 2020-03-03 The United States Of America, As Represented By The Secretary Of The Navy Atmospheric infrasonic sensing from an aircraft
JP6876576B2 (ja) * 2017-08-17 2021-05-26 日本電子株式会社 三次元像構築方法
TWI791046B (zh) * 2017-10-02 2023-02-01 美商奈米創尼克影像公司 減少顯微鏡成像中之暈影的設備及方法
WO2019070226A1 (en) 2017-10-02 2019-04-11 Nanotronics Imaging, Inc. APPARATUS AND METHOD FOR REDUCING VIGNETTING IN MICROSCOPIC IMAGING
TWI650526B (zh) * 2017-12-18 2019-02-11 財團法人工業技術研究院 量測裝置
US10352690B2 (en) 2017-12-18 2019-07-16 Industrial Technology Research Institute Measuring apparatus
CN108106973B (zh) * 2017-12-18 2020-01-10 大连理工大学 一种基于透明光弹材料同时测量饱和颗粒介质应力和位移的方法
JP6851301B2 (ja) * 2017-12-20 2021-03-31 浜松ホトニクス株式会社 観察対象物カバー、干渉観察用容器、干渉観察装置及び干渉観察方法
TWI647427B (zh) * 2018-01-10 2019-01-11 緯創資通股份有限公司 物體距離估算方法與電子裝置
TWI672493B (zh) * 2018-03-07 2019-09-21 由田新技股份有限公司 用於檢測面板斑紋的光學檢測系統及其方法
DE102018105877B3 (de) 2018-03-14 2019-02-28 Precitec Gmbh & Co. Kg Vorrichtung für die Bestimmung einer Ausrichtung einer optischen Vorrichtung eines Kohärenztomographen, Kohärenztomograph und Laserbearbeitungssystem
CN108599849B (zh) * 2018-04-14 2021-01-01 上海交通大学 智能决策的光子处理系统和处理方法
EP3781014B1 (en) * 2018-04-18 2023-09-27 Carl Zeiss Meditec, Inc. Post-processing method to improve lso-based tracking in oct
DE102018113979A1 (de) * 2018-06-12 2019-12-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur interferometrischen Vermessung einer Oberfläche eines bewegten Prüflings
KR102019326B1 (ko) * 2018-06-25 2019-09-06 케이맥(주) 내진동 백색광 간섭현미경 및 그 진동영향 제거방법
US11092425B2 (en) 2018-07-05 2021-08-17 University Of Rochester Telecentric and broadband achromatic objective lens systems
CN109223044B (zh) * 2018-07-12 2021-08-24 郑州轻工业学院 一种光学相干层析成像系统的多普勒流速检测方法
US11262191B1 (en) * 2018-07-12 2022-03-01 Onto Innovation Inc. On-axis dynamic interferometer and optical imaging systems employing the same
CN110726702A (zh) * 2018-07-17 2020-01-24 锐准医光股份有限公司 采用进阶光学干涉显微术的光学切层装置
TWI691700B (zh) * 2018-07-18 2020-04-21 薩摩亞商銳準醫光股份有限公司 採用進階光學干涉顯微術之光學切層裝置
DE102018119313B4 (de) * 2018-08-08 2023-03-30 Rogers Germany Gmbh Verfahren zum Bearbeiten eines Metall-Keramik-Substrats und Anlage zum Durchführen des Verfahrens
CN109211934B (zh) * 2018-08-29 2021-01-26 南京理工大学 基于干涉显微的微球面缺陷检测装置及其检测方法
US11788834B2 (en) * 2018-10-12 2023-10-17 Electric Power Research Institute, Inc. Method for measuring surface characteristics in optically distorting media
JP7036704B2 (ja) * 2018-11-16 2022-03-15 ヤフー株式会社 情報処理装置、情報処理方法、及び情報処理プログラム
FR3089286B1 (fr) * 2018-11-30 2022-04-01 Unity Semiconductor Procédé et système pour mesurer une surface d’un objet comprenant des structures différentes par interférométrie à faible cohérence
KR102129382B1 (ko) * 2018-12-17 2020-07-02 주식회사 토모큐브 간섭 패턴에서 파동의 위상 정보 추출 방법 및 장치
WO2020126816A1 (en) 2018-12-21 2020-06-25 Asml Holding N.V. Noise correction for alignment signal
TWI682150B (zh) 2018-12-27 2020-01-11 財團法人工業技術研究院 自動校準光干涉裝置及光干涉裝置自動校準方法
CN109780992B (zh) * 2018-12-28 2020-01-10 西安交通大学 基于光学平面条纹图像处理的干涉测量系统误差标定方法
US10809048B2 (en) * 2019-01-08 2020-10-20 Formfactor Beaverton, Inc. Probe systems and methods for calibrating capacitive height sensing measurements
WO2020161887A1 (ja) * 2019-02-08 2020-08-13 株式会社 日立ハイテクノロジーズ エッチング処理装置、エッチング処理方法および検出器
CN109828365B (zh) * 2019-02-25 2021-05-04 南京理工大学 Mirau型超分辨率干涉显微物镜
US11910104B2 (en) * 2019-04-19 2024-02-20 ARIZONA BOARD OF REGENTS on behalf of THE UNIVERSITY OF ARIZONA, A BODY CORPORATE All-in-focus imager and associated method
US11921285B2 (en) 2019-04-19 2024-03-05 Arizona Board Of Regents On Behalf Of The University Of Arizona On-chip signal processing method and pixel-array signal
DE102019114405A1 (de) 2019-05-29 2020-04-16 Polytec Gmbh Interferometrische Messvorrichtung und interferometrisches Verfahren zur Bestimmung der Oberflächentopographie eines Messobjekts
CN110675451B (zh) * 2019-09-17 2023-03-17 浙江荷湖科技有限公司 基于相空间光学的数字自适应校正方法及系统
US11150195B2 (en) * 2019-09-25 2021-10-19 Onto Innovation Inc. Sample surface polarization modification in interferometric defect inspection
KR102269706B1 (ko) * 2019-11-04 2021-06-25 재단법인대구경북과학기술원 적응 광학을 이용한 다채널 광섬유 광도 측정 시스템
GB2593194B (en) * 2020-03-18 2022-09-07 Refeyn Ltd Methods and apparatus for optimised interferometric scattering microscopy
CN111536883B (zh) * 2020-06-10 2021-07-23 中北大学 一种基于复合式光栅的微位移传感器
WO2021253113A1 (en) 2020-06-15 2021-12-23 Bmv Optical Technologies Inc. Optical system using enhanced static fringe capture
CN115720624A (zh) * 2020-06-24 2023-02-28 西默有限公司 标准具中测量误差的确定
CN112066909B (zh) * 2020-08-24 2022-04-08 南京理工大学 一种基于倾斜平面高精度提取的抗振动干涉测量方法
JP7300432B2 (ja) * 2020-10-27 2023-06-29 Ckd株式会社 三次元計測装置
JP7001947B2 (ja) * 2020-12-24 2022-01-20 株式会社東京精密 表面形状測定方法
EP4275011A1 (en) * 2021-01-06 2023-11-15 Sentek Instrument LLC Systems and methods for fiber optic fourier spectrometry measurement
CN112665509B (zh) * 2021-01-08 2022-07-08 中国工程物理研究院机械制造工艺研究所 一种扫描误差自修正的白光干涉测量方法
KR102494082B1 (ko) * 2021-01-28 2023-01-31 서울대학교산학협력단 간섭과 파수 고주파 변조를 이용한 박막 두께와 형상을 측정하는 장치 및 그 장치를 이용한 박막 두께와 형상 측정 방법
US20220371152A1 (en) * 2021-05-20 2022-11-24 Applied Materials, Inc. Fourier filtering of spectral data for measuring layer thickness during substrate processing
CN113721233B (zh) * 2021-08-30 2023-09-19 中国航发沈阳黎明航空发动机有限责任公司 一种多联体涡轮导向叶片热障涂层厚度三维光学测量方法
CN113985711B (zh) * 2021-10-28 2024-02-02 无锡卓海科技股份有限公司 一种套刻测量装置
WO2023114213A1 (en) * 2021-12-13 2023-06-22 The Board Of Trustees Of The Leland Stanford Junior University Apparatus and method for multiplexed one-photon and nonlinear microscopy and method for biological tissue alignment
DE102022102572A1 (de) 2022-02-03 2023-08-03 Carl Zeiss Microscopy Gmbh Abbildungssystem und Verfahren zum Abbilden und Vermessen eines Objektes
KR102521324B1 (ko) * 2022-03-03 2023-04-20 (주)오로스 테크놀로지 입사각을 갖는 오프-액시스 광학계의 정렬 방법
DE102023203568A1 (de) 2023-04-19 2024-04-11 Carl Zeiss Smt Gmbh Interferometrische Messvorrichtung zur Vermessung einer Rauheit einer Testoberfläche
CN117006971A (zh) * 2023-09-25 2023-11-07 板石智能科技(深圳)有限公司 一种三维形貌测量系统
CN117723490B (zh) * 2024-02-05 2024-04-16 魅杰光电科技(上海)有限公司 一种晶圆检测系统及方法、宽谱相干光干涉自聚焦光路

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000065517A (ja) 1998-08-18 2000-03-03 Tokyo Seimitsu Co Ltd 正弦波状波長走査干渉計及び正弦波状波長走査光源装置
KR100270365B1 (ko) 1998-09-17 2001-01-15 김상국 고속 주사 간섭계 시스템
JP2005333141A (ja) 2004-05-19 2005-12-02 Asml Holding Nv ダイナミックピューピルフィルシアリング干渉計

Family Cites Families (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) * 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) * 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
DE3145633A1 (de) * 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
JPS58208610A (ja) * 1982-05-17 1983-12-05 ブリティッシュ・テクノロジー・グループ・リミテッド 物体の表面検査装置
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
US4594003A (en) 1983-07-20 1986-06-10 Zygo Corporation Interferometric wavefront measurement
JPS60127403A (ja) 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4699513A (en) 1985-02-08 1987-10-13 Stanford University Distributed sensor and method using coherence multiplexing of fiber-optic interferometric sensors
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4806018A (en) * 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) * 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5594543A (en) * 1990-01-16 1997-01-14 Hughes Danbury Optical Systems, Inc. Laser diode radar with extended range
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5343294A (en) 1990-03-09 1994-08-30 Carl-Zeiss-Stiftung Method for analyzing periodic brightness patterns
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5122648A (en) 1990-06-01 1992-06-16 Wyko Corporation Apparatus and method for automatically focusing an interference microscope
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5127731A (en) 1991-02-08 1992-07-07 Hughes Aircraft Company Stabilized two-color laser diode interferometer
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
EP0502679B1 (en) 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
DE4108944A1 (de) 1991-03-19 1992-09-24 Haeusler Gerd Verfahren und einrichtung zur beruehrungslosen erfassung der oberflaechengestalt von diffus streuenden objekten
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) * 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5133601A (en) 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
JPH05133711A (ja) * 1991-11-11 1993-05-28 Minolta Camera Co Ltd 干渉計
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5371587A (en) 1992-05-06 1994-12-06 The Boeing Company Chirped synthetic wavelength laser radar
US6082892A (en) 1992-05-29 2000-07-04 C.I. Systems Ltd. Temperature measuring method and apparatus
US5390023A (en) * 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
WO1993024805A1 (en) 1992-06-03 1993-12-09 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5309277A (en) 1992-06-19 1994-05-03 Zygo Corporation High intensity illuminator
GB9213159D0 (en) 1992-06-22 1992-08-05 British Tech Group Method of and apparatus for interferometrically inspecting a surface of an object
US5202939A (en) * 1992-07-21 1993-04-13 Institut National D'optique Fabry-perot optical sensing device for measuring a physical parameter
US5402234A (en) * 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5384717A (en) * 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) * 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
DE4309056B4 (de) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Verfahren und Vorrichtung zur Ermittlung der Entfernung und Streuintensität von streuenden Punkten
US5386119A (en) * 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
US5956030A (en) 1993-06-11 1999-09-21 Apple Computer, Inc. Computer system with graphical user interface including windows having an identifier within a control region on the display
JPH074922A (ja) 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) * 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
GB9320500D0 (en) 1993-10-05 1993-11-24 Rensihaw Plc Interferometric distance measuring apparatus
US5371588A (en) 1993-11-10 1994-12-06 University Of Maryland, College Park Surface profile and material mapper using a driver to displace the sample in X-Y-Z directions
US5481811A (en) * 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) * 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
DE69520097T2 (de) * 1994-03-17 2001-07-19 Dow Benelux System zur echtzeit optimierung und darstellung des gewinns
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5473434A (en) 1994-05-16 1995-12-05 Zygo Corporation Phase shifting interferometer and method for surface topography measurement
US5671050A (en) 1994-11-07 1997-09-23 Zygo Corporation Method and apparatus for profiling surfaces using diffracative optics
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5659392A (en) 1995-03-22 1997-08-19 Eastman Kodak Company Associated dual interferometric measurement apparatus for determining a physical property of an object
US5596409A (en) * 1995-03-22 1997-01-21 Eastman Kodak Company Associated dual interferometric measurement method for determining a physical property of an object
US5598265A (en) * 1995-04-06 1997-01-28 Zygo Corporation Method for profiling an object surface using a large equivalent wavelength and system therefor
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
JP3602925B2 (ja) 1995-12-08 2004-12-15 独立行政法人科学技術振興機構 光干渉法による測定対象物の屈折率と厚さの同時測定装置
US5748318A (en) 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5602643A (en) * 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
JPH09297004A (ja) 1996-05-01 1997-11-18 Olympus Optical Co Ltd 顕微鏡装置
GB9610471D0 (en) 1996-05-18 1996-07-24 Univ Nottingham Optical measurement
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
JP3459327B2 (ja) 1996-06-17 2003-10-20 理化学研究所 積層構造体の層厚および屈折率の測定方法およびその測定装置
US5898501A (en) * 1996-07-25 1999-04-27 Nikon Corporation Apparatus and methods for measuring wavefront aberrations of a microlithography projection lens
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JP3275797B2 (ja) * 1997-09-10 2002-04-22 松下電器産業株式会社 低圧水銀蒸気放電ランプ
US20020015146A1 (en) * 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US6031615A (en) 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US6219144B1 (en) * 1997-10-02 2001-04-17 Zygo Corporation Apparatus and method for measuring the refractive index and optical path length effects of air using multiple-pass interferometry
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6011624A (en) * 1998-01-06 2000-01-04 Zygo Corporation Geometrically-Desensitized interferometer with adjustable range of measurement depths
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6028670A (en) * 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US5999263A (en) 1998-01-26 1999-12-07 Zygo Corporation Method and apparatus for performing interferometric measurements with reduced sensitivity to vibration
US5995224A (en) 1998-01-28 1999-11-30 Zygo Corporation Full-field geometrically-desensitized interferometer employing diffractive and conventional optics
US6495394B1 (en) * 1999-02-16 2002-12-17 Sumitomo Metal (Smi) Electronics Devices Inc. Chip package and method for manufacturing the same
US6407816B1 (en) * 1998-02-23 2002-06-18 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6327039B1 (en) 1998-02-23 2001-12-04 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE59910660D1 (de) * 1998-03-27 2004-11-04 Litef Gmbh Verfahren und einrichtung zur absoluten interferometrischen längenmessung
DE19814057B4 (de) * 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6175669B1 (en) * 1998-03-30 2001-01-16 The Regents Of The Universtiy Of California Optical coherence domain reflectometry guidewire
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
DE19819762A1 (de) 1998-05-04 1999-11-25 Bosch Gmbh Robert Interferometrische Meßeinrichtung
US6229988B1 (en) 1998-05-20 2001-05-08 Lojack Corporation Method of and apparatus for battery and similar power source conservation in periodically operable portable and related radio receivers and the like
US6034774A (en) * 1998-06-26 2000-03-07 Eastman Kodak Company Method for determining the retardation of a material using non-coherent light interferometery
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
US6208424B1 (en) * 1998-08-27 2001-03-27 Zygo Corporation Interferometric apparatus and method for measuring motion along multiple axes
US6252667B1 (en) 1998-09-18 2001-06-26 Zygo Corporation Interferometer having a dynamic beam steering assembly
US6313918B1 (en) 1998-09-18 2001-11-06 Zygo Corporation Single-pass and multi-pass interferometery systems having a dynamic beam-steering assembly for measuring distance, angle, and dispersion
US6181420B1 (en) 1998-10-06 2001-01-30 Zygo Corporation Interferometry system having reduced cyclic errors
JP2000121317A (ja) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd 光干渉計の干渉位相検出方式
US6038027A (en) * 1998-10-29 2000-03-14 Eastman Kodak Company Method for measuring material thickness profiles
US6067161A (en) 1998-10-29 2000-05-23 Eastman Kodak Company Apparatus for measuring material thickness profiles
US6072581A (en) 1998-10-30 2000-06-06 Zygo Corporation Geometrically-desensitized interferometer incorporating an optical assembly with high stray-beam management capability
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
JP3569726B2 (ja) 1998-12-15 2004-09-29 独立行政法人理化学研究所 試料の幾何学的厚さおよび屈折率測定装置およびその測定方法
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6075601A (en) 1999-03-03 2000-06-13 Eastman Kodak Company Optical probe calibration apparatus and method
KR100290086B1 (ko) * 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6417927B2 (en) * 1999-04-28 2002-07-09 Zygo Corporation Method and apparatus for accurately compensating both long and short term fluctuations in the refractive index of air in an interferometer
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) * 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6226092B1 (en) 1999-05-27 2001-05-01 Zygo Corporation Full-field geometrically desensitized interferometer using refractive optics
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) * 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6359692B1 (en) * 1999-07-09 2002-03-19 Zygo Corporation Method and system for profiling objects having multiple reflective surfaces using wavelength-tuning phase-shifting interferometry
US6201609B1 (en) * 1999-08-27 2001-03-13 Zygo Corporation Interferometers utilizing polarization preserving optical systems
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
JP3642996B2 (ja) 1999-11-18 2005-04-27 独立行政法人科学技術振興機構 光干渉法による測定対象物の屈折率と厚さの同時測定方法及びそのための装置
US6545761B1 (en) * 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
DE10195052B3 (de) 2000-01-25 2015-06-18 Zygo Corp. Verfahren und Einrichtungen zur Bestimmung einer geometrischen Eigenschaft eines Versuchsgegenstands sowie optisches Profilmesssystem
JP4673955B2 (ja) 2000-03-24 2011-04-20 オリンパス株式会社 光学装置
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
JP2001343208A (ja) * 2000-03-30 2001-12-14 Fuji Photo Optical Co Ltd フーリエ変換を用いた縞解析方法および装置
LU90580B1 (fr) 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6449048B1 (en) 2000-05-11 2002-09-10 Veeco Instruments, Inc. Lateral-scanning interferometer with tilted optical axis
JP4824248B2 (ja) * 2000-05-19 2011-11-30 ザイゴ コーポレイション インサイチュミラー特徴付け
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
US6847029B2 (en) 2000-07-27 2005-01-25 Zetetic Institute Multiple-source arrays with optical transmission enhanced by resonant cavities
EP1303778A2 (en) 2000-07-27 2003-04-23 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
DE10041041A1 (de) 2000-08-22 2002-03-07 Zeiss Carl Interferometeranordnung und Interferometrisches Verfahren
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP4583619B2 (ja) * 2000-09-13 2010-11-17 富士フイルム株式会社 縞画像解析誤差検出方法および縞画像解析誤差補正方法
US6694284B1 (en) * 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6370299B1 (en) * 2000-09-27 2002-04-09 The Boeing Company Fiber optic collimation apparatus and associated method
ATE464534T1 (de) 2000-11-02 2010-04-15 Zygo Corp Verfahren und vorrichtung zur höhenabtastenden interferometrie mit phasendifferenz-analyse
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
JP3871309B2 (ja) * 2001-01-31 2007-01-24 フジノン株式会社 位相シフト縞解析方法およびこれを用いた装置
US6909509B2 (en) 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) * 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
WO2002073122A2 (en) 2001-03-13 2002-09-19 Zygo Corporation Cyclic error reduction in average interferometric position measurements
KR100393429B1 (ko) 2001-04-09 2003-08-02 한국과학기술원 각기 다른 금속 물질의 단차 측정을 위한 두 파장 백색광간섭법과 간섭계
US6788422B2 (en) 2001-04-17 2004-09-07 Zygo Corporation Method and apparatus for using quasi-stable light sources in interferometry applications
US6624893B1 (en) 2001-06-06 2003-09-23 Veeco Instruments Inc. Correction of scanning errors in interferometric profiling
US6624894B2 (en) * 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US6721510B2 (en) * 2001-06-26 2004-04-13 Aoptix Technologies, Inc. Atmospheric optical data transmission system
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6778280B2 (en) * 2001-07-06 2004-08-17 Zygo Corporation Interferometry system and method employing an angular difference in propagation between orthogonally polarized input beam components
US6867866B1 (en) 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
EP1430270A4 (en) 2001-09-21 2006-10-25 Kmac METHOD AND DEVICE FOR MEASURING THE THICK PROFILE AND THE DISTRIBUTION OF THIN FILM MULTI-LAYER REFRACTIVE INDICES BY TWO-DIMENSIONAL REFLECTOMETRY
US6714307B2 (en) * 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
US6630982B2 (en) * 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
KR100437024B1 (ko) 2001-10-18 2004-06-23 엘지전자 주식회사 박막 검사 방법 및 그 장치
JPWO2003036229A1 (ja) * 2001-10-25 2005-02-17 東レエンジニアリング株式会社 表面形状測定方法およびその装置
US6847453B2 (en) * 2001-11-05 2005-01-25 Optiphase, Inc. All fiber autocorrelator
KR100354613B1 (ko) * 2001-11-06 2002-10-11 박헌휘 교체 가능한 침지형 중공사막 모듈
US7030995B2 (en) 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) * 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
US6816264B1 (en) 2001-12-21 2004-11-09 Itt Manufacturing Enterprises, Inc. Systems and methods for amplified optical metrology
WO2003062802A2 (en) 2002-01-24 2003-07-31 The General Hospital Corporation Apparatus and method for rangings and noise reduction of low coherence interferometry lci and optical coherence tomography (oct) signals by parallel detection of spectral bands
US7057739B2 (en) * 2002-02-12 2006-06-06 Zygo Corporation Separated beam multiple degree of freedom interferometer
US6906784B2 (en) 2002-03-04 2005-06-14 Zygo Corporation Spatial filtering in interferometry
JP4414235B2 (ja) 2002-03-14 2010-02-10 テイラー・ホブソン・リミテッド 表面プロファイリング装置及び表面プロファイルデータ作成方法
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
JP4472358B2 (ja) 2002-04-11 2010-06-02 ザイゴ コーポレーション ツインステージリソグラフィツールにおける干渉計システム誤差の補償
US7068376B2 (en) 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
JP2005524832A (ja) * 2002-05-02 2005-08-18 ザイゴ コーポレーション 走査干渉計用位相ずれ分析
EP1506373B1 (en) 2002-05-17 2008-05-14 Sensor Highway Limited Fibre-optic interferometric remote sensor
WO2003106921A1 (en) * 2002-06-17 2003-12-24 Zygo Corporation Interferometric optical system and methods providing simultaneously scanned optical path length and focus
WO2003106920A1 (en) * 2002-06-17 2003-12-24 Zygo Corporation Interferometry methods and systems having a coupled cavity geometry for use with an extended source
AU2003247725A1 (en) * 2002-07-01 2004-01-19 Lightgage, Inc. Interferometer system of compact configuration
US7428685B2 (en) 2002-07-08 2008-09-23 Zygo Corporation Cyclic error compensation in interferometry systems
US7262860B2 (en) 2002-07-29 2007-08-28 Zygo Corporation Compensation for errors in off-axis interferometric measurements
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
DE10393244B4 (de) 2002-09-09 2017-09-21 Zygo Corp. Interferometrisches Verfahren für ellipsometrische, reflektometrische und streulichtanalytische Messungen, einschließlich der Charakterisierung von Dünnfilmstrukturen
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US6901176B2 (en) 2002-10-15 2005-05-31 University Of Maryland Fiber tip based sensor system for acoustic measurements
US6842254B2 (en) * 2002-10-16 2005-01-11 Fiso Technologies Inc. System and method for measuring an optical path difference in a sensing interferometer
JP3847703B2 (ja) * 2002-12-10 2006-11-22 直弘 丹野 光コヒーレンストモグラフィー装置
US6925860B1 (en) 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US7271918B2 (en) 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US6985232B2 (en) * 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) * 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
US7016050B2 (en) * 2003-04-30 2006-03-21 Veeco Instruments Inc. Microscope with fixed-element autocollimator for tilt adjustment
DE10327019A1 (de) 2003-06-12 2004-12-30 Carl Zeiss Sms Gmbh Verfahren zur Bestimmung der Abbildungsgüte eines optischen Abbildungssystems
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US7177029B2 (en) * 2003-07-10 2007-02-13 Zygo Corporation Stroboscopic interferometry with frequency domain analysis
US6956716B2 (en) * 2003-07-30 2005-10-18 Hitachi Global Storage Technologies Netherlands, B.V. Magnetic head having multilayer heater for thermally assisted write head and method of fabrication thereof
FI20031143A0 (fi) 2003-08-08 2003-08-08 Wallac Oy Optinen fokusointimenetelmä ja -järjestely
US7488929B2 (en) * 2003-08-13 2009-02-10 Zygo Corporation Perimeter detection using fiber optic sensors
US6977730B2 (en) 2003-08-18 2005-12-20 Zygo Corporation Method and apparatus for alignment of a precision optical assembly
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
TWI358614B (en) * 2003-09-15 2012-02-21 Zygo Corp Triangulation methods and systems for profiling su
EP1519144A1 (en) * 2003-09-29 2005-03-30 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderzoek TNO Free-form optical surface measuring apparatus and method
TWI335417B (en) * 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
WO2005045529A2 (en) 2003-11-04 2005-05-19 Zygo Corporation Characterization and compensation of errors in multi-axis interferometry system
WO2005052652A2 (en) 2003-11-25 2005-06-09 Zygo Corporation Optical fiber connectors and systems including optical fiber connectors
US7379190B2 (en) 2004-01-05 2008-05-27 Zygo Corporation Stage alignment in lithography tools
JP4790632B2 (ja) 2004-01-06 2011-10-12 ザイゴ コーポレーション 多軸干渉計ならびに多軸干渉計を用いる方法およびシステム
US7283250B2 (en) 2004-01-16 2007-10-16 Veeco Instruments, Inc. Measurement of object deformation with optical profiler
US20050179911A1 (en) 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7417743B2 (en) 2004-03-15 2008-08-26 Zygo Corporation Interferometry systems and methods
US7492469B2 (en) * 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
US7177030B2 (en) * 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
US7277183B2 (en) 2004-04-22 2007-10-02 Zygo Corporation Vibration resistant interferometry
US7187453B2 (en) * 2004-04-23 2007-03-06 Opsens Inc. Optical MEMS cavity having a wide scanning range for measuring a sensing interferometer
US7142311B2 (en) 2004-05-18 2006-11-28 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US7378136B2 (en) 2004-07-09 2008-05-27 3M Innovative Properties Company Optical film coating
US20060007599A1 (en) * 2004-07-12 2006-01-12 Hitachi Global Storage Technologies Netherlands B.V. System, method, and apparatus for high performance, four-piece suspension with extended hinge plate
US20060012582A1 (en) 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
US7405833B2 (en) 2004-11-05 2008-07-29 Zygo Corporation Method for calibration and removal of wavefront errors
US7417740B2 (en) 2004-11-12 2008-08-26 Medeikon Corporation Single trace multi-channel low coherence interferometric sensor
JP2006162366A (ja) 2004-12-06 2006-06-22 Fujinon Corp 光断層映像装置
JP4429886B2 (ja) 2004-12-09 2010-03-10 富士フイルム株式会社 光断層映像装置
EP1825215B1 (en) * 2004-12-14 2013-10-30 Luna Innovations, Inc. Compensating for time varying phase changes in interferometric measurements
US7446881B2 (en) 2005-01-12 2008-11-04 Tokyo Electron Limited System, apparatus, and method for determining temperature/thickness of an object using light interference measurements
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7446882B2 (en) 2005-01-20 2008-11-04 Zygo Corporation Interferometer for determining characteristics of an object surface
JP2006214856A (ja) 2005-02-03 2006-08-17 Canon Inc 測定装置及び方法
WO2006107322A2 (en) 2005-04-01 2006-10-12 Zygo Corporation Method for compensating errors in interferometric surface metrology
US7826063B2 (en) * 2005-04-29 2010-11-02 Zygo Corporation Compensation of effects of atmospheric perturbations in optical metrology
EP1883781B1 (en) 2005-05-19 2019-08-07 Zygo Corporation Analyzing low-coherence interferometry signals for thin film structures
US7528962B2 (en) 2005-06-29 2009-05-05 Zygo Corporation Apparatus and methods for reducing non-cyclic non-linear errors in interferometry
JP2007014556A (ja) * 2005-07-07 2007-01-25 Ykk Corp 生地片の縁縫装置
US7595891B2 (en) 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US7495770B2 (en) 2005-08-09 2009-02-24 Zygo Corporation Beam shear reduction in interferometry systems
US7532330B2 (en) 2005-08-16 2009-05-12 Zygo Corporation Angle interferometers
JP2009511909A (ja) * 2005-10-11 2009-03-19 デユーク・ユニバーシテイ 内視鏡による角度分解低コヒーレンス干渉法のためのシステムおよび方法
WO2007044789A2 (en) 2005-10-11 2007-04-19 Clear Align Llc Apparatus and method for generating short optical pulses
US7636168B2 (en) 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7561278B2 (en) 2005-10-18 2009-07-14 Zygo Corporation Interferometer using integrated retarders to reduce physical volume
US7408649B2 (en) 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
JP2007121499A (ja) 2005-10-26 2007-05-17 Nikon Corp 微分干渉観察方法及び顕微鏡
CA2630185C (en) * 2005-11-16 2019-05-07 Chemometec A/S Determination of chemical or physical properties of sample or component of a sample
US7542148B2 (en) 2005-12-06 2009-06-02 Tokyo Electron Limited Method for measuring physical quantity of measurement object in substrate processing apparatus and storage medium storing program for implementing the method
US20070127036A1 (en) 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
KR100701974B1 (ko) 2005-12-14 2007-03-30 나노전광 주식회사 광위상 간섭계를 이용한 포토마스크 표면의 헤이즈검출장치 및 그 검출방법
US7612891B2 (en) 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
US8112246B2 (en) * 2005-12-22 2012-02-07 Taylor Hobson Limited Apparatus for and a method of determining surface characteristics
JP2007178261A (ja) * 2005-12-28 2007-07-12 Epson Imaging Devices Corp 電気光学装置用基板の検査方法、電気光学装置用基板の製造方法、及び検査装置
WO2007083376A1 (ja) * 2006-01-19 2007-07-26 Shofu Inc. 光コヒーレンストモグラフィー装置および計測ヘッド
JP2007192675A (ja) * 2006-01-19 2007-08-02 Canon Inc 干渉計測方法、装置及びそれを搭載した露光装置
WO2007087301A2 (en) 2006-01-23 2007-08-02 Zygo Corporation Interferometer system for monitoring an object
US7564568B2 (en) 2006-03-02 2009-07-21 Zygo Corporation Phase shifting interferometry with multiple accumulation
US7456956B2 (en) 2006-03-21 2008-11-25 Thermo Electron Scientific Instruments Llc Vibrational circular dichroism spectrometer using reflective optics
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry
US7710580B2 (en) 2006-10-27 2010-05-04 Zygo Corporation Vibration resistant interferometry
EP2095065A4 (en) 2006-11-15 2010-11-24 Zygo Corp MEASURING SYSTEMS FOR DISTANCE MEASUREMENT INTERFEROMETER AND COORDINATOR FOR LITHOGRAPHY TOOL
JP4869895B2 (ja) * 2006-12-07 2012-02-08 富士フイルム株式会社 光断層画像化装置
US7894075B2 (en) 2006-12-11 2011-02-22 Zygo Corporation Multiple-degree of freedom interferometer with compensation for gas effects
WO2008073486A2 (en) 2006-12-11 2008-06-19 Zygo Corporation Multiple-degree of freedom interferometer with compensation for gas effects
WO2008076979A1 (en) * 2006-12-18 2008-06-26 Zygo Corporation Sinusoidal phase shifting interferometry
JP5502491B2 (ja) 2006-12-22 2014-05-28 ザイゴ コーポレーション 表面特徴の特性測定のための装置および方法
US7505863B2 (en) * 2007-07-13 2009-03-17 Veeco Instruments, Inc. Interferometric iterative technique with bandwidth and numerical-aperture dependency
DE102008001482A1 (de) * 2008-04-30 2009-11-05 Robert Bosch Gmbh Interferometrische Anordnung sowie Verfahren zum Einstellen eines Gangunterschieds
WO2010021343A1 (ja) * 2008-08-20 2010-02-25 浜松ホトニクス株式会社 観察装置および観察方法
US8120781B2 (en) * 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
US8422026B2 (en) * 2009-06-15 2013-04-16 Artur G. Olszak Spectrally controllable light sources in interferometry

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000065517A (ja) 1998-08-18 2000-03-03 Tokyo Seimitsu Co Ltd 正弦波状波長走査干渉計及び正弦波状波長走査光源装置
KR100270365B1 (ko) 1998-09-17 2001-01-15 김상국 고속 주사 간섭계 시스템
JP2005333141A (ja) 2004-05-19 2005-12-02 Asml Holding Nv ダイナミックピューピルフィルシアリング干渉計

Also Published As

Publication number Publication date
US20100128280A1 (en) 2010-05-27
KR20120053043A (ko) 2012-05-24
US8379218B2 (en) 2013-02-19
EP2238430A4 (en) 2012-02-01
WO2010062860A3 (en) 2010-08-26
TW201037267A (en) 2010-10-16
US20130155413A1 (en) 2013-06-20
JP2011508241A (ja) 2011-03-10
US7978338B2 (en) 2011-07-12
US20100128283A1 (en) 2010-05-27
KR101530898B1 (ko) 2015-06-23
WO2010062853A2 (en) 2010-06-03
US8120781B2 (en) 2012-02-21
KR20100094584A (ko) 2010-08-26
CN102057269A (zh) 2011-05-11
WO2010062860A2 (en) 2010-06-03
US8902431B2 (en) 2014-12-02
EP2238430B9 (en) 2016-07-13
US20100128276A1 (en) 2010-05-27
US8004688B2 (en) 2011-08-23
EP2238430B1 (en) 2016-03-30
US20100128278A1 (en) 2010-05-27
EP2238430A2 (en) 2010-10-13
JP5536667B2 (ja) 2014-07-02
CN102057269B (zh) 2014-08-13
TWI425184B (zh) 2014-02-01
WO2010062853A3 (en) 2010-08-19

Similar Documents

Publication Publication Date Title
KR101191842B1 (ko) 저간섭성 주사 간섭계에서의 주사 오차 보정
TWI425188B (zh) 顯微鏡系統和成像干涉儀系統
TWI428559B (zh) 在低同調干涉下系統性效應之補償方法和系統
EP2232195B1 (en) Analyzing surface structure using scanning interferometry
TWI489083B (zh) 使用相位移之干涉量測信號的同調掃描干涉量測方法
US8107084B2 (en) Interference microscope with scan motion detection using fringe motion in monitor patterns
EP1883781B1 (en) Analyzing low-coherence interferometry signals for thin film structures
US7324210B2 (en) Scanning interferometry for thin film thickness and surface measurements
Nakata et al. and a phase shifter

Legal Events

Date Code Title Description
A201 Request for examination
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150924

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161005

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170928

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180928

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191002

Year of fee payment: 8