KR100586387B1 - 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치 - Google Patents

플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치 Download PDF

Info

Publication number
KR100586387B1
KR100586387B1 KR1020050125316A KR20050125316A KR100586387B1 KR 100586387 B1 KR100586387 B1 KR 100586387B1 KR 1020050125316 A KR1020050125316 A KR 1020050125316A KR 20050125316 A KR20050125316 A KR 20050125316A KR 100586387 B1 KR100586387 B1 KR 100586387B1
Authority
KR
South Korea
Prior art keywords
plasma
probe
chamber
light
measurement
Prior art date
Application number
KR1020050125316A
Other languages
English (en)
Other versions
KR20060001944A (ko
Inventor
다츠오 마츠도
스미에 세가와
치시오 고시미즈
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060001944A publication Critical patent/KR20060001944A/ko
Application granted granted Critical
Publication of KR100586387B1 publication Critical patent/KR100586387B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/66Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence
    • G01N21/68Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence using high frequency electric fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

본 발명의 플라즈마 전자 밀도 측정장치는 저전자 밀도 조건이나 고압력 조건하에서도 플라즈마중의 전자 밀도를 정확하게 측정한다.
이 플라즈마 전자 밀도 측정장치는, 측정부(54)에 벡터식의 네트워크 분석기(68)를 구비한다. 이 네트워크 분석기(68)에 의해 복소수 표시의 반사계수를 측정하여, 그 허수부의 주파수 특성을 취득하고, 계측 제어부(74)에 있어서 복소반사계수의 허수부가 제로 크로스하는 포인트의 공진 주파수를 판독하여, 공진 주파수로부터 전자 밀도의 측정값을 산출한다.

Description

플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및 플라즈마 처리장치{METHOD AND APPARATUS FOR MEASURING ELECTRON DENSITY OF PLASMA AND PLASMA PROCESSING APPARATUS}
도 1은 본 발명의 제 1 실시예에 의한 플라즈마 전자 밀도 측정 방법 및 장치를 적용한 플라즈마 처리장치의 구성을 도시하는 도면,
도 2는 실시예의 프로브 구조의 주요부를 도시하는 부분 확대 단면도,
도 3은 실시예의 측정부에 있어서의 벡터 네트워크 분석기 및 계측 제어부의 주요부의 구성을 나타내는 블록도,
도 4는 실시예에 있어서의 제 1 일괄측정 공정의 일 상태를 모식적으로 도시하는 도면,
도 5는 실시예에 있어서의 제 2 일괄측정 공정의 일 상태를 모식적으로 도시하는 도면,
도 6은 본 발명의 플라즈마 공진 프로브법에 의해서 얻어지는 복소반사계수의 절대값, 실수부 및 허수부의 주파수 특성(실험 데이터)을 도시하는 도면,
도 7a는 본 발명의 플라즈마 공진 프로브법에서 의해 얻어지는 전자 밀도 측정감도의 일례(실험 데이터)를 도시하는 도면,
도 7b는 본 발명의 플라즈마 공진 프로브법에 의해서 얻어지는 전자 밀도 측정감도의 일례(실험 데이터)를 도시하는 도면,
도 8은 본 발명에서 얻어지는 전자 밀도의 측정값 데이터를 플라즈마 흡수 프로브법에 의해서 얻어지는 전자 밀도의 측정값 데이터와 대비하여 도시하는 도면,
도 9는 비교적 낮은 압력 조건(15mTorr)하에서 얻어지는 복소반사계수의 주파수 특성(실험 데이터)을 도시하는 도면,
도 10은 고압력 조건(800mTorr)하에서 얻어지는 복소반사계수의 주파수 특성(실험 데이터)을 도시하는 도면,
도 11은 고압력 조건(1600mTorr)하에서 얻어지는 복소반사계수의 주파수 특성(실험 데이터)을 도시하는 도면,
도 12는 본 발명에 의해 고압력 조건(2000mTorr)하에서 얻어진 전자 밀도 분포 특성의 일례(실험 데이터)를 도시하는 도면,
도 13은 본 발명의 다른 실시예에 의한 플라즈마 전자 밀도 측정장치를 적용한 플라즈마 처리장치의 구성을 도시하는 도면,
도 14a는 도 13의 실시예에서 사용 가능한 프로브 유닛의 구성예를 도시하는 단면도,
도 14b는 도 13의 실시예에서 사용 가능한 프로브 유닛의 구성예를 도시하는 단면도,
도 15는 도 13의 실시예에서 사용 가능한 프로브부의 구성예를 도시하는 사 시도,
도 16은 본 발명의 다른 실시예에 의한 플라즈마 전자 밀도 측정장치를 적용한 플라즈마 처리장치의 구성을 도시하는 도면,
도 17은 시즈닝의 에칭 사이클에 있어서 웨이퍼상의 각 위치에서 에칭레이트가 점차적으로 감소하여 안정화되는 모양의 일례를 도시하는 도면,
도 18은 시즈닝의 에칭 사이클에 있어서의 에칭레이트의 평균값과 전자 밀도의 시간적 변동을 도시하는 도면,
도 19는 본 발명의 제 2 실시예에 의한 플라즈마 모니터링 방법 및 장치를 적용한 플라즈마 처리장치의 구성을 도시하는 도면,
도 20은 실시예에 있어서의 플라즈마 모니터링의 대략적인 순서를 나타내는 플로우 챠트,
도 21은 제 2 실시예의 플라즈마 모니터링에 있어서의 제 1 일괄측정 공정의 상세한 순서를 나타내는 플로우 차트,
도 22는 제 2 실시예의 플라즈마 모니터링에 있어서의 제 2 일괄측정 공정의 상세한 순서를 나타내는 플로우 차트,
도 23은 제 2 실시예에 있어서 얻어지는 전자 밀도의 공간 분포 특성의 일례(실시예)를 비교예와 대비하여 나타내는 그래프,
도 24는 일 실시예에 있어서의 노이즈 피크의 주파수 특성을 나타내는 그래프,
도 25는 일 실시예에 있어서의 프로브 삽입 길이에 의존하는 정재파(定在波) 노이즈의 피크 주파수의 실측값과 계산값을 나타내는 그래프,
도 26a는 일 실시예에 있어서의 전자파 흡수체의 노이즈 흡수 효과를 나타내는 주파수 특성의 그래프,
도 26b는 일 실시예에 있어서의 전자파 흡수체의 노이즈 흡수 효과를 나타내는 주파수 특성의 그래프,
도 27은 일 실시예에 있어서의 전자파 흡수체의 노이즈 흡수 효과를 나타내는 반사의 주파수 특성의 그래프,
도 28은 일 실시예에 있어서의 전자파 흡수체의 증강에 의한 시그널 증가의 효과를 나타내는 주파수 특성의 그래프,
도 29는 일 실시예에 있어서의 전자 밀도의 공간 분포 특성을 나타내는 그래프,
도 30은 일 실시예에 있어서의 전자 밀도의 공간 분포 특성을 나타내는 그래프,
도 31은 일 실시예에 있어서의 전자 밀도의 공간 분포 특성을 나타내는 그래프,
도 32는 본 발명의 제 3 실시예에 의한 플라즈마 발광 계측방법 및 장치를 적용한 플라즈마 처리장치의 구성을 도시하는 도면,
도 33은 제 3 실시예에 있어서의 프로브의 주요부의 구성과 작용을 나타내는 부분 확대 단면도,
도 34a는 다른 실시예에 의한 프로브 구조를 도시하는 부분 확대 단면도,
도 34b는 다른 실시예에 의한 프로브 구조를 나타내는 부분 확대 단면도,
도 35는 제 3 실시예에 있어서의 프로브와 다발(bundle) 화이버의 작용을 모식적으로 도시하는 도면,
도 36은 일 실시예에 있어서의 프로브의 구조와 작용을 도시하는 부분 확대 단면도,
도 37은 제 3 실시예에 있어서 프로브에 이용하는 석영 로드의 굴절율과 컷트각과의 관계를 나타내는 그래프,
도 38a는 제 3 실시예에 있어서 에칭레이트의 면내분포의 일례를 도시하는 도면,
도 38b는 제 3 실시예에 있어서 플라즈마 발광의 공간 분포의 일례를 도시하는 도면,
도 39a는 제 3 실시예에 있어서 에칭레이트의 면내분포의 일례를 도시하는 도면,
도 39b는 제 3 실시예에 있어서 플라즈마 발광의 공간 분포의 일례를 도시하는 도면,
도 40a는 제 3 실시예에 있어서 에칭레이트의 면내분포의 일례를 도시하는 도면,
도 40b는 제 3 실시예에 있어서 플라즈마 발광의 공간 분포의 일례를 도시하는 도면,
도 41은 다른 실시예에 의한 플라즈마 발광 계측방법 및 장치를 적용한 플라 즈마 처리장치의 구성을 도시하는 도면,
도 42는 도 41의 플라즈마 처리장치에 있어서 플라즈마 분광 계측시의 상태를 도시하는 도면,
*도 43은 도 41의 플라즈마 발광 계측장치의 작용을 도시하는 도면,
도 44는 다른 실시예에 의한 플라즈마 발광 계측방법을 도시하는 도면,
도 45는 일 변형예에 의한 광 전송 프로브의 주요부의 구성을 도시하는 도면,
도 46은 일 변형예에 의한 광 전송 프로브의 주요부의 구성을 도시하는 도면,
도 47은 일 변형예에 의한 광 전송 프로브의 주요부의 구성을 도시하는 도면,
도 48은 본 발명의 플라즈마 발광 계측법에 의한 챔버내 이상 방전 모니터링 기능의 실험 결과를 나타내는 그래프,
도 49는 본 발명의 플라즈마 공진 프로브법에 의한 챔버내 이상 방전 모니터링 기능의 실험 결과를 나타내는 그래프,
도 50은 종래의 플라즈마 흡수 프로브법을 설명하기 위한 도면.
도면의 주요 부분에 대한 부호의 설명
10 : 챔버 10a : 관통구멍(지지부)
16 : 서셉터(하부전극) 18, 38 : 고주파 전원
20 : 주 제어부 24 : 상부전극
34 : 처리가스 공급부 50 : 절연관
52 : 동축 케이블 52a : 프로브부(안테나 프로브)
54 : 측정부 56 : 리니어 액츄에이터
58 : O 링 62 : 어스용 도체
66 : 전자파 흡수체 68 : 벡터 네트워크 분석기
74 : 측정 제어부 80 : 냉각 가스 공급부
82 : 가스관 84 : 반사계수 측정부
90 : 허수부 메모리 92 : 공진 주파수 판독부
94 : 전자 밀도 연산부 100, 102, 104 : 프로브 유닛
108 : 창부재 114 : 셀렉터 스위치
120 : 스칼라 네트워크 분석기 122 : 계측 제어부
130 : 광 전송 프로브 132 : 계측부
134 : 다발 화이버 136 : 캡
140 : 미러 142 : 창
144 : 채광부 146 : 클래드
148 : 검정 도료 150 : 분광기
152 : 광전 변환기 154 : 계측 연산부
160 : 셔터 162 : 구멍
164 : 광 전송 프로브 166 : 벨로우즈
170 : 배기장치 172 : 히터
본 발명은, 플라즈마 처리장치 등에 있어서의 챔버내의 플라즈마를 모니터링하는 기술에 관한 것으로, 특히 플라즈마중의 전자 밀도나 플라즈마로부터의 발광을 계측하는 플라즈마 모니터링 방법 및 장치에 관한 것이다.
반도체 장치나 FPD(Flat Panel Display)의 제조 프로세스에 있어서의 에칭, 퇴적, 산화, 스퍼터링 등의 처리에서는, 처리 가스에 비교적 저온에서 양호한 반응을 실행시키기 위해서 플라즈마가 많이 이용되고 있다. 일반적으로, 플라즈마 처리장치에 있어서는, 높은 양품률을 얻기 위해서 기판의 피 처리면에 걸쳐서 균일하게 플라즈마 처리를 실시할 필요가 있고, 그를 위해서는 처리 공간에 플라즈마 밀도, 즉 전자 밀도가 균일한 상태로 분포하도록 플라즈마를 생성할 필요가 있다. 이 점으로부터, 플라즈마 처리 장치의 설계 단계 또는 설비 단계에서, 챔버내의 처리 공간에 어떠한 전자 밀도의 분포로 플라즈마가 생성하는지를 파악하기 위해서 플라즈마중의 전자 밀도를 정확히 측정할 수 있는 기술이 필요 불가결로 되고 있다.
최근, 이러한 종류의 모니터링 기술로서 플라즈마 흡수 프로브(PAP: Plasma Absorption Probe)법이 주목되고 있다. 이 모니터링법은, 안테나 프로브를 절연관에 의해 피복함으로써, 랑그뮈어(Langmuir) 프로브법과 같이 플라즈마 전위를 어지럽히거나, 챔버내에 금속오염을 초래하는 일이 없기 때문에, 반응성 가스의 플라즈마에서도 계측할 수 있다. 또한, 기가 헤르쯔(GHz) 대역의 계측이기 때문에, 절연관 표면에 유도성의 퇴적막이 부착되더라도 영향을 받기 어려워, 퇴적성 가스의 플라즈마에서도 계측할 수 있는 등의 장점이 있다.
종래의 플라즈마 흡수 프로브법은(예를 들면 특허 문헌 1, 2, 3 참조), 도 50에 도시하는 바와 같이 챔버(200)의 측벽에 마련한 관통 구멍(200a)에 선단부가 닫힌 절연관(202)을 미끄럼운동 가능하게 장착하고, 선단부의 심선을 수 mm 정도 노출시킨 프로브부(204a)를 갖는 동축 케이블(204)을 절연관(202) 안에 삽입하고, 동축 케이블(204)의 타 단부를 스칼라식의 네트워크 분석기(206)에 접속한다. 챔버(200)내에는, 플라즈마 발생기구로서 예를 들면 고주파 전원(도시하지 않음)에 접속되어 있는 평행평판형의 상부전극(208) 및 하부전극(210)이 배치되고, 감압하에서 양 전극(208, 210)간의 갭 공간에 처리 가스가 공급됨으로써 해당 처리 가스의 플라즈마(PZ)가 생성된다. 도시의 예에서는, 하부전극(210) 위에 피 처리 기판(W)이 탑재된다. 챔버측벽에 마련되는 절연관 부착용의 관통구멍(200a)에는, 절연관(202)을 지지하고, 또한 진공 밀봉하는 O 링(212)이 장착된다.
스칼라 네트워크 분석기(206)는, 예를 들면 수백 MHz에서 수 GHz의 대역에서 주파수 스위핑(sweeping)하면서 각 주파수에 대하여 미소 전력의 전자파 신호(입사파)를 동축 케이블(204)의 프로브부(204a)에 보내어 챔버내의 플라즈마(PZ)를 향하 여 방사하고, 플라즈마(PZ)로부터 반사되어 온 전자파(반사파)의 파워량과 입사파의 파워량의 비로부터 스칼라 표시의 반사계수를 구하여, 그 주파수 특성을 취득한다. 보다 상세하게는, 프로브부(204a)의 위치를 소망하는 측정위치에 맞추고, 우선 플라즈마 생성용의 고주파 전원을 오프로 함과 동시에 처리 가스의 공급을 멈추고, 챔버(200)내에 플라즈마(PZ)가 존재하지 않은 상태하에서 네트워크 분석기(206)에 의해 반사계수의 주파수 특성[Γ(f)](S11파라미터)을 취득하고, 이 측정 데이터를 메모리에 기억한다. 이어서, 고주파 전원을 온으로 함과 동시에 처리 가스를 공급하여, 챔버(200)내에 플라즈마(PZ)가 생성되어 있는 상태하에서 스칼라 네트워크 분석기(206)에 의해 반사계수[Γ(pf)]의 주파수 특성을 취득한다. 그리고, 양 반사계수의 비[Γ(pf)/Γ(f)]의 주파수 특성에 있어서 파형이 극소(최소 피크)로 되는 때의 주파수를 플라즈마 흡수 주파수로 한다. 또한, 이 플라즈마 흡수 주파수가 플라즈마중의 전자 진동수[fp(=1/2π*√(e2* Ne/me0)]와 동일하다고 간주하여, 다음식 (1)로부터 전자 밀도(Ne)를 산출한다.
Ne= me0*(1+εr)*(2πfp/e)2=O.O12*(1+εr)*fp 2[m-3] …(1)
여기서, me는 전자질량, ε0는 진공 유전율, εr은 절연관의 비유전률, e는 소전하(素電荷)이다.
플라즈마(PZ)중의 전자 밀도의 공간 분포를 조사하기 위해서는, 절연관(202)을 축방향(길이 방향)으로 밀거나 당기거나 하여 프로브부(204a)를 복수의 측정위 치에 순차적으로 이동시키고, 상기한 바와 같이 각 측정위치마다 플라즈마 생성의 온/오프를 전환하여 그 때마다 스칼라 네트워크 분석기(206)에 의해 반사계수의 주파수 특성[Γ(f), Γ(pf)]을 취득하고, 플라즈마 흡수 주파수 내지 전자 밀도의 연산을 실행한다. 통상적으로는 챔버(200)의 직경방향에서 프로브부(204a)의 위치, 즉 측정위치를 소망하는 피치로 스텝 이동시켜, 각 측정위치에서 구한 전자 밀도의 측정값을 그래프상에 플롯한다.
또한, 종래부터 플라즈마 프로세싱의 장치 개발이나 프로세스 개발 또는 실제 프로세스에 있어서, 프로세스 챔버내의 플라즈마 발광을 계측하는 모니터링기술이 이용되고 있다. 종래의 플라즈마 발광 계측법은, 프로세스 챔버의 측벽에 부착한 창을 통해서 챔버내의 플라즈마 발광을 계측한다. 전형적으로는, 창으로부터 밖으로 나온 플라즈마 광을 분광기 또는 광 필터에 통하여 특정 파장의 스펙트럼을 취출하고, 취출한 스펙트럼의 강도나 변화 등을 계측하도록 한다(예를 들면 특허 문헌 4 참조).
(특허 문헌 1)
일본 특허 공개공보 제2000-100598호
(특허 문헌 2)
일본 특허 공개공보 제2000-100599호
(특허 문헌 3)
일본 특허 공개공보 제2001-196199호
(특허 문헌 4)
일본국 특허 공개공보 제1998-270417호
그러나, 상기한 바와 같은 플라즈마 흡수 프로브법에서는, 반사계수의 주파수 특성에 있어서의 파형의 프로파일에 의해서 플라즈마 흡수 주파수의 측정값이 크게 좌우되어, 전자 밀도의 측정값에 격차가 나기 쉽다고 하는 문제가 있다. 즉, 반사계수의 주파수 특성에 있어서 흡수 피크(최소 피크)가 선단부가 뾰족한 각형상의 파형으로 나타날 때에는 피크 점의 주파수, 즉 플라즈마 흡수 주파수를 정확히 측정할 수 있지만, 흡수 피크(최소 피크)가 선단부가 둥근 브로드(broad)의 파형으로 나타날 때에는 피크 점이 불명확하여, 측정값에 오차가 발생하기 쉽다. 그와 같은 브로드의 흡수 피크 파형은, 전형적으로는 측정점의 플라즈마 밀도(전자 밀도)가 낮을 때에 나타난다. 또한, 고압력 조건에서는, 플라즈마 중의 가스 분자 충돌에 의한 신호 전력 흡수가 무시할 수 없게 되어, 노이즈가 증대하고, 이에 의해 전자 진동에 의한 정미(正味)의 전력 흡수를 관측하기 어렵게 되어, S/N이 저하한다.
또한, 상기한 바와 같은 종래의 플라즈마 흡수 프로브법에서는, 측정위치를 바꿀 때마다 플라즈마 생성의 온/오프를 되풀이하기 때문에, 1개의 측정위치마다 수분의 측정 시간이 필요하다. 또한, 측정위치를 바꾸는 데 절연관(202)을 미끄럼 운동시키는 방식이기 때문에, 다음 측정위치로 옮기기까지의 프로브부(202a)의 이동 내지 위치 정렬에도 상당한 시간을 필요로 한다. 이 때문에, 측정 포인트를 10곳 정도로 선택한 경우라 하더라도 전체 측정 시간은 수십분 이상 걸린다. 측정 포인트의 스텝 거리 또는 간격을 짧게 하여 플라즈마 전자 밀도의 공간 분포를 상 세히 평가하고자 하면, 다수(예를 들면 100 이상)의 측정 포인트를 필요로 하기 때문에, 전체 측정 시간은 족히 수 시간을 넘겨버린다. 또한, 플라즈마·프로세스의 입력 파라미터(RF 전력, 압력, 가스종, 전극간 거리, 전극 구조, 챔버 구조·재질 등)에 대한 플라즈마 전자 밀도의 의존성 또는 상관성을 상세히 평가하는 경우에는, 매우 많은 측정 시간이 걸려 버린다. 이 문제는, 직경이 큰 챔버를 갖는 300mm 직경 웨이퍼나 FPD용의 처리장치에서 특히 심각하다.
또한, 상기한 바와 같은 종래의 플라즈마 발광 계측법에 의하면, 챔버내의 플라즈마 발광을 챔버측벽의 창을 통하여 평균값으로 밖에 계측할 수 없고, 챔버내의 공간 분포로서 계측할 수는 없다. 이 때문에, 피 처리 기판에 있어서의 프로세스 결과의 면내분포와 플라즈마 발광의 공간 분포와의 상관성과 같은 것은 조사할 수 없다.
본 발명은, 이러한 종래 기술의 문제점을 감안하여 이루어진 것으로, 임의의 플라즈마 조건하에서, 특히 저전자 밀도 조건이나 고압력 조건하에서도, 플라즈마중의 전자 밀도를 고정밀도로 측정할 수 있도록 한 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및 플라즈마 처리장치를 제공하는 것을 목적으로 한다.
본 발명의 다른 목적은, 플라즈마중의 전자 밀도를 효율적으로 단시간에 측정할 수 있도록 한 플라즈마 모니터링 방법 및 플라즈마 모니터링 장치를 제공하는 것에 있다.
본 발명의 다른 목적은, 측정위치의 재현성을 보증하여, 프로브 구조의 안정성 및 내구성을 향상시키고, 플라즈마에 미치는 영향을 안정화시켜, S/N 특성을 개선하고, 플라즈마중의 전자 밀도에 대하여 안정되고 고정밀도의 측정을 가능하게 하는 플라즈마 모니터링 방법 및 플라즈마 모니터링 장치를 제공하는 것에 있다.
본 발명의 다른 목적은, 대기중 또는 측정기로의 RF 노이즈의 리크(leak)를 효과적으로 방지하여, 인체나 측정기기의 안전성을 확보하는 플라즈마 모니터링 방법 및 플라즈마 모니터링 장치를 제공하는 것에 있다.
본 발명의 다른 목적은, 플라즈마를 어지럽히는 일없이 챔버내의 공간 분포로서 플라즈마 발광을 계측할 수 있도록 한 신뢰성이 높은 플라즈마 모니터링 방법 및 플라즈마 모니터링 장치를 제공하는 것에 있다.
본 발명의 다른 목적은, 실제 프로세스중에도 챔버내의 공간 분포로서 플라즈마 발광을 계측할 수 있도록 한 플라즈마 모니터링 방법 및 플라즈마 모니터링 장치를 제공하는 것에 있다.
본 발명의 다른 목적은, 기판의 피 처리면에 대하여 플라즈마 밀도의 균일성 나아가서는 플라즈마 처리의 균일성을 보증할 수 있는 플라즈마 처리장치를 제공하는 것에 있다.
상기의 목적을 달성하기 위해서, 본 발명의 제 1 관점에 의한 플라즈마 모니터링 방법은, 소정의 공간내에 존재하는 플라즈마의 안 또는 그 부근에 설정한 소망하는 모니터 위치에 안테나 프로브를 배치하는 공정과, 상기 안테나 프로브로부터 주파수 가변의 전자파를 방사하여 상기 플라즈마에 입사시키는 공정과, 상기 플 라즈마로부터 상기 안테나 프로브에 반사되어 온 전자파를 수신하는 공정과, 상기 입사파와 상기 반사파로부터 복소수 표시의 반사계수를 측정하여, 그 복소반사계수의 허수부를 취득하는 공정과, 상기 전자파의 주파수를 스위핑하여 상기 복소반사계수의 허수부의 값이 제로로 되는 공진 주파수를 측정하는 공정과, 상기 공진 주파수의 측정값에 근거하여 상기 플라즈마중의 전자 밀도를 산출하는 공정을 갖는다.
또한, 본 발명의 제 1 관점에 의한 플라즈마 모니터링 장치는, 플라즈마의 생성 또는 도입이 가능한 챔버의 벽 또는 실내에 배치되는 안테나 프로브와, 주파수를 스위핑하면서, 각 주파수의 전자파를 상기 안테나 프로브에 보내어 상기 플라즈마를 향하여 방사시키고, 상기 플라즈마로부터 상기 안테나 프로브를 거쳐서 반사되어 오는 반사파를 수신하여, 복소수 표시의 반사계수를 측정하는 벡터식의 반사계수 측정부와, 상기 반사계수 측정부에서 취득되는 상기 복소반사계수의 허수부가 제로의 값을 취하는 공진 주파수의 측정값을 구하는 공진 주파수 측정부와, 상기 공진 주파수의 측정값에 근거하여 상기 플라즈마중의 전자 밀도를 산출하는 전자 밀도 연산부를 갖는다.
본 발명에서는, 벡터식의 반사계수 측정부를 이용하여, 복소수 표시의 반사계수를 측정하여, 복소반사계수의 허수부를 취득한다. 그리고, 공진 주파수 측정부에서 복소반사계수의 허수부가 제로로 되는 공진 주파수를 측정하고, 공진 주파수의 측정값을 기초로 전자 밀도 연산부에서 플라즈마중의 전자 밀도를 산출한다. 본 발명에 있어서는, 전자파에 대한 플라즈마 리액턴스(reactance)의 신호 전송 특 성을 복소반사계수의 허수부를 통하여 모니터링하고, 복소반사계수의 허수부가 제로로 될 때의 주파수를 플라즈마 리액턴스가 직렬 공진 상태로 되어 란다우 감쇠(Landau damping)가 발생하는 공진 주파수로 간주하여, 이 공진 주파수의 측정값으로부터 전자 밀도의 측정값을 구한다.
바람직한 일 형태에 의하면, 반사계수 측정부에 의해 전자파의 주파수를 스위핑하여 복소반사계수의 허수부에 대하여 주파수 특성을 취득하고, 이 주파수 특성을 기초로 공진 주파수 측정부에서 복소반사계수의 허수부의 부호가 부(-)에서 정(+)으로 변하는 포인트 또는 정(+)에서 부(-)로 변하는 포인트의 주파수를 공진 주파수로 하여 산출한다.
또한, 바람직한 일 형태에 의하면, 상기 피 모니터 공간내에 플라즈마가 존재하지 않는 상태하에서, 전자파의 주파수를 스위핑하여 복소반사계수의 허수부에 대하여 제 1 주파수 특성을 취득하고, 상기 피 모니터 공간내에 플라즈마가 존재하는 상태하에서, 전자파의 주파수를 스위핑하여 복소반사계수의 허수부에 대하여 제 2 주파수 특성을 취득하며, 제 1 주파수 특성과 제 2 주파수 특성으로부터 정규의 주파수 특성을 구한다. 이 방식에 의하면, 측정 포인트의 수에 관계없이 플라즈마 ON/OFF의 전환 시간을 1회로 끝내어, 전체의 측정 시간을 대폭 단축할 수 있다.
본 발명의 플라즈마 처리장치는, 피 처리체를 수용하는 챔버와, 상기 챔버내에 소정의 가스를 공급하는 가스 공급부와, 상기 챔버내에서 상기 가스를 방전시켜 상기 피 처리체에 소망하는 처리를 실시하기 위한 플라즈마를 생성하는 플라즈마 발생부와, 상기 챔버내를 감압하여 소망하는 압력으로 유지하기 위한 배기부와, 본 발명의 플라즈마 모니터링 장치를 갖는다.
이러한 구성에 있어서는, 본 발명의 플라즈마 모니터링 장치를 이용함으로써, 챔버내의 플라즈마 밀도의 상태 나아가서는 플라즈마 프로세싱의 상황을 정확히 모니터링하여, 플라즈마 처리의 품질을 향상시킬 수 있다.
본 발명의 플라즈마 처리장치에 있어서, 바람직한 일 형태에 의하면, 플라즈마 전자 밀도 측정장치로부터 얻어지는 전자 밀도의 측정값에 근거하여 챔버내의 플라즈마 처리의 상태를 모니터링하는 모니터부가 마련된다. 보다 바람직하게는, 전자 밀도의 측정값이 소정의 범위내로 유지되도록, 플라즈마 처리를 좌우하는 프로세스 파라미터 중 적어도 하나를 제어하는 프로세스 제어부가 구비되어도 무방하다.
또한, 바람직한 일 형태로서, 챔버의 클리닝 또는 부품 교환후의 프로세스 조건에 대하여, 플라즈마 모니터링 장치로부터 얻어지는 전자 밀도의 측정값의 경시적(經時的)인 변화의 특성에 근거하여 시즈닝(seasoning)을 완료시키는 시즈닝 제어부가 구비된다. 바람직한 일 형태에 의하면, 시즈닝 제어부가, 챔버에 교체 반입되어 플라즈마 처리를 받는 각각의 더미 기판에 대하여 플라즈마 처리의 기간중에 시간적으로 변화하는 전자 밀도의 측정값의 대표점을 구하고, 서로 전후하는 더미 기판 사이에서 대표점이 실질적인 정상값으로 안정된 시점에서 시즈닝을 완료시켜, 챔버에 반입하는 기판을 더미 기판으로부터 정규의 피 처리 기판으로 전환한다.
또한, 바람직한 일 형태로서, 플라즈마 전자 밀도 측정장치의 안테나 프로브 를 챔버의 벽에 부착하는 구성, 플라즈마를 생성하기 위한 전극에 부착하는 구성, 또는 피 처리체를 탑재하기 위한 탑재대에 부착하는 구성이 채용될 수 있다.
또한, 바람직한 일 형태로서, 다른 장소에 배치되어 있는 복수의 안테나 프로브 중에서 어느 하나를 선택하여 상기 반사계수 측정부에 전기적으로 접속하기 위한 셀렉터 스위치를 구비하여도 무방하다. 이 셀렉터 스위치에 의해 복수의 안테나 프로브를 시분할방식으로 순차적으로 반사계수 측정부에 전기적으로 접속함으로써, 복수 모니터 위치의 동시 측정을 1대의 계측기에 의해 효율적으로 실현할 수 있다.
본 발명의 제 2 관점에 의한 플라즈마 모니터링 방법은, 소정의 공간내에 존재하는 플라즈마의 안 또는 그 부근에 설정한 소망하는 모니터 위치에 안테나 프로브를 배치하는 공정과, 상기 안테나 프로브로부터 주파수 가변의 전자파를 방사하여 상기 플라즈마에 입사시키는 공정과, 상기 플라즈마로부터 상기 안테나 프로브에 반사되어 온 전자파를 수신하는 공정과, 상기 입사파와 상기 반사파와의 위상차를 측정하는 공정과, 상기 전자파의 주파수를 스위핑하여 상기 위상차가 제로로 되는 공진 주파수를 측정하는 공정과, 상기 공진 주파수의 측정값에 근거하여 상기 플라즈마중의 전자 밀도를 산출하는 공정을 갖는다.
또한, 본 발명의 제 2 관점에 의한 플라즈마 모니터링 장치는, 플라즈마의 생성 또는 도입이 가능한 챔버의 벽 또는 실내에 배치되는 안테나 프로브와, 주파수를 스위핑하면서, 각 주파수의 전자파를 상기 안테나 프로브에 보내어 상기 플라즈마를 향하여 방사시키고, 상기 플라즈마로부터 상기 안테나 프로브를 거쳐서 반 사되어 오는 반사파를 수신하여, 입사파와 반사파의 위상차를 측정하는 위상차 측정부와, 상기 위상차 측정부에서 취득되는 상기 위상차가 제로로 되는 공진 주파수의 측정값을 구하는 공진 주파수 측정부와, 상기 공진 주파수의 측정값에 근거하여 상기 플라즈마중의 전자 밀도를 산출하는 전자 밀도 연산부를 갖는다.
상기 제 2 관점에 의한 플라즈마 모니터링 방법 또는 장치에 있어서는, 위상차 측정부에서 측정되는 입사파와 반사파의 위상차의 부합은 복소반사계수의 허수부의 부합에 대응하고, 위상차가 제로로 되는 주파수는 복소반사계수의 허수부가 제로로 되는 주파수, 즉 공진 주파수이다. 따라서, 위상차로부터 산출한 공진 주파수로부터도 정밀도가 높은 전자 밀도의 측정값을 구할 수 있다.
본 발명의 제 3 관점에 의한 플라즈마 모니터링 방법은, 플라즈마의 생성 또는 도입이 가능한 챔버의 실내에 절연관을 삽입하여 장착하는 공정과, 선단부의 심선을 노출시킨 프로브부를 갖는 동축 케이블을 상기 절연관의 관내에 삽입하는 공정과, 상기 챔버내에 플라즈마가 존재하지 않는 상태하에서, 상기 절연관내의 상기 프로브부로부터 방출되는 전자파의 반사계수에 대하여 제 1 주파수 특성을 취득하는 공정과, 상기 챔버내에 플라즈마가 존재하는 상태하에서, 상기 절연관내의 상기 프로브부로부터 방출되는 전자파의 반사계수에 대하여 제 2 주파수 특성을 취득하는 공정과, 상기 제 1 주파수 특성과 상기 제 2 주파수 특성으로부터 플라즈마 흡수 주파수의 측정값을 구하는 공정을 갖는다.
본 발명의 제 3 관점에 의한 플라즈마 모니터링 장치는, 플라즈마의 생성 또는 도입이 가능한 챔버의 실내에 삽입하여 장착되는 절연관과, 선단부의 심선을 노 출시킨 프로브부를 갖고, 상기 절연관의 한쪽 단부로부터 관내에 삽입되는 동축 케이블과, 상기 절연관에 대하여 상기 동축 케이블을 축방향으로 이동시키는 엑츄에이터와, 주파수를 스위핑하면서, 각 주파수의 전자파 신호를 상기 동축 케이블의 프로브부에 일정 전력으로 보내어 주위의 공간에 방출시키고, 상기 프로브부을 거쳐서 반사되어 오는 신호의 레벨로부터 각 주파수마다의 반사계수를 측정하여, 반사계수의 주파수 특성을 구하는 스칼라식의 반사계수 측정부와, 상기 프로브부의 위치에 의해 부여되는 소망하는 측정위치에 대하여, 상기 챔버내에 플라즈마가 존재하지 않는 상태하에서 상기 반사계수 측정부로부터 얻어지는 제 1 주파수 특성과, 상기 챔버내에 플라즈마가 존재하는 상태하에서 상기 반사계수 측정부로부터 얻어지는 제 2 주파수 특성으로부터 플라즈마 흡수 주파수의 측정값을 구하는 측정 연산부를 갖는다.
상기 제 3 관점에 의한 플라즈마 모니터링 방법 또는 장치에 있어서도, 챔버내에 플라즈마가 존재하지 않는 상태(OFF 상태)에서의 반사계수의 측정과 플라즈마가 존재 또는 생성하고 있는 상태(ON 상태)에서의 반사계수의 측정을 각각 일괄하여 실행함으로써, 측정 포인트의 수에 관계없이 플라즈마 ON/OFF의 전환 시간을 1회로 끝내어, 전체의 측정 시간을 단축할 수 있다.
상기 제 3 관점의 바람직한 일 형태에 의하면, 챔버내에 플라즈마가 존재하지 않는 상태하에서, 동축 케이블을 절연관에 대하여 축방향으로 이동시켜, 복수의 측정위치에 대하여 제 1 주파수 특성을 각각 취득하고, 챔버내에 플라즈마가 존재하는 상태하에서 동축 케이블을 절연관에 대하여 축방향으로 이동시켜, 그들 복수 의 측정위치에 대하여 제 2 주파수 특성을 각각 취득하며, 그들 복수의 측정위치에 대하여 제 1 주파수 특성과 상기 제 2 주파수 특성으로부터 플라즈마 흡수 주파수의 측정값을 구한다. 이 경우, 바람직하게는, 프로브부를 복수의 측정위치에 순차적으로 위치 정렬하고, 각 측정위치마다 프로브부로부터 방출되는 전자파의 반사계수에 대하여 제 1 또는 제 2 주파수 특성을 취득하여 무방하다. 이 형태에 있어서는, 플라즈마 OFF 상태 또는 플라즈마 ON 상태하에서 프로브부를 짧은 택트(tact) 시간으로 차례차례 각 측정위치에 위치 정렬하고, 단시간 내에 전체 측정위치에 대하여 반사계수의 측정 데이터를 취득할 수 있다. 바람직하게는, 엑츄에이터를 사용하여, 동축 케이블을 절연관로부터 추출하는 방향으로 이동시켜, 프로브부를 이들 복수의 측정위치에 순차적으로 위치 정렬하도록 하여도 무방하다.
본 발명의 바람직한 일 형태에 의하면, 프로브부가 부착된 동축 케이블을 수용하는 절연관이 챔버의 측벽에 마련된 제 1 지지부와 제 2 지지부 사이에 걸쳐놓여진다. 이 경우, 바람직하게는, 제 1 및 제 2 지지부의 적어도 한쪽이 관통구멍에 의해 형성되어도 무방하다. 또한, 0 링에 의해 절연관을 관통구멍에 기밀하게 고정 설치하여도 무방하다.
이러한 가교형의 절연관 부착 구조에 의하면, 절연관은 챔버의 벽면의 2곳(제 1 및 제 2 지지부)에서 지지되기 때문에, 프로브 위치 정렬의 조작으로 흔들리거나 자중에 의해 휘거나 하지 않는다. 이에 의해, 프로브부를 소망하는 측정위치에 신속하고 또한 정확하게 위치 정렬할 수 있음과 동시에, 일정 라인상에 위치시킬 수 있어, 측정위치의 재현성을 보증할 수 있다. 또한, 프로브 위치 정렬시에 는, 챔버에 고정된 절연관에 대하여 동축 케이블을 축방향으로 이동시키면 좋고, 절연관이 지지부와 서로 마찰되는 일이 없기 때문에, 지지부가 손상 열화될 우려는 없다. 따라서, 프로브 기구의 안정성이 향상되어, 소모품 비용도 개선된다. 또한, 프로브 기구의 플라즈마에 미치는 영향[요란(擾亂: disturbance)]은 측정위치에 관계없이 일정하기 때문에, 측정 정밀도의 신뢰성도 높다. 또한, 동축 케이블의 프로브부로부터 본 절연관은 어떤 측정위치에서도 일정 또는 균일한 동축관 구조이고, 프로브부로부터 발진되는 전자파와 플라즈마와의 결합은 일정하기 때문에, 노이즈가 발생하기 어려워, 정밀도 및 재현성이 높은 계측을 보증할 수 있다. 물론, 챔버내에 절연관을 실질적으로 1곳의 지지부만으로 부착하는 외팔보(cantilever) 식의 절연관 부착 구조도 가능하다.
본 발명에 있어서, 바람직하게는, 동축 케이블의 외부 도체가 챔버를 거쳐서 접지 전위에 전기적으로 접속되어도 무방하다. 장치적으로는, 일 단부가 챔버의 접지 전위 부분에 접속되고, 타 단부가 동축 케이블의 외부 도체와 접속하는 어스용 도체를 마련하여도 무방하다. 이러한 방법 또는 구성에 의하면, 대기나 측정기에의 RF 노이즈의 리크를 효과적으로 방지하여, 인체나 계측 기기의 안전성을 확보함과 동시에, 가스 검지기 등의 주위의 전자 기기의 오동작을 회피할 수 있다.
또한, 바람직하게는, 챔버의 접지 전위 부분으로부터 연장되는 어스용 도체와 동축 케이블의 외부 도체가 접촉하는 위치보다도 프로브부측의 위치에서 노이즈 신호(전형적으로는 외부 도체를 전파하는 정재파에 기인하는 노이즈 신호)를 전자 유도를 통하여 전자파 흡수체에 흡수시키도록 하여도 무방하다. 전자파 흡수체의 바람직한 형태는, 동축 케이블에 축방향을 따라 장착되는 1개 또는 복수개의 비드형 페라이트부재이다. 이러한 방법 또는 구성에 의하면, 가령 동축 케이블의 외부 도체(접지부)에 정재파 노이즈 등의 노이즈가 발생했다고 하더라도, 전자파 흡수체에 의해 노이즈를 효과적으로 흡수하여 제거할 수 있다.
또한, 바람직하게는, 절연관의 다른쪽 단부에 형성한 개구를 거쳐서 절연관 안에 냉각용의 가스를 흐르게 하도록 하여도 무방하다. 장치적으로는, 절연관의 다른쪽 단부를 개구하여, 이 개구에 냉각용의 가스를 흐르게 하기 위한 냉각기구를 접속하여도 무방하다. 이러한 방법 또는 구성에 의하면, 절연관내의 동축 케이블을 효과적으로 냉각할 수 있기 때문에, 프로브부 주위의 열팽창이나 열손상을 방지하여, 내구성을 향상시킬 수 있다.
본 발명의 제 4 관점에 의한 플라즈마 모니터링 방법은, 플라즈마의 생성 또는 도입이 가능한 챔버의 실내에 투명한 절연관을 삽입하여 장착하는 공정과, 선단에 수광면을 갖는 로드형상의 광 전송 프로브를 상기 절연관의 한쪽 단부로부터 관내에 삽입하는 공정과, 상기 챔버내의 플라즈마에 의해 발생되는 광을 상기 절연관을 통하여 상기 프로브의 수광면에 입사시키고, 상기 프로브의 타 단면으로부터 방사된 광에 근거하여 상기 플라즈마로부터의 발광을 계측하는 공정을 갖는다.
또한, 본 발명의 제 4 관점에 의한 플라즈마 모니터링 장치는, 플라즈마의 생성 또는 도입이 가능한 챔버의 실내에 삽입하여 부착되는 투명한 절연관과, 선단부에 수광면을 갖고, 상기 절연관의 한쪽 단부로부터 관내에 삽입되는 로드형상의 광 전송 프로브와, 상기 프로브의 타 단면으로부터 방사된 광에 근거하여 상기 플 라즈마로부터의 발광을 계측하는 계측부를 갖는다.
본 발명의 플라즈마 발광 모니터링법으로서는, 챔버내에 절연관을 삽입하고, 이 절연관 안에서 로드형상의 광 전송 프로브를 축방향으로 이동시켜, 축방향에 있어서의 임의의 측정위치에서 프로브 선단부의 수광면에서 플라즈마로부터의 발광을 채광하고, 채광한 플라즈마 광을 계측부에 전송하며, 계측부에서 각 측정위치의 플라즈마 발광에 대하여 소정의 특성 또는 속성(예를 들면 소정 파장 또는 스펙트럼의 강도)의 측정값을 구한다. 여기서, 절연관 및 프로브는 비금속 이고, 챔버내의 플라즈마 영역 안에 삽입되더라도, 플라즈마를 요란할 우려는 없고, 플라즈마 발광에 대하여 신뢰성 및 측정 정밀도가 높은 공간 분포 계측을 실행할 수 있다.
본 발명의 바람직한 일 형태로서, 프로브를 절연관 안에서 축방향(바람직하게는 챔버 직경방향)으로 이동시켜, 축방향에 있어서의 공간 분포로서 플라즈마 광을 계측하여도 무방하다. 이 경우, 프로브의 축방향을 챔버의 직경방향에 일치시켜도 무방하다. 또는, 프로브를 절연관과 일체로 높이 방향으로 이동시켜, 높이 방향에 있어서의 공간 분포로서 플라즈마 광을 계측할 수도 있다.
본 발명에 있어서, 프로브는 석영 또는 사파이어로 이루어지는 것으로 무방하지만, 측방으로부터의 미광(迷光)을 억제하기 위해서는, 석영 또는 사파이어로 이루어지는 코어와, 해당 코어의 주위면을 둘러싸는 클래드를 갖는 이중 구조가 바람직하고, 차광성의 피막을 갖는 구성도 바람직하다. 또한, 프로브가, 일체로 묶여진 복수개의 광 화이버와, 그들의 광 화이버의 주위를 둘러싸는 예를 들면 폴리이미드로 이루어지는 내열성의 비금속부재를 갖는 구성도 바람직하다.
또한, 프로브의 채광 기능, 특히 지향성을 높이기 위해서, 바람직하게는 프로브의 선단부에서 소망하는 방향으로부터의 플라즈마 광을 미러에 입사시키고, 이 미러에 의해 반사한 플라즈마 광을 프로브의 수광면에 입사시켜도 무방하다. 더욱 바람직하게는, 프로브의 선단부에 수광면과 미러를 포위하는 차광부재를 부착하고, 소망하는 방향으로부터의 플라즈마 광을 차광부재에 형성된 창을 통하여 미러에 입사되도록 하여도 무방하다. 미러의 반사면은, 자외선으로부터 적외선까지 일정의 높은 반사율을 갖는 알루미늄으로 구성하는 것이 바람직하다.
또한, 전방으로부터의 소망하지 않는 광이 프로브의 채광부에 입사하여도 다발 화이버의 개구수보다 큰 각도로 다발 화이버에 입사하도록, 프로브의 수광면의 법선이 축방향에 대하여 창측으로 소정의 각도만큼 기울도록, 프로브의 일 단부를 비스듬하게 컷트하는 것이 바람직하다.
본 발명에 있어서, 투명 절연관의 재질은 파장 투과성이 좋고 내열성 및 내부식성이 우수한 석영 또는 사파이어가 바람직하다. 또한, 프로브의 주사(走査)를 안정 또한 고속으로 실행하기 위해서, 챔버의 측벽에 서로 대향하여 마련한 제 1 지지부와 제 2 지지부 사이에 절연관을 실질적으로 걸쳐놓은 구성이 바람직하다.
본 발명의 제 5 관점에 의한 플라즈마 발광 계측방법은, 플라즈마의 생성 또는 도입이 가능한 챔버의 측벽에 개폐 가능한 개구부를 마련하고, 상기 개구부를 개방 상태로 하여, 선단부에 수광면을 갖는 로드형상의 광 전송 프로브를 감압 공간 안에서 상기 개구부로부터 상기 챔버내에 삽입하고, 상기 프로브의 타 단면으로부터 방사된 광에 근거하여 상기 플라즈마부터의 발광을 계측한다.
또한, 본 발명의 제 5 관점에 의한 플라즈마 발광 계측 장치는, 플라즈마의 생성 또는 도입이 가능한 챔버의 측벽에 마련된 개폐 가능한 개구부와, 선단부에 수광면을 갖고, 감압 공간 안에서 개방 상태의 상기 개구부로부터 상기 챔버내에 삽입되는 로드형상의 광 전송 프로브와, 상기 프로브의 타 단면으로부터 방사된 광에 근거하여 상기 플라즈마로부터의 발광을 계측하는 계측부를 갖는다.
이 방식에서는, 챔버 측벽의 개구부를 개방 상태로 하여, 프로브를 해당 개구부로부터 챔버내에 삽입하여 축방향(바람직하게는 챔버 직경방향)으로 이동시켜, 프로브 선단부의 수광면에서 채광한 플라즈마 광을 계측부에 전송하고, 계측부에서 각 측정위치의 플라즈마 발광에 대하여 소정의 특성 또는 속성(예를 들면 소정 파장 또는 스펙트럼의 강도)의 측정값을 구한다. 바람직하게는, 프로브의 이동 거리에 대한 플라즈마 광의 변화분을 구하여, 프로브의 축방향에 있어서의 챔버내의 공간 분포로서 플라즈마 광을 계측하여도 무방하다. 프로브는, 석영 또는 사파이어로 이루어지는 코어와, 이 코어의 주위면을 둘러싸는 클래드를 갖는 것이 바람직하다.
또한, 챔버의 밖에서 프로브의 주위에 감압 공간을 형성하기 위해서, 바람직하게는 챔버의 직경방향에 신축 가능한 벨로우즈를 마련하고, 이 벨로우즈의 내부공간을 배기부에 의해 배기하도록 하여도 무방하다. 또한, 프로브를 챔버내의 플라즈마 영역에 노출할 때에 반응 생성물(데포)가 부착하지 않도록, 챔버의 밖에서 프로브를 적당한 온도로 가열해 두는 것이 바람직하다.
이 방식도, 프로브가 비금속이기 때문에 플라즈마를 어지럽히지 않을 뿐만 아니라, 단시간에 고속으로 프로브 주사를 실행할 수 있기 때문에, 프로세스 결과에 미치는 영향도 거의 없어, 프로세스 개발은 물론 실제 프로세스에도 적용할 수 있다.
본 발명의 플라즈마 발광 모니터링법으로서는, 프로브를 축방향으로 이동시키기 위해서는 엑츄에이터를 이용하는 것이 바람직하고, 엑츄에이터의 직진 구동력에 의해 안정 그리고 고속의 프로브 주사를 실행할 수 있다. 또한, 본 발명의 계측 처리에 있어서, 바람직하게는 프로브의 타 단면으로부터 방사된 광으로부터 분광기(분광기 또는 광 필터)에 의해 소정 파장의 스펙트럼을 취출하고, 해당 스펙트럼의 강도를 측정하여도 무방하다. 또한, 프로브의 타 단면으로부터 방사된 광을 다발 화이버를 통하여 계측부에 부여하는 것이 바람직하고, 이에 의해 마치 다발 화이버의 수광면을 챔버내에 넣은 경우와 동등 또는 등가의 지향성으로 플라즈마 발광을 채광할 수 있다.
이하, 도 1 내지 도 49를 참조하여 본 발명이 바람직한 실시예를 설명한다.
(실시예 1)
도 1 내지 도 18에 관하여 본 발명의 제 1 실시예를 설명한다. 도 1 및 도 2에, 제 1 실시예에 의한 플라즈마 전자 밀도 측정 방법 및 장치를 적용한 플라즈마 처리장치의 구성을 나타낸다. 이 플라즈마 처리장치는, 용량 결합형의 평행평판 플라즈마 처리장치이다.
이 플라즈마 처리장치의 챔버(10)는, 예컨대 알루미늄으로 이루어지고, 원통형상의 밀폐 가능한 처리실로서 구성되어 있다. 챔버(10)의 바닥부에는 절연판(12)을 거쳐서 예컨대 알루미늄으로 이루어지는 지지대(14)가 배치되고, 이 지지대(14) 위에 예컨대 알루미늄으로 이루어지는 서셉터(16)가 마련된다. 서셉터(16)는 하부전극을 구성하고, 이 위에 피 처리 기판, 예컨대 반도체 웨이퍼(W)가 탑재된다.
서셉터(16)에는 이온 당김용 고주파 전력을 공급하는 고주파 전원(18)이 도시되지 않은 정합기를 거쳐서 전기적으로 접속됨과 동시에, 후술하는 상부전극측으로부터의 고주파를 통과시키기 위한 하이 패스 필터(HPF)(22)가 전기적으로 접속되어 있다. 서셉터(16)의 상면에는, 예를 들면 정전 흡착력으로 기판(W)을 고정 유지하기 위한 정전 척(도시하지 않음)이 피착되어 있어도 무방하다. 또한, 서셉터(16) 또는 지지대(14)의 내부에 온도 제어용의 냉각 또는 가열 수단(도시하지 않음)이 마련되어도 무방하다.
서셉터(16)의 상방에는, 이 서셉터와 평행하게 대향하여 상부전극(24)이 설치되어 있다. 이 상부전극(24)은, 원통형상의 절연재(25)를 거쳐서 챔버(10)에 지지되어 있고, 다수의 가스 토출 구멍(26)을 갖는 예컨대 알루미나 등의 세라믹으로 이루어지는 하면의 전극판(28)과, 이 전극판(28)을 지지하는 도전재료 예컨대 표면이 알루마이트 처리된 알루미늄으로 이루어지는 전극 지지체(30)를 갖는다. 전극판(28)과 전극 지지체(30)의 내측에는 버퍼실이 형성되고, 이 버퍼실의 상면 중심부에 가스 도입구(32)가 설치되어 있다. 가스 도입구(32)에는 처리 가스 공급부 (34)로부터의 가스 공급 배관(36)이 접속되어 있다. 상부전극(24)에는, 플라즈마 생성용의 고주파 전력을 공급하는 고주파 전원(38)이 도시되지 않은 정합기를 거쳐서 전기적으로 접속됨과 동시에, 서셉터(하부전극)(16)측으로부터의 고주파를 통과시키기 위한 로우 패스 필터(LPF)(42)가 전기적으로 접속되어 있다.
챔버(10)의 바닥부에 배기구(44)가 마련되고, 이 배기구(44)에 배기관을 거쳐서 배기장치(46)가 접속되어 있다. 이 배기장치(46)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 챔버(10)내의 처리공간을 소망하는 진공도까지 감압할 수 있도록 되어 있다. 또한, 챔버(10)의 측벽에는 기판(W)을 넣고 빼기하기 위한 개폐기구 예컨대 게이트 밸브가 부착된 기판 출입구(도시하지 않음)가 설치되어 있다. 챔버(10)는 어스선 등으로 접지되어 있다.
이 플라즈마 처리장치에 있어서, 서셉터(16)상의 기판(W)에 플라즈마 처리를 실시할 때는, 주 제어부(20)의 제어하에서, 처리 가스 공급부(34)로부터 소망하는 처리 가스를 소정의 유량으로 챔버(10)내에 도입하고, 배기장치(46)에 의해 챔버(10)내의 압력을 설정값으로 한다. 또한, 고주파 전원(18)으로부터 소정 주파수(예를 들면 2MHz)의 고주파 및 고주파 전원(38)으로부터 소정 주파수(예를 들면 60MHz)의 고주파를 각각 소정의 파워로 서셉터(하부전극)(16), 상부전극(24)에 인가한다. 상부전극(24)의 다공형성 전극판 또는 샤워 헤드(28)로부터 토출된 처리 가스는 전극간의 글로우 방전중에 플라즈마화하고, 이 플라즈마(PZ)중의 래디컬이나 이온 등에 의해 기판(W)이 플라즈마 처리된다. 또한, 서셉터(하부전극)(16)와 상부전극(24)의 거리 간격은, 예를 들면 10 내지 60mm 정도로 설정된다.
이 실시예에 있어서의 플라즈마 전자 밀도 측정장치는, 챔버(10)에 고정 부착되는 원통형상의 절연관(50)과, 선단부의 심선을 노출시킨 프로브부(안테나 프로브)(52a)를 갖고 절연관(50)의 한쪽 단부(도 1의 좌측 단부)로부터 관내에 미끄럼운동 가능하게 삽입되는 동축 케이블(52)과, 챔버(10)내에 생성되는 플라즈마(PZ)에 대하여 동축 케이블(52)을 거쳐서 플라즈마 공진 주파수나 전자 밀도를 측정하는 측정부(54)와, 동축 케이블(52)을 축방향으로 이동시키기 위한 리니어 액츄에이터(56)를 갖는다.
절연관(50)은, 예컨대 석영관으로 이루어지고, 챔버(10)의 외경 치수보다 약간 길고, 곧게(직선형상) 형성되어 있고, 양 단부가 개구되어 있다. 도 1에 도시하는 바와 같이, 서셉터(하부전극)(16)와 상부전극(24)과의 중간의 높이 위치에서 챔버(10)의 측벽에는 서로 대향하는 관통구멍(10a)이 지지부 또는 계측 포트로서 형성되고, 절연관(50)은 이들의 관통구멍(10a)을 관통하여 챔버(10)의 실내에 거의 수평으로 걸쳐놓여진다. 각 관통구멍(10a)에는, 절연관(50)을 기밀하게, 즉 진공 밀봉하여 고정하기 위한 O 링(58)이 부착되어 있다.
동축 케이블(52)은, 도 2에 도시하는 바와 같이, 예를 들면 알루미늄으로 이루어지는 심선(내부 도체)(52a)과, 스테인레스 파이프로 이루어지는 외부 도체(52b)와, 테프론(등록 상표)로 이루어지는 절연재(52c)를 갖는 강체(剛體)의 반강성 케이블(semi rigid cable)로 이루어지고, 선단부의 심선(52a)을 수 mm 정도 노출시켜 프로브부로 한다. 동축 케이블(52)의 기단부측은 SMA 플러그(60)의 형태로 측정부(54)에 접속되어 있다(도 1). 또한, 동축 케이블(52)의 절연관(50)으로부터 비어져 나온 부분의 외부 도체(접지부)(52b)는 어스용 도체(62)를 거쳐서 접지 전위의 챔버(10)의 측벽에 전기적으로 접속된다
어스용 도체(62)는, 도 2에 도시하는 바와 같이, 예컨대 절연관(50)을 부착 고정하기 위한 도전성의 플랜지부재(64)에 기단부가 고정 장착되고, 선단부가 동축 케이블(52)의 외부 도체(52b)에 미끄럼운동 가능하게 접촉하는 복수개의 도전성 스프링부재로 이루어지고, 그들의 도전성 스프링부재가 상하 또는 좌우 또는 사방으로부터 동축 케이블(52)을 일정 위치에서 탄발적으로 사이에 끼워지는 구성이어도 무방하다. 또는, 스프링부재 대신에 도전선을 사용하고, 동축 케이블(52)의 외부 도체(52b)에 도전선의 선단부를 클리프 등으로 접속하는 구성이어도 무방하다. 어느 쪽이든, 어스용 도체(62)를 일단 개방(릴리스)한 상태로 절연관(50) 안에 동축 케이블(52)을 삽입하여, 동축 케이블(52)을 절연관(50)으로부터 뽑아내는 방향으로 이동시킴으로써 프로브부(52a)를 소망하는 위치(측정위치)에 위치 정렬하는 것이 바람직하다.
어스용 도체(62)에 근접하여 그 내측, 즉 프로브부(52a)측의 위치에는, 동축 케이블(52)의 외부 도체(52b)상에 발생하는 정재파(定在波)의 노이즈 신호를 흡수하기 위한 전자파 흡수체가 마련된다. 이 실시예에서는, 도 2에 명시한 바와 같이, 절연성의 원통형상 유지체(65)에 동축 케이블(52)을 통과시켜, 유지체(65)의 내측에 축방향을 따라 직렬로 1개 또는 복수개의 비드형 페라이트부재(66)를 부착한다.
도 1에 있어서, 측정부(54)는, 계측기 본체인 벡터 네트워크 분석기(68)와, SMA 인터페이스용 RF 리미터(70) 및 하이 패스 필터(HPF)(72)와, 계측용의 제어 및 연산 처리를 실행하는 계측 제어부(74)를 갖고 있다. 벡터 네트워크 분석기(68) 및 계측 제어부(74)의 구성 및 작용은 나중에 자세히 설명한다.
리니어 액츄에이터(56)는, 동축 케이블(52)의 기단부에 결합된 슬라이더부(76)와, 이 슬라이더부(76)를 동축 케이블(52)의 축방향으로 직진 이동시키기 위한 볼 나사 기구(78)를 갖는다. 볼 나사 기구(78)의 구동원에는 예를 들면 서보 모터(도시하지 않음)가 이용되고, 슬라이더부(76)를 가동 범위내에서 임의의 위치에 위치 결정할 수 있도록 되어 있다.
절연관(50)의 타 단부(도 1의 우측 단부)에는 냉각 가스 공급부(80)로부터의 배관(82)이 접속되어 있다. 냉각 가스 공급부(80)는, 예를 들면 송풍기 또는 펌프를 갖고, 냉각용의 가스 예를 들면 에어를 배관(82)을 거쳐 절연관(50)에 흐르게 한다. 절연관(50)의 우측 단부로부터 도입된 에어는 반대측(좌측)을 향하여 관내를 흐르고, 어스용 도체(62)의 간극으로부터 대기로 빠진다. 이와 같이, 절연관(50) 안을 에어가 축방향으로 관통하여 흐름으로써, 동축 케이블(52), 특히 프로브부(52a) 주위가 효과적으로 냉각되도록 되어 있다. 보다 바람직하게는, 냉각 가스 공급부(80)가 온도 조절된 냉각 가스를 공급하도록 하여도 무방하다. 또는, 냉각 가스 공급부(80)를 에어 흡인형으로 구성하여, 에어가 절연관(50) 안을 좌측에서 우측으로 흐르게 하도록 하는 것도 가능하다.
도 3에, 측정부(54)에 있어서의 벡터 네트워크 분석기(68) 및 계측 제어부(74)의 주요부의 구성을 도시한다.
벡터 네트워크 분석기(68)는, 복소수 표시의 반사계수(복소 반사계수)를 측정하기 위한 신호 송수신 및 신호 처리를 실행하는 반사계수 측정부(84)와, 잠정적인 반사계수 측정값의 데이터(주파수 특성)을 일시적으로 축적하기 위한 버퍼 메모리(86)와, 정식(최종 결과)의 반사계수 측정부(Γ)의 데이터(주파수 특성)를 실수부(Γr)와 허수부(Γi)로 나누어 축적하는 실수부 메모리(88), 허수부 메모리(90)를 갖고 있다. 반사계수 측정부(84)는, 주파수 스위핑 방식의 고주파 전원, 입사파 검출용 및 반사파 검출용의 방향성 결합기, 복소반사계수 측정 회로 등을 포함하고 있다. 복소반사계수 측정 회로는, 예를 들어 진폭비 측정 회로 및 위상차 측정 회로 등으로 구성되어도 무방하다.
스칼라식의 네트워크 분석기가 입사파 및 반사파의 각각의 파워(스칼라량)의 비로부터 스칼라 표시로 반사계수의 측정값을 취득하는 데 대하여, 이 실시예에 있어서의 벡터식의 네트워크 분석기(68)는 입사파 및 반사파의 각각의 전압(Vin, Vre) 또는 전류(Iin, Ire)(벡터량)의 비(Vre, Vin, Ire/Iin)로부터 복소수 표시로 반사계수 Γ(Γr+jΓi)의 측정값을 취득한다. 여기서, 허수부(Γi)는 주파수에 대응하여 정 또는 부의 부호를 취한다.
계측 제어부(74)는, 벡터 네트워크 분석기(68)의 허수부 메모리(90)로부터 복소반사계수 허수부(Γi)의 측정값 데이터(주파수 특성)을 취입하여 제로 크로스(zero cross) 점의 주파수를 공진 주파수(fp)로서 판독하는 공진 주파수 판독부(92) 와, 이 공진 주파수 판독부(92)에 의해 산출된 공진 주파수(fp)에 근거하여 소정의 연산식으로부터 전자 밀도(Ne)의 측정값을 구하는 전자 밀도 연산부(94)와, 전자 밀도 측정값(Ne)의 데이터를 출력하는 출력부(96)와, 계측의 시퀀스를 제어하는 시퀀스 제어부(98)를 갖고 있다.
여기서, 이 실시예의 플라즈마 전자 밀도 측정장치에 있어서 챔버(10)내의 플라즈마(PZ)에 대하여 직경방향에 있어서의 임의의 위치의 전자 밀도를 측정하기 위한 방법을 설명한다.
이 실시예에서는, 측정부(54)의 계수 제어부(74)[특히 시퀀스 제어부(98)]의 제어하에서 다음과 같이 하여 플라즈마 전자 밀도 측정을 실행한다. 우선, 리니어 액츄에이터(56)에 의해 동축 케이블(52)을 절연관(50)내에서 축방향(바람직하게는 끌어당기는 방향)으로 이동시켜 프로브부(52a)를 소망하는 측정위치(hk)에 위치 정렬한다.
다음에, 벡터 네트워크 분석기(68)가, RF 리미터(70), HPF(72), 동축 케이블(52) 및 프로브부(52a)를 거쳐서 해당 측정위치(hk)에 있어서의 복소반사계수(Γ)의 측정값(주파수 특성)을 취득한다. 이 때, 기준화를 위해서,제 1 계측 공정으로서, 도 4에 도시하는 바와 같이 챔버(10)내에 플라즈마(PZ)가 존재하지 않는 플라즈마 OFF 상태하에서 복소반사계수(Γ)의 주파수 특성[제 1 주파수 특성(Γ(f))]을 취득한다. 그 후, 제 2 계측 공정으로서, 도 5에 도시하는 바와 같이 챔버(10)내에 플 라즈마(PZ)가 존재하고 있는 플라즈마 ON 상태하에서 복소반사계수(Γ)의 주파수 특성[제 2 주파수 특성Γ(pf)]을 취득한다.
제 1 및 제 2 각 측정공정에 있어서, 네트워크 분석기(68)의 반사계수 측정부(84)는, 예를 들어 수백 MHz에서 수 GHz의 대역에서 주파수 스위핑하면서 각 주파수에 대하여 예를 들어 1mW 정도의 전자파 신호(입사파)를 동축 케이블(52)의 프로브부(52a)에 보내어 주위의 공간에[주로 프로브부(52a)에서 보았을 때에 반경방향으로] 방출시켜 그 부근의 플라즈마(PZ)에 입사시킨다. 그리고, 플라즈마(PZ)로부터 프로브부(52a)에 되돌아 온 전자파, 즉 반사파를 수신하고, 방향성 결합기를 거쳐서 입사파와 반사파를 복소반사계수 측정 회로에 의해 대조하여, 복소수 표시의 반사계수[Γ(Γr+jΓi)]의 측정값을 취득한다.
이어서, 제 1 측정공정에서 취득된 제 1 주파수 특성[Γk(f)]과 제 2 측정공정에서 취득된 제 2 주파수 특성[Γk(pf)]으로부터 소정의 연산, 예를 들어 나눗셈[Γk(pf)/Γk(f)]에 의해 정규의, 즉 기준화한 주파수 특성을 구한다. 이렇게 하여, 복소수 표시의 반사계수[Γ(Γr+jΓi)]의 측정값(주파수 특성) 데이터중, 실수부(Γr)를 실수부 메모리(88)에 저장하고, 허수부(Γi)를 허수부 메모리(90)에 저장한다. 이 실시예에서는, 허수부 메모리(90)에 저장하는 복소반사계수의 허수부(Γi)의 측정값(주파수 특성) 데이터를 의의 있게 이용한다.
도 6에, 이 실시예에서 얻어지는 복소반사계수[Γ(Γr+jΓi)]의 절대값(│Γ │), 실수부(Γr) 및 허수부(Γi)의 주파수 특성의 일례(실험 데이터)를 나타낸다. 이 실험 데이터는, 플라즈마 클리닝 조건에 있어서, 챔버(10)내의 압력을 15mTorr, 고주파 전원(18)에 의한 하부 RF 전력(2MHz)을 200W, 고주파 전원(38)에 의한 상부 RF 전력(60MHz)을 1500W, 처리 가스를 O2(200sccm)로 하여, R=0mm(챔버 중심점)의 측정위치에서 취득한 것이다. 도 6에 있어서, 반사계수(Γ)의 절대값(│Γ│)은, 스칼라식의 네트워크 분석기에 의해 얻어지는 스칼라 표시의 반사계수에 상당하는 것으로, 거의 실수부(Γr)와 동일한 값을 취하고, 허수부(Γi)의 값을 실질적으로 반영하지 않는다.
복소반사계수(Γ)의 절대값(│Γ│)의 주파수 특성에 주목한다고 한다면, │Γ│의 최소 피크가 전자 진동에 의한 전력 흡수의 최대 피크에 대응하고, 최소(흡수) 피크를 취할 때의 주파수, 즉 플라즈마 흡수 주파수를 전자 진동수에 대응하는 것으로 간주하는 것으로 된다. 그러나, │Γ│의 최소 피크 파형이 브로드로 되거나 노이즈 성분이 증가하면, 플라즈마 흡수 주파수를 정확하게 산출하는 것은 어려워, 측정 오차를 발생하기 쉽다. 이에 대하여, 본 발명은, 반사계수(Γ)의 허수부(Γi)의 파형(주파수 특성)에 착안하여, 후술하는 바와 같이 Γr이 제로 크로스할때의 주파수를 플라즈마 공진 주파수로 하고, 이 플라즈마 공진 주파수를 전자 진동수에 대응하는 것으로 간주하여 전자 밀도의 측정값으로 환산하도록 한다.
계수 제어부(74)에서는, 공진 주파수 판독부(92)가, 벡터 네트워크 분석기 (68)의 허수부 메모리(90)로부터 복소반사계수 허수부(Γi)의 측정값 데이터(주파수 특성)를 취입하고, 제로 크로스점(ZC)의 주파수를 판독한다. 상기한 바와 같이, 복소반사계수의 허수부(Γi)는 주파수에 대응하여 정 또는 부의 부호를 취한다. 통상, 주파수 스위핑에 의한 허수부(Γi)의 주파수 특성에 있어서는, 도 6에 도시하는 바와 같이 제로 크로스점(ZC)이 1곳에 나타나고, 제로 크로스점(ZC)보다 낮은 주파수 영역에서는 Γi는 부(마이너스)의 값을 취하고, 제로 크로스점(ZC)보다 높은 주파수 영역에서 Γi는 정(플러스)의 값을 취한다. 주파수를 높이는 스위핑방향에서 보면, Γi의 값이 제로 크로스점(ZC)에서 마이너스에서 플러스로 변한다. 반대로, 주파수를 내리는 스위핑방향에서 보면, Γi의 값이 제로 크로스점(ZC)에서 플러스에서 마이너스로 변한다. 이와 같이 제로 크로스점(ZC)의 주파수는 Γi의 부호가 반전하는 포인트의 주파수이고, 주파수 특성의 파형 프로파일에 관계없이 간단하고 정확히 산출할 수 있다. 본 발명에서는, 제로 크로스점(ZC)의 주파수를 공진 주파수(fp)로 정의한다.
여기서, 본 발명에 있어서의 전자 밀도 측정 방법의 기본 원리를 설명한다. 동축 케이블(52)의 프로브부(52a)는, 절연관(50)을 거쳐서 챔버(10)내의 플라즈마(PZ)와 전기적으로 접속한다. 해당 측정위치(hk)에 있어서의 플라즈마(PZ)의 복소 임피던스(Zp)를 R+jX로 하고, 절연관(50)의 임피던스를 무시하면, 복소반사계수[Γ( Γr+jΓi)]는 임피던스면으로부터 다음 식(2)으로 표시된다.
Γ(Γr+jΓi)=(Zp-50)/(Zp+50)
={(R+jX)-50}/{(R+jX)+50}
={(R-50)+jX}/{(R+50)+jX} …(2)
상기 식 (2)에 있어서의 우변의 정수 “50(Ω)"은 동축 케이블(52)의 특성 임피던스이다. 상기 식(2)를 유리화하면, 하기의 식 (3)을 얻을 수 있다.
Γ(Γr+jΓi)=(AB+X2)/(B2+X2)+j100X/(B2+X2) …(3)
단, A=R-50, B= R+50이다.
프로브부(52a)로부터 본 플라즈마(PZ)는, 절연관(50)의 표면을 따라 형성되는 용량성 부하의 이온 시스(sheath)와, 그 안쪽으로 넓어지는 유도성 부하의 벌크(bulk) 플라즈마로 구성되어 있다. 이온 시스는 용량성의 리액턴스(xc)를 갖고, 벌크 플라즈마는 유도성의 리액턴스(xL)을 갖고 있으며, 양자는 프로브부(52a)와 기준 전위(접지 전위) 사이에서 직렬 회로를 형성하고 있다. 시스 용량 리액턴스(xc)가 벌크 유도 리액턴스(xL)보다 클 때에는, 합성 리액턴스(X)가 마이너스이고, 이에 대응하여 복소반사계수(Γ)의 허수부(Γi)의 값이 마이너스로 된다. 또한, 시스 용량 리액턴스(xc)보다 벌크 유도 리액턴스(xL) 쪽이 클 때에는, 합성 리액턴스(X)가 플러스이고, 이에 대응하여 복소반사계수 허수부(Γi)의 값이 플러스로 된다. 시스 용량 리액턴스(xc)와 벌크 유도 리액턴스(xL)가 동일할 때에는, 합성 리액턴스(X)가 제로이고, 직렬 공진으로 된다. 이 때, 복소반사계수 허수부(Γi)의 값도 제로로 된다. 직렬 공진 상태에서는, 플라즈마 리액턴스(X)에 의한 신호 전력 전송이 극대화하고, 프로브부(52a)로부터의 입사파의 에너지가 소위 란다우댐핑의 메카니즘에 의해 플라즈마중의 전자로 전달된다. 즉, 직렬 공진 상태로 될 때의 전자파의 주파수, 즉 공진 주파수가 전자 진동수와 부합 또는 매칭한다. 본 발명에서는, 복소반사계수의 허수부(Γi)의 주파수 특성에 있어서 제로 크로스점의 주파수를 플라즈마의 시스 용량과 벌크 인덕턴스가 직렬 공진하는 주파수(fp)로 간주하고, 이 공진 주파수(fp)의 측정값으로부터 전자 밀도의 측정값을 구하도록 하고 있다. 또, 실제로는, 벡터 네트워크 분석기(68)에 의해 상기한 바와 같이 반사계수의 기준화 처리[Γk(pf)/Γk(f)]가 실행되기 때문에, 상기의 식 (3)은 변형된 것으로 되지만, 기본적으로는 상기한 바와 같은 이론이 적합하다.
계수 제어부(74)에 있어서, 공진 주파수 판독부(92)에서 얻어진 공진 주파수(fp)의 측정값은 전자 밀도 연산부(94)에 인가된다. 상기한 바와 같이 공진 주파수(fp)는 비유전율이 εr인 절연관(50)을 √(1+εr)*fp의 주파수로 전파하므로, √(1+εr)*fp는 플라즈마중의 전자 진동수(1/2π*√(e2* Ne/me0)와 동일하다고 간주할 수 있기 때문에, 전자 밀도 연산부(94)는 하기의 식(4)을 연산하여 전자 밀도(Ne)를 산출할 수 있다.
Ne= me0*(1+εr)*(2πfp/e)2
=5.96E10(fp)2[㎝-3] …(4)
단지, me는 전자질량, ε0는 진공 유전율, εr은 절연관의 비유전률(약 3.8), e는 소전하이다. 또한, fp의 단위는 GHz이고, E10은 1010을 의미한다.
도 7a 및 도 7b에, 본 발명의 플라즈마 공진 프로브법에 의해 얻어지는 전자 밀도 측정 감도의 일례(실험 데이터)를 나타낸다. 이 실험 데이터는, 플라즈마 클리닝 조건에 있어서, 챔버(10)내의 압력을 15mTorr, 하부 RF 전력(2MHz)을 200W, 처리 가스를 O2(200sccm)로 하고, 상부 RF 전력(60MHz)을 1500W를 중심으로 미소 변화시켰을 때의 R=80mm(챔버 중심점으로부터 직경방향 80mm)의 측정위치(도 7a) 및 R=220mm(챔버 중심점으로부터 직경방향 220mm : 측벽으로부터 20mm)의 측정위치(도 7b)에 있어서의 플라즈마 ON 개시 직후의 전자 밀도(Ne)의 시간적 변동을 나타낸 것이다.
도시하는 바와 같이, 상부 RF 전력을 중심값의 1500W에서 0W(2%) 변화시키면, R=80mm의 측정위치(도 7a)에서는, 전자 밀도(Ne)가 약 ±0.1E+10(E+10=1010)만큼 변화하는 것이 판독된다. 한편, R=220mm의 측정위치(도 7b)에서는, 전자 밀도 (Ne)가 약 ±0.02E+10 만큼 변화하는 것이 판독된다. 일반적으로, 플라즈마 생성용의 RF 전력을 프로세스 파라미터로 한 경우, 이것을 2% 변화시켰을 때의 전자 밀도의 변화가 모니터링된다면 사양상 충분하게 되어 있고, 본 발명의 플라즈마 전자 밀도 측정법은 이 요구 조건을 양호하게 클리어할 수 있다. 또한, 플라즈마 밀도가 낮은 챔버 측벽 근방의 측정위치에서도 전자 밀도(Ne)를 고정밀도로 측정할 수 있는 점은 주목해야 할 이점이다.
도 8에, 측정 정밀도를 비교하기 위해서, R=80mm인 측정위치에 있어서 본 발명의 플라즈마 공진 프로브법에 의해 얻어지는 전자 밀도(Ne)의 측정값 데이터를 플라즈마 흡수 프로브법(PAP)에 의해 얻어지는 전자 밀도(Ne)의 측정값 데이터와 대비하여 나타낸다. 프로세스 조건은 도 7의 실험예와 동일하다(단, 상부 RF 전력은 1500W). 도 8로부터 분명한 바와 같이, 플라즈마 흡수 프로브법에 의해 얻어지는 전자 밀도의 변동(파형)은, 측정값의 격차가 커서, 들쭉날쭉한 곡선으로 나타난다. 이에 대하여, 본 발명에서 얻어지는 전자 밀도의 변동(파형)은, 측정값의 격차가 작아, 들쭉날쭉함이 없는 매끄러운 곡선으로 나타난다.
본 발명의 다른 이점은, 고압력 조건하에서도 플라즈마중의 전자 밀도(Ne)를 정확히 측정할 수 있는 점이다. 도 9, 도 10, 도 11에, 챔버내의 압력을 15mTorr, 800mTorr, 1600mTorr의 3단계로 선택했을 때의 복소반사계수의 주파수 특성(실험 데이터)을 나타낸다. 도면에 있어서, Γi는 본 발명에 의해 취득되는 복소반사계수 (Γ)의 허수부이고, │Γ│는 복소 반사계수(Γ)의 절대값이며 플라즈마 흡수 프로브법에 의해 취득되는 스칼라 표시의 반사계수에 상당하는 것이다. 이 실험에서는, 마그네트론에 의해 발생한 고 주파수(2.45 GHz)의 마이크로파를 도파관을 통하여 챔버의 천장면의 석영창으로부터 챔버내에 조사하여 플라즈마를 생성하는 플라즈마 CVD(Chemical Vapor Deposition)용의 마이크로파형 플라즈마 처리장치를 이용했다. 주된 프로세스 조건으로서, 가스는 Ar(400sccm)이고, 마이크로파의 파워를 1000W로 했다.
플라즈마 흡수 프로브법에 의한 반사계수 주파수 특성에 상당하는 복소반사계수 절대값(│Γ│)의 주파수 특성에 대하여 보면, 15mTorr의 압력 조건(도 9)에서는, 최소(흡수) 피크가 뾰족한 각형상의 파형으로 나타나고, 피크 점에 대응하는 주파수(흡수 주파수)를 정확하게 판독할 수 있다. 그러나, 80OmTorr의 압력 조건(도 10)에서는, 최소(흡수) 피크 파형이 둥그스름한 브로드로 되고, 피크 점이 명확하지 않게 됨과 동시에, 최소(흡수) 피크 파형과 헷갈리는 노이즈 성분이 증가한다. 그리고, 1600mTorr의 압력 조건(도 11)에서는, 이 경향이 한층 더 현저하게 되어, 흡수 주파수를 정확히 산출하는 것은 매우 어렵게 된다. 이것은, 압력이 높아지면, 플라즈마중에서 전자와 입자(특히 중성 분자, 원자)간의 충돌이 증대하여, 그 만큼의(실저항에 의한) 전력 흡수가 증가하기 때문이다. 이와 같이, 흡수 주파수의 측정 정밀도가 낮으면, 전자 밀도의 측정 정밀도도 낮아진다.
이에 대하여, 본 발명의 플라즈마 공진 프로브법에 의한 반사계수 주파수 특 성, 즉 복소반사계수 허수부(Γi)의 주파수 특성에 있어서는, 15mTorr(도 9)에서는 물론, 800mTorr(도 10)이나 1600mTorr(도 11)에서도 Γi의 값이 제로로 되는 포인트(제로 크로스점)는 명확하고, 공진 주파수(fp)를 간단하고 정확히 판독할 수 있다.
이와 관련하여, 15mTorr의 압력 조건(도 9)에 있어서, 복소반사계수 허수부(Γi)의 제로 크로스점에서 산출되는 공진 주파수(fp)는 3700MHz이고 전자밀도는 8.19x1011이며, 절대값│Γ│의 최소 피크로부터 산출되는 흡수 주파수도 약 3700 MHz이고 전자밀도는 약 8.19x1011이다. 800mTorr의 압력 조건(도 10)에서는, 공진 주파수(fp)는 2550MHz이고 전자밀도는 3.89x1011이며, 흡수 주파수는 2500MHz 부근의 값이고 전자밀도는 3.73x1011 부근의 값이다. 또한, 1600mTorr의 압력 조건(도 11)에서는, 공진 주파수(fp)는 2700MHz이고 전자밀도는 4.22x1011이며, 흡수 주파수는 2500MHz 부근의 값이고 전자밀도는 3.81x1011 부근의 값이다.
또한, 압력이 15mTorr보다 훨씬 낮을 때에는, 가스 분자가 적아져서, 전자 밀도도 낮아진다. 전자 밀도가 낮으면, 플라즈마로부터의 반사파의 신호 강도가 낮아져서, S/N이 저하하고, 주파수 특성은 브로드 경향으로 된다. 그렇게 되면, 플라즈마 흡수 프로브법에서는 흡수 주파수를 정확히 판독하는 것이 어렵게 된다. 이에 대하여, 본 발명의 플라즈마 공진 프로브법에 의하면, 주파수 특성의 파형 여 하에 관계없이 복소반사계수 허수부(Γi)의 제로 크로스점으로부터 공진 주파수(fp)를 정확히 판독할 수 있다.
도 12에, 본 발명의 플라즈마 공진 프로브법에 의해 2000mTorr의 고압력 조건하에서 얻어진 전자 밀도 분포 특성의 일례(실험 데이터)를 나타낸다. 이 실험은, 플라즈마 CVD용의 용량 결합형 플라즈마 처리장치에 있어서, 서셉터 온도를 600℃로 하고, 상부(대향) 전극에 450kHz, 800W의 고주파를 인가하고, 플라즈마 생성 가스에 Ar/H2 가스(1600/1000sccm)를 이용했다. 도 12에 도시하는 바와 같이, 2000mTorr의 고압력 조건하에서도 직경방향의 각 위치에 있어서의 전자 밀도(Ne)를 높은 정밀도로 측정할 수 있는 것이 확인되었다.
또한, 본 발명의 플라즈마 공진 프로브법에서는, 도 4 및 도 5에 도시하는 바와 같이 절연관(50)내에서 프로브부(52a)의 위치, 즉 측정위치(h)를 직경방향에 있어서 스캐닝 이동시키고, 각 측정위치(hl, h2, …, hn)에 의해 구한 전자 밀도(Ne)의 측정값을 그래프상에 플롯함으로써, 챔버(10)내의 직경방향에 있어서의 플라즈마 전자 밀도(Ne)의 공간 분포 특성을 취득할 수도 있다.
본 발명의 바람직한 실시예로서, 직경방향의 전체 측정 포인트(hl, h2, …, hn)에 대하여 상기 제 1 및 제 2 계측공정을 각각 일괄 실시할 수 있다. 보다 상세에는, 제 1 계측공정에 대해서는, 도 4에 도시하는 바와 같이 챔버(10)내에 플라즈마(PZ)가 존재하지 않는 상태하에서, 미리 설정한 직경방향의 측정위치(hl, h2, …, hn)에 대하여 차례로 복소반사계수(Γ)의 주파수 특성[제 1 주파수 특성(Γ1(f), Γ2(f), …, Γk(f), …, Γn(f)]를 취득한다. 이 때, 리니어 액츄에이터(56)에 의해 동축 케이블(52)을 절연관(50)으로부터 끌어당기는 방향으로 간헐적으로 이동시켜 프로브부(52a)를 도면의 우단[시단(始端)]의 측정위치(h1)로부터 좌단[(종단(終端)]의 측정위치(hn)까지 순차적으로 스텝 이동시킨다.
또한, 제 2 계측공정에 있어서는, 도 5에 도시하는 바와 같이 챔버(10)내에 플라즈마(PZ)가 발생하고 있는 상태하에서, 상기 제 1 계측공정시와 동일한 측정위치(h1, h2, …, hn -1, hn)에 관하여 차례로 복소반사계수(Γ)의 주파수 특성[제 2 주파수 특성(Γ1(pf), Γ2(pf), …, Γk(pf), …, Γn(pf))]를 취득한다. 이 때에도, 리니어 액츄에이터(56)에 의해 동축 케이블(52)을 절연관(50)으로부터 끌어당기는 방향으로 간헐적으로 이동시켜 프로브부(52a)를 도면의 우단(시단)의 측정위치(h1)로부터 좌단(종단)의 측정위치(hn)까지 순차적으로 스텝 이동시킨다.
상기한 바와 같이 제 1 및 제 2 주파수 특성[Γ(f), Γ(pf)]을 일괄 취득한 후에도, 후속의 각 신호 처리에 있어서, 즉 주파수 특성의 기준화[Γ(pf), Γ(f)], 허수부(Γi)의 추출, 공진 주파수(fp)의 산출, 전자 밀도(Ne)의 연산 등에 있어서 전체 측정위치(h1, h2, …, hn)분의 일괄 처리를 실행한다.
이와 같이, 전체 측정위치(h1∼hn)에 대하여 플라즈마 OFF 상태에서의 반사계수 측정과 플라즈마 ON 상태에서의 반사계수 측정을 각각 일괄하여 실행하는 방 식에 의하면, 측정 포인트의 수에 관계없이 ON/OFF 전환 시간은 1회분으로 끝나기 때문에, 전체의 측정 효율은 높아, 1측정위치당 측정 시간을 수초 이내로 단축할 수 있다. 이 점, 종래의 플라즈마 흡수 프로브법에 있어서는, 측정위치를 바꿀 때마다 플라즈마의 온/오프를 되풀이하기 때문에, 1개의 측정위치마다 수분의 측정 시간이 필요하였다. 이와 같은 측정 효율 내지 측정 시간의 차는, 측정 포인트의 수를 증가할수록(특히 직경이 큰 챔버에서) 현저하게 된다.
또한, 이 실시예에서는, 챔버(10)의 측벽의 서로 대향하는 위치에 마련한 1쌍의 지지부[관통구멍(10a, 10a)] 사이에 절연관(50)을 수평으로 걸쳐놓고, 또한 O 링(58)에 의해 기밀하게 고정하고 있다. 그리고, 이와 같이 수평으로 고정된 가교형의 절연관(50) 안에서 동축 케이블(52)을 축방향으로 이동시켜 프로브부(52a)의 위치 정렬을 실행한다. 이에 의해, 프로브부(52a)를 소망하는 측정위치에 신속하고 정확히 위치 정렬할 수 있음과 동시에, 프로브부(52a)를 항상 일정한 수평선상에 위치시킬 수 있어, 측정위치의 재현성을 보증할 수 있다.
또한, 절연관(50)이 O 링(58)과 서로 마찰하는 일이 없기 때문에, O 링(58)이 손상 열화될 우려없이, 프로브 기구의 안정성이 향상함과 동시에 소모품 비용성(COC)도 향상한다. 또한, 프로브 기구의 플라즈마에 미치는 영향(요란)은 측정위치에 관계없이 일정하고, 더구나 요란 시간(측정 시간)이 매우 짧기 때문에, 측정 정밀도의 신뢰성도 향상한다.
또한, 동축 케이블(52)의 프로브부(52a)에서 본 절연관(50)은 어느 측정위치에서도 일정 또는 균일한 동축관 구조이고, 프로브부(52a)로부터 발진되는 전자파 와 플라즈마와의 결합은 일정하기 때문에, 노이즈가 발생하기 어려워, 정밀도 및 재현성이 높은 계측을 실행할 수 있다. 또한, 동축 케이블(52)에 전자파 흡수체로서 비드형 페라이트부재(66)를 끼워서 장착하기 때문에, 가령 동축 케이블(52)의 외부 도체(접지부)(52b)에 정재파 노이즈가 발생했다고 하더라도, 비드형 라이트부재(66)에 의해 정재파 노이즈를 효과적으로 흡수하여 충분히 제거할 수 있다.
또한, 이 플라즈마 전자 밀도 측정장치에서는, 동축 케이블(52)의 외부 도체(접지부)(52b)를 어스용 도체(62) 및 챔버(10)를 거쳐서 접지하고 있다. 이 챔버(10)를 이용한 RF 쉴드(shield) 기능에 의해, 대기나 측정 회로(54)에의 RF 노이즈의 리크를 효과적으로 방지하여, 인체나 계측 기기의 안전성을 확보함과 동시에, 가스 검지기 등의 주위의 전자 기기의 오 동작을 회피할 수 있다.
또한, 이 RF 쉴드기능에 의하면, 동축 케이블(52)의 외부 도체(접지부)(52b) 상에는 어스용 도체(62)와의 접속위치 또는 단락점(A)보다 내측[프로브부(52a)측]에서 노이즈 신호가 전파하기 때문에, 정재파 노이즈 흡수용의 비드형 페라이트부재(66)도 단락점(A)보다 내측에 배치하는 것이 바람직하고, 더욱 바람직하게는 이 실시예와 같이 정재파 노이즈의 파복부(波腹部)인 단락점(A)에 가급적 근접시키는 배치 구성으로 하여도 무방하다.
또한, 이 실시예에서는, 절연관(50)의 선단측, 즉 프로브부(52a)의 대향측을 개구하여, 이 개구부로부터 냉각 가스 공급부(80)로부터의 에어를 흘려넣어 동축 케이블(52)을 효과적으로 냉각하기 때문에, 프로브부(52a) 주위의 열팽창이나 열손상을 방지하여, 내구성을 향상시킬 수 있다.
이 실시예의 플라즈마 전자 밀도 측정 방법 및 장치는, 직경이 큰 챔버의 300mm 장치나 FPD 장치로도 신뢰성이 높은 플라즈마 전자 밀도 측정을 용이하고 효율적으로 단시간에 실행할 수 있다.
또한, 본 발명은, 상기한 바와 같이 플라즈마 밀도가 낮은 장소에서도 전자 밀도를 정확히 측정할 수 있기 때문에, 플라즈마에 요란을 부여하지 않는 측정위치에서의 모니터링을 가능하게 한다. 도 13에, 이와 같은 무요란(無擾亂)의 플라즈마 모니터링을 가능하게 하는 본 발명의 일 실시예를 나타낸다. 도면에 있어서, 도 1의 각 부분과 실질적으로 동일한 구성 또는 기능을 갖는 부분에는 동일한 부호를 부여한다.
도 13에 있어서, 이 실시예의 플라즈마 전자 밀도 측정장치에서는, 챔버(10)의 측벽, 상부전극(24) 중심부 및 하부전극(16) 주변부의 3곳에 프로브 유닛(100, 102, 104)이 매립식으로 부착되어 있다. 이들 프로브 유닛 모두 플라즈마 영역의 주변에 위치하여, 플라즈마(PZ)를 요란하지 않고서 부근의 전자 밀도를 측정할 수 있다.
도 14a 및 도 14b에, 측벽 매립의 프로브 유닛(100)의 구성예를 나타낸다. 도 14a의 구성은, 상기 실시예(도 1)에 있어서의 절연관(50)의 선단부를 폐색하여 챔버(10)의 측벽으로부터 플라즈마 영역을 향하여 아주 약간 돌출하도록 장착한 것이다. 전방(플라즈마 영역) 방향으로의 전파 방사의 지향성을 높이기 위하여, 프로브부(52a)의 선단을 절연관(50)의 선단부에 붙이는 것이 바람직하다.
도 14b의 구성은, 절연체로 이루어지는 통형상의 하우징(106)을 챔버(10)의 측벽의 내면에 대하여 면일치되게 또는 오목하게 되도록 장착한 것이다. 이 하우징(106)의 선단면에는, 유전율이 큰 재질 예를 들면 사파이어로 이루어지는 판두께가 작은 창부재(108)를 마련하는 것이 측정 감도를 향상시키는 데에 있어서 바람직하다. 또한, 도시하는 바와 같이, 프로브부(52a)의 선단을 L형으로 절곡하는 구성에 의해, 프로브부(52a)에 임피던스의 불연속점을 형성하고, 거기에서 전파를 효과적으로 전방으로 방사할 수 있다.
전방 지향성을 높이기 위해서, 그 외에도, 도 15의 (B)에 도시하는 바와 같이 프로브부(52a)의 선단에 예를 들면 원반형상의 용량성 커플링부재(110)를 장착하는 구성이나, 도 15의 (D)에 도시하는 바와 같이 프로브부(52a)의 선단에 예를 들면 십자형의 유도성 안테나부재(112)를 장착하는 구성도 가능하다. 또한, 도 15의 (A)의 프로브 구조는 도 14a의 프로브 유닛(100)에 의해 채용되어 있는 것이고, 도 15의 (C)의 프로브 구조는 도 14b의 프로브 유닛(100)에 의해 채용되어 있는 것이다. 전극 주위의 프로브 유닛(102, 104)도 상기 프로브 유닛(100)과 동일한 구조 및 기능을 갖는 것이어도 무방하다.
도 13에 있어서, 각 프로브 유닛(100, 102, 104)은 셀렉터 스위치(114)를 거쳐서 공통의 네트워크 분석기(68)에 접속 가능하게 되어 있다. 플라즈마 처리중에, 계측 제어부(74)의 제어하에서 셀렉터 스위치(114)를 시분할방식으로 각 프로브 유닛(100, 102, 104)으로 전환함으로써, 챔버(10)내의 플라즈마 밀도에 대하여 복수 모니터 위치로부터의 동시 측정을 1대의 측정부(54)에 의해 효율적으로 실행할 수 있다. 또한, 프로세스중에 챔버(10)내의 플라즈마(PZ)에 요란을 부여하는 일없이 그 주변에서 플라즈마 전자 밀도의 변동 나아가서는 프로세스의 실제 상황을 간편하게 모니터링할 수 있다. 측정부(54)로부터 모니터 정보를 주 제어부(20)에 부여하여, 측정 결과를 현재의 프로세스 조건 또는 다음번의 프로세스 조건에 피드백시키는 것도 가능하다. 플라즈마 프로세싱에 있어서의 대표적인 프로세스 파라미터는, 압력, RF 파워, 가스 유량, 온도 등이다.
도 16에 나타내는 실시예는, 도 13의 실시예를 복수대의 플라즈마 처리장치로 발전시킨 것이다. 도시하는 바와 같이, 2대(3대 이상도 가능)의 플라즈마 처리장치에 각각 장착된 내장형 프로브 유닛(116, 118)을, 셀렉터 스위치(114)에 의해 시분할로 동시에 공통의 벡터 네트워크 분석기(68)에 접속할 수 있다. 이 시스템에서도, 계측부(54)로부터 각 처리장치마다의 모니터 정보를 각 장치의 프로세스 제어부(20)에 부여하여, 각 처리장치마다 프로세스 제어부(20)가 측정 결과를 현재의 프로세스 조건 또는 다음번의 프로세스 조건에 피드백시킬 수 있다. 또한, 이들 복수대의 플라즈마 처리장치가 동일 기종인 경우에는, 장치간의 차이의 유무도 정확히 판단할 수 있다.
또한, 도 13의 실시예를 적용할 수 있는 한 응용예에는 시즈닝이 있다. 당업자에게는 주지와 같이, 시즈닝은, 챔버의 클리닝 또는 부품 교환후에, 챔버의 실내를 프로세스 조건의 분위기로 안정시키기 위해서, 더미 웨이퍼을 이용하여 플라즈마 에칭의 사이클(파일럿 운전)을 적당한 회수 되풀이하는 공정이다. 통상, 클리닝 또는 부품 교환의 직후에는, 플라즈마 공간으로부터 챔버 내벽에의 퇴적물의 부착이 챔버 내벽으로부터 플라즈마 공간에의 퇴적물의 방출보다 우수하여, 프로세 스가 안정되지 않는다. 플라즈마 처리의 사이클을 몇회 되풀 이하는 동안에, 챔버 내벽에서의 퇴적물의 부착과 방출이 균형되어 프로세스가 안정되어 간다.
종래는, 표준 레시피의 조건하에서 프로세스 사이클마다 에칭레이트를 모니터링하고, 에칭레이트가 정상화할 때까지 필요한 더미 웨이퍼의 매수(또는 파일롯 사이클 수, 파일럿 운전 시간 등)을 시즈닝 조건으로서 확정하고, 그 시즈닝 조건을 고정화하여 모든 프로세스 레시피에 적용하였다. 그러나, 당연한 일이지만, 고정 시즈닝 조건은 모든 프로세스 레시피에 타당한 것은 아니고, 과잉하거나, 부족하거나 하는 경우가 있어, 문제가 된다. 즉, 시즈닝 조건이 과잉인 경우에는, 쓸데 없는 에칭 사이클을 수반하여, 스루풋의 저하를 가져온다. 시즈닝 조건이 부족한 경우는, 불안정한 프로세스를 정규의 웨이퍼에 실시해 버려, 양품률의 저하를 초래한다. 또한, 프로세스 엔지니어 또는 오퍼레이터의 경험이나 감에 근거하여 시즈닝 조건을 설정하는 것도 실행되고 있지만, 역시 확실성이나 범용성이 낮아, 상기와 동일한 문제가 있었다. 본 발명에 의하면, 이하에 설명하는 바와 같이, 각각의 프로세스 레시피에 대하여 적응형의 시즈닝 제어를 실행하여, 스루풋 향상과 양품률 향상의 트레드오프(tradeoff)를 해결할 수 있다.
본 발명의 플라즈마 공진 프로브법에 의하면, 상기한 바와 같이, 플라즈마 밀도가 낮은 장소에서도 전자 밀도를 정확히 측정할 수 있기 때문에, 예컨대 챔버(10)의 측벽에 프로브 유닛(100)을 장착하여, 플라즈마에 요란을 부여하지 않고서 실제 프로세스중의 전자 밀도를 모니터링할 수 있다. 여기서, 실제 프로세스, 예컨대 플라즈마 에칭에 있어서는, 챔버의 클리닝 또는 부품 교환을 실행한 후에는, 최초의 에칭 사이클(1장째의 웨이퍼)에서 에칭레이트가 가장 높고, 에칭 사이클을 되풀이할 때마다 에칭레이트가 점차적으로 감소하여, 임의의 사이클 이후에는 에칭레이트가 안정화된다. 도 17에, 시즈닝의 에칭 사이클에 있어서 웨이퍼상의 각 위치에서 에칭레이트가 점차적으로 감소하여 안정화되는 모양의 일례를 나타낸다. 도시의 예는 실리콘 산화막 에칭이며, 주된 에칭 조건은 아래와 같다.
웨이퍼 직경 : 200mm
가스 압력 : 15mTorr
상부 및 하부전극간거리 : 25mm
에칭 가스 : C5F8/O2/Ar=15/380/19sccm
RF 전력 : 상부/하부= 2170/1550W
도 17에 도시하는 바와 같이, 에칭레이트(E/R)는, 웨이퍼 1장째(No.1)와 3장째(No.3) 사이에서 변화량(감소량)이 상당히 크고, 3장째(No.3)와 5장째(No.5) 사이에서도 아직 상당한 변화량(감소량)이 있는 것에 대하여, 5장째(No.5)부터 7장째(No.7)에 걸쳐서는 변화량(감소량)이 매우 작아지고 있다. 이 예의 경우는, 5장째(No.5)당 시즈닝이 완료한 것으로 볼 수 있다. 또한, 웨이퍼면내에서는, 웨이퍼 중심부에서 에칭레이트(E/R)의 변화량이 가장 현저하지만, 웨이퍼 에지 부근에서도 유의(有意)의 변화량을 판독할 수 있다.
도 18에, 상기의 예에서 1장째(No.1)의 웨이퍼에서 7장째(No.3)의 웨이퍼에 걸쳐서 에칭레이트(E/R)의 웨이퍼 면내 평균값(Ave. E/R)이 점차적으로 감소하여 안정화하는 모양과, 각 에칭 사이클에 있어서의 전자 밀도(Ne)의 시간적 변동을 나타낸다. 여기서, 전자 밀도(Ne)는 본 발명의 플라즈마 공진 프로브법에 의해 챔버측벽 부근(측벽으로부터 10mm의 위치)에서 모니터링된 것이고, 각 에칭 사이클[에칭 시간(TA)=60초]중에 4초 간격으로 15개의 측정 데이터가 플롯되어 있다. 또한, 에칭레이트 평균값(Ave. E/R)은 1장째(No.1)의 웨이퍼에 의해 얻어진 것을 기준값으로 하여 규격화(a.u)되어 있고, 전자 밀도(Ne)는 1장째(No.1)의 웨이퍼에 의해 얻어진 것의 평균값을 기준값으로 하여 규격화(a.u)되어 있다.
도 18에 도시하는 바와 같이, 시즈닝에 있어서는 에칭 사이클에 대응한 에칭레이트(E/R)의 변화와 전자 밀도(Ne)의 변화와의 사이에 상관 관계가 있는 것을 알 수 있다. 즉, 에칭 사이클의 회수가 1, 2, 3, …으로 증가함에 따라, 에칭레이트 평균값(Ave. E/R)이 점차적으로 감소하는 것과 호응(연동)하여 각 에칭 사이클에 있어서의 전자 밀도(Ne)의 최대값(사이클 개시시의 값), 최소값(사이클 종료시의 값) 및 평균값도 점차적으로 감소하고, 에칭레이트 평균값(Ave. E/R)이 안정화되어 가면 전자 밀도(Ne)의 최대값, 최소값 및 평균값도 안정화되어 간다.
본 발명에 의하면, 챔버(10)에서 클리닝 또는 부품 교환을 한 후에는, 챔버(10)에 교체 반입되어 플라즈마 에칭을 받는 각각의 더미 웨이퍼에 대하여 에칭 사이클중에 시간적으로 변화하는 전자 밀도(Ne)의 측정값의 대표점(최대값, 최소값 또는 평균값 등)을 챔버(10)의 벽 근방에서 실제 프로세스에 영향을 미치지 않고서 고정밀도로 모니터링하고, 서로 전후하는 더미 웨이퍼 사이에서 대표점이 실질적인 정상값으로 안정된 시점에서 시즈닝을 완료시켜, 챔버(10)에 반입하는 피 처리 기판을 더미 웨이퍼로부터 정규의 웨이퍼로 전환할 수 있다.
상기한 실시예에서는, 측정부(54)의 벡터 네트워크 분석기(68)에 있어서 복소반사계수(Γ)로부터 허수부(Γi)의 주파수 특성을 취득하여, 허수부(Γi)의 제로 크로스점으로부터 공진 주파수(fp)를 판독하였다. 일 변형예로서, 벡터 네트워크 분석기(68)에 있어서 입사파와 반사파와의 위상차를 측정하고, 이 위상차의 주파수 특성에 있어서 제로 크로스점의 주파수를 공진 주파수(fp)로 하는 방식도 가능하다. 즉, 벡터 네트워크 분석기(68)에 의해 측정되는 입사파와 반사파의 위상차의 부합은 복소반사계수(Γ)의 허수부(Γi)의 부합에 대응하여, 위상차가 제로로 되는 주파수는 복소반사계수(Γ)의 허수부(Γi)가 제로로 되는 주파수, 즉 공진 주파수(fp)이다. 따라서, 위상차로부터 산출한 공진 주파수(fp)로부터 정밀도가 높은 전자 밀도의 측정값을 구할 수 있다.
또한, 상기한 실시예에서는, 절연관(50) 안에서 동축 케이블(52)의 프로브부(52a)를 각 측정위치(hi)에 간헐적인 스텝 이동에 의해 순차적으로 위치 정렬했다. 그러나, 예컨대 리니어 액츄에이터(54)에 로터리 인코더 또는 리니어 인코더 등의 위치 센서를 장착하여, 슬라이더부(76) 내지 프로브부(52a)의 현재 위치를 검출함으로써, 동축 케이블(52)을 등속도로 연속적으로 축방향으로 이동시키면서, 프로브 부(52a)가 각 측정위치(hk)를 통과할 때에 네트워크 분석기(68)를 기동시켜 반사계수의 주파수 특성을 취득하는 방법도 가능하다. 또한, 챔버(10)내의 측정위치(hk)를 1곳만으로 하는 것도 물론 가능하다.
또한, 상기한 실시예에서는, 동축 케이블(52)의 프로브부(52a)를 수용하는 절연관(50)을, 챔버(10)의 측벽의 서로 대향하는 위치에 마련한 1쌍의 지지부[관통구멍(10a, 10a)] 사이에 수평으로 걸쳐놓았다. 그러나, 챔버(10)내에 관 선단부를 떠 있는 상태로 절연관(50)을 지지 또는 부착하는 외팔보방식으로도, 본 발명의 플라즈마 공진 프로브법을 적용할 수 있다. 상기 실시예에 있어서의 액츄에이터(56)는, 전기 모터의 회전 구동력을 볼 나사 기구에 의해 직선적인 구동력으로 변환하는 방식이었다. 그러나, 본 발명에서 이용하는 엑츄에이터는, 그와 같은 모터식에 한정하는 것이 아니고, 공기압식이나 자기식 등의 임의의 구동 방식이 가능하다.
다음에, 도 19 내지 도 31에 관하여 본 발명의 플라즈마 흡수 프로브법(PAP)에 관한 실시예를 설명한다. 도 19에, 이 제 2 실시예에 의한 플라즈마 전자 밀도 측정 방법 및 장치를 적용한 플라즈마 처리장치의 구성을 나타낸다. 도면에 있어서, 도 1의 플라즈마 처리장치 및 플라즈마 모니터링 장치에 있어서의 것과 동일한 구성 또는 기능을 갖는 부분은, 동일한 부호를 부여하여, 그 상세한 설명을 생략한다.
이 제 2 실시예에서는, 플라즈마 전자 밀도 측정장치의 측정부(54)가, 플라즈마 흡수 프로브법(PAP)을 위한 스칼라 네트워크 분석기(120)와 계측 제어부 (122)를 구비한다.
스칼라 네트워크 분석기(120)는, 예를 들면 수백 MHz에서 수 GHz의 대역에서 주파수 스위핑하면서 각 주파수에 대하여 미소 전력의 전자파 신호(입사파)를 동축 케이블(52)의 프로브부(52a)에 보내어 챔버(10)내의 플라즈마(PZ)를 향하여 방사하고, 플라즈마(PZ)로부터 반사되어 온 전자파(반사파)의 파워량과 입사파의 파워량의 비로부터 스칼라 표시의 반사계수를 구하여, 그 주파수 특성을 취득한다. 계측 제어부(122)는, 계측용의 제어 및 연산 처리를 실행하고, 특히 스칼라 네트워크 분석기(120)에 의해 취득되는 스칼라 표시의 반사계수의 주파수 특성을 취입하여, 그 주파수 특성에 있어서 파형의 최소 피크 또는 흡수 피크를 산출하고, 그 흡수 피크에 대응하는 주파수, 즉 플라즈마 흡수 주파수를 구하도록 되어 있다.
여기서, 도 20 내지 도 22에 관하여, 이 실시예의 플라즈마 모니터링 장치에 있어서 플라즈마 흡수 주파수 및 전자 밀도를 측정하기 위한 방법을 설명한다. 도 20에 도시하는 바와 같이, 이 실시예에 있어서의 플라즈마 모니터링는 설정, 일괄측정 및 일괄 데이터 처리의 3단계의 공정으로 대별된다.
설정공정(스텝 S1)에서는, 계측 제어부(122)에 있어서, 모니터링에 관계하는 파라미터(예를 들면 RF전력, 압력, 가스종, 전극간 거리, 전극 구조 등)나 측정위치 등이 설정 입력된다. 측정위치는, 각 위치의 데이터가 직접 설정 입력되더라도 무방하고, 또는 원점위치와 측정 포인트 수나 피치(측정위치 간격) 등의 설정 입력값으로부터 연산에 의해 도출되어도 무방하다.
일괄측정의 공정은, 챔버(10)내에 플라즈마(PZ)가 존재하지 않는 플라즈마 OFF 상태하에서 모든 측정위치에 대하여 반사계수의 주파수 특성(제 1 주파수 특성)을 일괄 취득하는 제 1 일괄측정 공정(스텝 S2)과, 챔버(10)내에 플라즈마(PZ)가 존재하고 있는 플라즈마 ON 상태하에서 모든 측정위치에 대하여 반사계수의 주파수 특성(제 2 주파수 특성)을 일괄 취득하는 제 2 일괄측정 공정(스텝 S3)으로 이루어진다.
도 21에, 제 1 일괄측정 공정(스텝 S2)에 있어서의 상세한 순서를 나타낸다. 이 제 1 일괄측정 공정에서는, 최초로 챔버(10)내에 플라즈마(PZ)가 존재하지 않는 것을 확인한다(스텝 A1, A 2). 장치적으로는, 고주파 전원(18, 38)이 고주파 전력의 출력을 정지시키고, 처리 가스 공급부(34)가 처리 가스의 공급을 정지시킴으로써, 플라즈마(PZ)가 없는 상태, 즉 플라즈마 OFF 상태로 할 수 있다. 또한, 챔버(10)내의 압력은 소정의 진공도로 유지해 놓는다.
이렇게 해서, 챔버(10)내에 플라즈마(PZ)가 존재하지 않는 상태하에서, 미리 설정된 직경방향의 측정위치(h1, h2, …, hi, …, hn -1, hn)에 관하여 차례로 반사계수의 주파수 특성(제 1 주파수 특성)을 취득한다. 보다 상세하게는, 제 1 실시예의 경우(도 1)와 마찬가지로, 프로브부(52a)를 목적으로 하는 측정위치(hi)에 위치 정렬하고(스텝 A3), 스칼라 네트워크 분석기(120)로부터 예를 들면 수백 MHz에서 수 GHz의 대역에서 주파수 스위핑하면서 예를 들면 1mW 정도의 전자파 신호(검사 전파 또는 입사파)를 동축 케이블(52)의 프로브부(52a)에 보내어 주위의 공간에[주로 프로브부(52a)에서 보았을 때에 반경 방향으로] 방출시키고, 스칼라 네트워크 분석기(120)에 되돌아 온 반사 신호의 파워량과 입사파의 파워량의 비로부터 스칼라 표시의 반사율 또는 반사계수를 구하고, 스칼라 네트워크 분석기(120)에 의해 취득 내지 표시되는 반사계수의 주파수 특성[Γi(f)](S11 파라미터)를 계측 제어부(122)내의 메모리에 기억한다(스텝 A4, A5). 이어서, 프로브부(52a)를 다음 측정위치(hi+1)로 이동시키고(스텝 A6→A7→A8→A3), 상기와 마찬가지의 신호 처리에 의해 반사계수의 주파수 특성[Γi+1(f)](S11 파라미터)를 취득하고(스텝 A4), 그 취득한 주파수 특성의 데이터를 측정 데이터로서 계측 제어부(122)내의 메모리에 기억한다(스텝 A5). 상기한 바와 같은 일련의 공정(단계 A3, A4, A5)을 모든 측정위치(h1, h2, …, hi, …, hn -1, hn)에 대하여 되풀이한다(스텝 A6, A7, A8).
이 실시예에 있어서도, 도 4에 도시하는 바와 같이 리니어 액츄에이터(56)에 의해 동축 케이블(52)을 절연관(50)으로부터 뽑아내는 방향으로 간헐적으로 이동시켜 프로브부(52a)를 도면의 우단(시단)의 측정위치(h1)로부터 좌단(종단)의 측정위치(hn)까지 순차적으로 스텝 이동시킴으로써, 상기 일련의 공정(A3, A4, A5)을 효율적으로 단시간(수초 이내의 택트 시간)으로 실시할 수 있다.
도 22에, 제 2 일괄측정 공정(스텝 S3)에 있어서의 상세한 순서를 나타낸다. 이 제 2 일괄측정 공정에서는, 우선 챔버(10)내에 소망하는 플라즈마(PZ)를 생성시킨다(스텝 Bl). 장치적으로는, 고주파 전원(18, 38)이 미리 설정된 RF 파워로 고주파 전력을 양 전극(16, 24)에 각각 인가하고, 처리 가스 공급부(34)가 챔버(10)내에 소망하는 처리 가스를 공급함으로써, 플라즈마(PZ)가 존재하는 상태, 즉 플라 즈마 ON 상태로 할 수 있다.
이렇게 해서, 챔버(10)내에 플라즈마(PZ)가 발생하고 있는 상태하에서, 상기 제 1 일괄측정 공정시와 동일한 측정위치(h1, h2, …, hi, …, hn -1, hn)에 대하여 차례로 반사계수의 주파수 특성(제 2 주파수 특성)을 취득한다. 보다 상세하게는, 상기와 동일한 순서 및 신호 처리에 의해, 리니어 액츄에이터(56)에 있어서 동축 케이블(52)의 프로브부(52a)를 각 측정위치(h1)에 순차적으로 위치 정렬하고(스텝 B2), 각 측정위치(hi)에 대하여 스칼라 네트워크 분석기(120)에 있어서 반사계수의 주파수 특성[Γi(pf)]을 취득하고(스텝 B3), 그 취득한 주파수 특성의 데이터를 측정 데이터로서 계측 제어부(74)내의 메모리(74a)에 기억한다(스텝 B4). 이와 같은 일련의 공정(단계 B2, B3, B4)을 모든 측정위치(h1, h2, …, hi, …, hn -1, hn)에 대하여 되풀이한다(단계 B5, B6, B7).
이 제 2 일괄측정 공정에서도, 도 5에 도시하는 바와 같이 리니어 액츄에이터(56)에 의해 동축 케이블(52)을 절연관(50)으로부터 뽑아내는 방향으로 간헐적으로 이동시켜 프로브부(52a)를 도면의 우단(시단)의 측정위치(h1)에서 좌단(종단)의 측정위치(hn)까지 순차적으로 스텝 이동시킴으로써, 상기 일련의 공정(B2, B3, B4)을 효율적으로 수초 이내의 택트 시간으로 실시할 수 있다.
또한, 동축 케이블(52)에 있어서는, 외부 도체(52b)가 스테인레스 파이프로 강성이 우수하기 때문에, 절연관(50)으로부터 빼내지는 방향으로 이동할 때에 안정 된 직선성을 유지할 수 있음과 동시에, 플라즈마(PZ)의 고온 분위기내에서도 절연재(52c)의 열팽창 내지 파열을 방지할 수 있다.
도 20에 있어서, 일괄 데이터 처리의 공정은, 모든 측정위치(h1, h2, …, hi, …, hn -1, hn)에 대하여, 상기 일괄측정 공정에서 얻어진 반사계수의 제 1 주파수 특성[Γ(f)]와 제 2 주파수 특성[Γ(pf)]으로부터 소정의 연산(예를 들면 나눗셈 또는 감산 등)에 의해 플라즈마 흡수 주파수를 일괄해서 산출하는 제 1 데이터 처리공정(스텝 S4)과, 플라즈마 흡수 주파수의 측정값을 기초로 상기 식(1)의 연산에 의해 플라즈마 전자 밀도를 일괄해서 산출하는 제 2 데이터 처리공정(스텝 S5)을 포함한다.
보다 상세하게는, 제 1 데이터 처리공정(스텝 S4)에서는, 각각의 측정위치(h1, h2, …, hi, …, hn -1, hn)에 대하여 제 1 주파수 특성[Γi(f)]와 제 2 주파수 특성[Γi(pf]의 비[Γi(pf)/Γi(f)]을 연산한다. 이 비[Γi(pf)/Γi(f)]는 진공 상태를 기준으로 한 플라즈마에 의한 에너지 흡수의 주파수 특성을 의미한다. 엄밀하게는 프로브부(52a)로부터 방출된 전자파가 주위의 절연관(50)의 표면을 전파하고, 그 표면파의 주파수가 플라즈마의 전자 진동수(fp)와 일치했을 때에 전자파 흡수가 일어나 반사율이 극단적으로 낮아진다. 따라서, 비[Γi(pf)/Γi(f)]가 극소 피크가 되는 시점의 주파수를 산출함으로써, 이것을 플라즈마 흡수 주파수의 측정값으로 할 수 있다.
제 2 데이터 처리공정(스텝 S5)에서는, 각각의 측정위치(h1, h2, …, hi, …, hn-1, hn)에 대하여 플라즈마 흡수 주파수의 측정값을 기초로 상기 식 (1)을 연산하여 전자 밀도(Ne)의 측정값을 구한다. 각 측정위치에 대응시켜 전자 밀도(Ne)의 측정값을 그래프상에 플롯함으로써, 플라즈마(PZ)의 직경방향에 있어서의 전자 밀도(Ne)의 공간 분포를 조사할 수도 있다.
도 23에, 이 실시예의 플라즈마 모니터링 장치에 있어서 얻어지는 전자 밀도(Ne)의 공간 분포 특성의 일례(실시예)를 비교예와 대비하여 나타낸다. 여기서, 비교예는, 도 19의 장치 구성하에서, 각각의 측정위치(hi)마다 플라즈마 OFF 상태와 플라즈마 ON 상태를 전환하여 반사파의 제 1 주파수 특성[Γi(f)]과 제 2 주파수 특성[Γi(pf)]을 취득하는 방식에 의해 얻어지는 전자 밀도(Ne)의 공간 분포 특성이다.
도시하는 바와 같이, 실시예와 비교예에서 전자 밀도(Ne)의 공간 분포 특성(측정값)에 그다지 차이는 없다. 그러나, 비교예는, 각 측정위치(hi) 마다 플라즈마 OFF 상태와 플라즈마 ON 상태를 전환하여 그 때마다 반사계수의 측정을 실행하는 방식이고, 측정 포인트의 수에 비례한 ON/OFF 전환 시간을 써버리기 때문에, 전체의 측정 효율이 낮아, 일 측정위치당 수분의 측정 시간이 필요하다. 이에 대하여, 실시예는, 전체 측정위치(hi 내지 hn)에 대하여 플라즈마 OFF 상태에서의 반사계수 측정과 플라즈마 ON 상태에서의 반사계수 측정을 각각 일괄하여 실행하는 방식이며, 측정 포인트의 수에 관계없이 ON/OFF 전환 시간은 1회분으로 끝나기 때문에, 전체의 측정 효율은 높고, 일 측정위치당 측정 시간을 수초 이내로 단축할 수 있다. 따라서, 도 23의 예(측정 포인트가 16개)의 경우, 전체 측정 시간은, 비교예가 약 30분 걸리는 데 대하여, 실시예에서는 약 3분 이내에 끝마칠 수 있다. 이러한 측정 효율 내지 측정 시간의 차는, 측정 포인트의 수를 증가시킬 정도로 현저하게 된다.
이와 같이, 이 실시예의 플라즈마 모니터링 장치에 의하면, 플라즈마 흡수 주파수나 전자 밀도를 효율적으로 단시간에 측정하는 것이 가능하고, 직경이 큰 챔버의 300mm 장치나 FPD 장치로도 신뢰성이 높은 플라즈마 모니터링을 용이하고 효율적으로 단시간에서 실행할 수 있다.
또한, 실제의 제조 프로세스에 있어서 해당 플라즈마 처리장치가 가공 처리를 실행할 때에는, 프로브 기구(절연관, 동축 케이블)는 처리장치로부터 제거되는 것이 바람직하다. 이 실시예에서는, 절연관(50)을 빼내면 개구하는 챔버(10)의 관통구멍(10a)을 마개 등의 실링 부재로 폐색하여 진공 밀봉하여도 무방하다.
이하, 이 제 2 실시예에 있어서의 구체예를 설명한다.
상기 플라즈마 처리장치(도 19)에 있어서, 상부 및 하부 고주파 전력[고주파 전원(38, 18)]의 RF 주파수를 각각 60MHz, 2MHz로 하고, 상부전극(24)과 하부전극(서셉터)(16)과의 거리 간격(갭)을 25mm로 설정했다.
상기 실시예의 플라즈마 모니터링 장치에 있어서, 절연관(50)은, 전체 길이 550mm, 외경 3mm, 내경 1.5mm인 투명 석영관을 사용하고, 챔버(10) 측벽의 양 관통구멍(10a) 사이에 걸쳐놓은 높이 위치(측정 높이 위치)를 상부전극(24)으로부터 10mm, 하부전극(16)으로부터 15mm의 위치에 설정했다. 동축 케이블(52)은, 심선(내부 도체)(52a)의 외경 0.20mm, 외부 도체(52b)의 외경 0.86mm, 특성 임피던스 50Ω의 반강성 케이블 SC-086/50(코악스사 제품)을 사용하고, 선단부의 테프론(등록상표)이 부착된 심선(52a)을 10mm 노출시켜 프로브부로 했다. 측정회로(54)에 있어서, 하이 패스 필터(72)는 일본 고주파사의 HPF(150), RF 리미터(70)는 아지렌토 테크놀로지사의 11930B, 스칼라 네트워크 분석기(120)는 아지렌토 테크놀로지사의 HP8753ET를 이용했다. 스칼라 네트워크 분석기(120)에 있어서는, 150MHz에서 2500MHz까지의 고주파 신호(0dBm : lmW)를 600msec마다 스위프하여 출력시키도록 했다. 리니어 액츄에이터(56)에는, THK사의 리니어 액츄에이터 LCA40를 이용하였다.
상기 실시예에 의한 개량형 플라즈마 흡수 프로브법에서는, RF 리크 대책을 위해 동축 케이블(52)의 접지 라인(52b)을 챔버(10)의 하우징체(측벽)에 단락시켜 접지하고 있지만, 비드형 페라이트부재(66)를 구비하지 않은 구성에 있어서 동축 케이블(52)의 챔버(10)내에의 삽입 길이(L)[단락점(A)에서 프로브부(52a) 선단까지의 거리]를 바꾸면, 주기적으로 플라즈마 흡수 주파수 이외의 노이즈라고 생각되는 피크가 나타나는 현상이 보였다.
이 노이즈 발생의 메카니즘을 분명히 하기 위해서, 동축 케이블(52)의 삽입 길이(L)(도 2)를 변화시키고, 이 때 얻어지는 노이즈 피크의 주파수 특성을 조사했 다. 도 24에 이 주파수 특성을 나타낸다. 도 24로부터, 노이즈 피크는 동축 케이블(52)의 삽입 길이(L)에 대응하여 1500MHz 이상의 대역에서 주기적으로 나타나는 것을 알 수 있다. 또한, 플라즈마 주파수(fp)는 1000MHz 내지 1500MHz의 범위내에 존재한다.
도 25에, 노이즈 피크의 주파수(실측값)를 플롯으로 나타내고, 삽입 길이(L)에 의해 결정되는 공진 주파수(피크 주파수 계산값)를 곡선으로 나타낸다. 도 25에 있어서, λ는 동축 케이블(52)의 외부 도체(52b)를 전파하는 노이즈 신호의 파장을 나타내고, λg는 심선[내부 도체(52a)]를 전파하는 노이즈 신호의 파장을 나타낸다. 도 25의 그래프로부터, 노이즈 피크의 측정값과 계산값(반파장의 정수배)가 거의 완전히 일치하는 것을 알 수 있다.
이 결과로부터, 삽입한 동축 케이블(52)의 접지 라인(52b)에 의해 정재파가 발생하고, 이 정재파가 프로브에 신호로서 인식되어, 본래의 플라즈마에 의한 흡수 피크 이외의 정재파 노이즈 피크가 발생하고 있다고 고려된다. 정재파 노이즈가 발생하면, 플라즈마 흡수의 주파수 특성의 S/N이 악화될 뿐만 아니라, 플라즈마 흡수 피크로 오인되어 버릴 우려가 있다.
그러므로, 상기 실시예와 같이, 전자파 흡수체(66)에 의한 정재파 노이즈의 흡수를 실험에 의해 시도했다. 전자파 흡수체(66)에는, TDK사의 비드 페라이트 HF70BB3.5.3을 이용했다. 또한, 플라즈마 처리장치에 있어서의 플라즈마 생성 조건으로서, 처리 가스에 C5F8/Ar/O2 혼합 가스(유량 : 15/380/19sccm)를 사용하고, 챔버(10)내의 압력을 2.0Pa(15mTorr), 상부/하부 고주파 전력을 2.17/1.55kW, 상부전극/챔버 측벽/하부전극의 온도를 60/50/20℃로 각각 설정했다. 측정위치를 웨이퍼 중심위치로부터의 반경방향의 거리를 R로 하여, R=0(웨이퍼 중심), R=160mm의 2곳를 선택했다.
도 26a 및 도 26b에, 이 실험 결과의 데이터를 나타낸다. 이 실험 결과로부터 알 수 있는 바와 같이, 전자파 흡수체(66)를 동축 케이블(52)에 장착함으로써, 본래의 플라즈마 흡수 피크에 영향을 부여하는 일없이 정재파 노이즈를 효과적으로 제거할 수 있는 것이 분명히 확인되었다.
다음에, 플라즈마 클리닝 조건에서의 노이즈 저감 효과를 실험으로 평가했다. 목적은 플라즈마 클리닝과 같이 전자 밀도가 저하되고, S/N이 악화되는 조건에서도, 전자파 흡수체(66)에 의해 정재파 노이즈를 효과적으로 저감할 수 있는지를 확인하기 위함이다. 또한, 플라즈마 클리닝이란, 주지하는 바와 같이, 챔버 내벽 등에 부착된 반응 잔류물을 플라즈마를 이용하여 제거하는 클리닝 방법이다.
플라즈마 생성 조건으로서, 처리 가스에 O2 가스를 사용하고, 공급 유량을 2OOsccm, 압력을 2.0Pa(15mTorr), 하부 전력을 200W, 상부전극/챔버측벽/하부전극의 온도를 30/50/20℃로 각각 설정하고, 하부 전력을 1500W에서 200W까지 변화시켰다. 측정위치는 R=0(웨이퍼 중심)으로 했다.
도 27에, 이 실험 결과를 나타낸다. 역시 정재파 노이즈만을 선택적으로 제거할 수 있기 때문에, 플라즈마 흡수 피크가 약한 시그널로도 용이하게 피크 검출 을 실행할 수 있는 것을 알 수 있었다.
또한, 동축 케이블(52)에 장착하는 전자파 흡수체(66)를 증강시켰을 때의 시그널의 변화를 평가했다. 구체적으로는, 동축 케이블(52)에 직렬로 장착하는 비드 페라이트(HF70BB3.5x5x1.3)를 5개, 10개, 15개로 했을 때의 플라즈마 흡수 피크의 시그널(깊이)을 비교했다. 결과는 도 28에 도시하는 바와 같이, 비드 페라이트의 장착 수를 증가시킴으로써 시그널을 증가할 수 있는 것을 알 수 있었다. 이것은 페라이트가 시그널 안에 포함되는 노이즈 성분을 전자 유도를 통해서 제거하기 때문이라고 고려된다. 다만, 전자파가 페라이트에 흡수되면 열 에너지로 변환되기 때문에, 페라이트 자체가 가열되고, 그 온도가 퀴리(curie) 점(Tc : 약 100℃)을 넘으면 페라이트는 전자파 흡수의 특성을 잃는다. 따라서, 비드 페라이트를 냉각하는 것이 바람직하다. 이 실시예에서는, 냉각 가스 공급부(80)의 동작에 의해 전자파 흡수체(66)도 공냉되도록 되어 있다.
또한, 하기와 같이 몇 개의 프로세스 플라즈마에 대하여 압력과 전자 밀도 공간 분포와의 상관 관계에 대하여 조사했다.
(1) 높은 종횡비의 접속 구멍을 형성하기 위한 에칭용의 플라즈마에 대하여 압력을 파라미터로 하여 챔버 직경방향에 있어서의 전자 밀도의 공간 분포를 조사했다. 도 29에 계측 결과를 나타낸다. 주요한 플라즈마 생성 조건(레시피)은 이하와 같다.
웨이퍼 직경 : 200mm
에칭 가스 : C5F8/Ar/O2 혼합 가스
가스 유량 : C5F8/Ar/O2=15/380/19sccm
가스 압력 : 2.0∼26.6Pa(15∼200mTorr)
RF 전력 : 상부/하부=2.17/1.55kW
설정 온도 : 상부전극/측벽/하부전극=60/50/20℃
하부 RF 전압의 진폭 : 1385V(2.0Pa), 1345V(4.0Pa), 1355V(10.6Pa), 1370V(16.0Pa), 1380V(26.6Pa)
도 29에 도시하는 바와 같이, 이 플라즈마 생성 조건에서는, 압력이 16.0Pa(120mTorr)를 넘으면, 웨이퍼 중심 부근의 위치에서 전자 밀도(Ne)가 내려가서, 균일성을 잃는 것을 알 수 있다.
(2) Si 기판에 배선용 홈(트렌치)을 형성하기 위한 에칭용 플라즈마에 대하여 압력을 파라미터로 하여 챔버 직경방향에 있어서의 전자 밀도의 공간 분포를 조사했다. 도 30에 계측 결과를 나타낸다. 주요한 플라즈마 생성 조건(레시피)은 이하와 같다.
웨이퍼 직경 : 200mm
에칭 가스 : CF4/O2 혼합 가스
가스 유량 : CF4/O2=4O/3sccm
가스 압력 : 6.7∼66.5Pa(50∼500mTorr)
RF 전력 : 상부/하부=1.0/1.2kW
설정 온도 : 상부전극/측벽/하부전극=60/50/20℃
하부 RF 전압의 진폭 : 1530V(6.7Pa), 1690V(20.0Pa), 1400V(39.9Pa), 1180V(66.5Pa)
도 30으로부터 알 수 있는 바와 같이, 이 플라즈마 생성 조건에서는, 가스 압력이 6.7Pa나 20.0Pa 정도에서는 전자 밀도(Ne)는 불균일한 분포로 되지만, 39.9Pa 이상에서는 평탄(균일)한 분포 특성으로 되는 것을 알 수 있다.
(3) 기판상의 층간 절연막에 비아 홀을 형성하기 위한 에칭용 플라즈마에 대하여 압력을 파라미터로 하여 챔버 직경방향에 있어서의 전자 밀도의 공간 분포를 조사했다. 도 31에 계측 결과를 나타낸다. 주요한 플라즈마 생성 조건(레시피)은 이하와 같다.
웨이퍼 직경 : 200mm
에칭 가스 : N2 가스
가스 유량 : 300sccm
가스 압력 : 53.2∼106.4Pa(400∼800mTorr)
RF 전력 : 상부/하부=1.5/1.0kW
설정 온도 : 상부전극/측벽/하부전극=30/50/20℃
하부 RF 전압의 진폭 : 1015V(53.2Pa), 938V(106.4Pa)
도 31에 도시하는 바와 같이, 이 플라즈마 생성 조건에서는, 가스 압력을 106.4Pa까지 올리더라도 전자 밀도(Ne)의 균일성이 유지되는 것을 알 수 있다.
상기한 바와 같이, 이 실시예의 플라즈마 모니터링 방법 및 장치에 있어서도, 저압에서 고압까지의 넓은 압력 영역에 걸쳐서 고정밀도의 전자 밀도 계측을 단시간에 실행할 수 있다. 따라서, 본 실시예의 플라즈마 처리장치에 있어서는, 소망하는 프로세스 조건하에서 처리 공간에 플라즈마 밀도, 즉 전자 밀도를 균일한 상태로 분포하도록 레시피를 효율적으로 최적화하여, 플라즈마 처리의 면내균일성을 보증하여, 양품률을 향상시킬 수 있다.
또한, 이 제 2 실시예에 있어서도, 상기한 제 1 실시예에 있어서의 경우와 동일한 여러가지의 변형이 가능하다.
(실시예 3)
다음에, 도 32 내지 도 48에 대하여 본 발명의 플라즈마 발광 계측법에 관한 실시예를 설명한다. 도 32에, 이 제 3 실시예에 의한 플라즈마 발광 계측 방법 및 장치를 적용한 플라즈마 처리장치의 구성을 나타낸다. 도면에 있어서, 도 1의 플라즈마 처리장치 및 플라즈마 모니터링 장치에서와 동일한 구성 또는 기능을 갖는 부분은, 동일한 부호를 부여하여, 그 상세한 설명을 생략한다.
이 실시예에 있어서의 플라즈마 발광 계측 장치는, 챔버(10)에 고정 부착되는 원통형상의 투명 절연관(50)과, 선단부에 수광면(130a)을 갖고, 석영관(50)의 한쪽 단부(도 1의 좌측단)로부터 관내에 미끄럼운동 가능하게 삽입되는 로드형상의 광 전송 프로브(130)와, 챔버(10)내에 생성되는 플라즈마(PZ)로부터의 발광을 프로 브(130)을 거쳐서 계측하는 계측부(132)와, 프로브(130)를 축방향으로 이동시키기 위한 리니어 액츄에이터(56)와, 프로브(130)와 계측부(132)를 광학적으로 접속시키는 가요성의 다발 화이버(134)를 갖는다.
투명 절연관(50)은, 투명하고 또한 내열성의 절연재, 예를 들면 석영 또는 사파이어로 이루어지고, 챔버(10)의 외경 치수보다 약간 길고, 곧게(직선형상) 형성되어 있고, 양 단부가 개구되어 있다.
프로브(130)의 선단부에는, 도 33에 명시하는 바와 같이, 예를들면 스테인레스 강(SUS)으로 이루어지는 차광성의 원통형 캡(136)이 장착되어 있다. 이 캡(136) 안에는, 예를 들면 스테인레스 강(SUS)으로 이루어지는 원주체(138)가 수용되어 있고, 이 원주체(138)의 프로브(130)의 수광면(130a)과 대향하는 단면(140)이 축방향에 대하여 45°경사진 미러를 형성하고 있다. 프로브(130)의 수광면(130a)에서 보았을 때에 미러(140)의 반사 방향에 위치하는 캡(136)의 측벽에는, 원형의 개구 또는 창(142)이 형성되어 있다. 이 창(142)의 정면 전방으로부터 들어 온 광은, 미러(64)에 의해 반사하여 프로브(130)의 수광면(130a)에 입사하게 되어 있다. 이와 같이, 이 실시예에서는, 캡(136)의 창(142)과 미러(140)와 프로브(130)의 수광면(130a)에서, 챔버(10)내의 플라즈마 광을 높은 지향성으로 채광하기 위한 채광부(144)가 구성되어 있다.
프로브(130)는, 직경이 예를 들면 수 mm 이하의 석영로드로 이루어지고, 선단부의 수광면(130a)에 입사한 광을 경계면 또는 주위면에서 전반사시키면서 가두어 타 단부까지 전송하고, 타 단부면으로부터 방사한다. 석영 로드 중에서도, 통 상의 플라즈마 발광분광에 의해 이용되는 200nm 내지 900nm의 파장을 취급하는 경우에는, 이 파장 영역에서 높은 투과 특성을 나타내고, 또한 형광을 발하지 않는 유수합성(有水合成) 석영제의 로드가 바람직하다. 한편, 근(近)적외선 내지 중간 적외선 영역(900nm∼)의 계측에는, 이 파장 영역에서 높은 투과 특성을 나타내는 무수합성(無水合成>)석영이나 용융 석영을 로드재로서 적합하게 사용할 수 있다. 자외선으로부터 적외선까지의 넓은 파장 영역에서 효율적으로 계측하기 위해서는, 사파이어를 적합하게 사용할 수 있다.
프로브(130)는 상기한 바와 같이 석영 로드의 단체(單體)로도 충분한 광 전송 기능을 할 수 있지만, 측면으로부터 미광(迷光)이 들어가는 것을 억제하기 위해서, 바람직하게는 도 34a에 도시하는 바와 같이 로드의 측면 또는 주위면을 클래드(146)로 둘러싸는 구성으로 하여도 무방하고, 더욱 바람직하게는 도 34b에 도시하는 바와 같이 클래드(146)[또는 석영로드(130)]의 주위면을 차광성의 피막, 예를 들면 흑색 도료(148)로 둘러싸는 구성으로 하여도 무방하다.
계측부(132)는, 프로브(130)을 거쳐서 챔버(10)내의 플라즈마 발광을 분광분석법으로 계측하는 장치이고, 프로브(130)로부터의 광을 스펙트럼으로 분해 또는 분광하기 위한 분광기(150)와, 이 분광기(150)로부터 얻어지는 소정 주파수의 스펙트럼을 전기 신호로 변환하는 광전 변환부(152)와, 이 광전 변환부(152)의 출력 신호에 근거하여 해당 스펙트럼의 강도를 구하는 계측 연산부(154)와, 계측에 관련하여 각 부를 제어하기 위한 계측 제어부(156)를 갖고 있다. 분광기(150)는, 예컨대 프리즘 또는 회절 격자를 이용하는 것으로 무방하다. 분광기 대신에 광 필터를 사 용할 수도 있다. 광전 변환부(152)는, 예를 들면 광전자 증배관 또는 포토다이오드 등을 이용하여도 무방하다.
다발 화이버(134)는, 다수개의 가요성 광 화이버를 집속하여 이루어지고, 일 단부가 커넥터(158)를 거쳐서 프로브(52)의 타 단부에 광학적으로 접속되고, 타 단부가 발광 계측부(132)의 분광기(150)에 광학적으로 접속되어 있다. 커넥터(158)는, 예를 들면 단면(端面) 맞붙임식으로 다발 화이버(134)와 프로브(130)를 접속한다.
여기서, 이 실시예에 있어서의 플라즈마 발광 계측 장치의 작용을 설명한다. 이 플라즈마 발광 계측 장치에 있어서, 챔버(10)내에서 생성되는 플라즈마(PZ)로부터의 발광을 계측하기 위해서는, 리니어 액츄에이터(56)의 직진 구동에 의해 프로브(130)를 석영관(50) 안에서 축방향, 즉 챔버(10)의 직경방향으로 이동시킨다. 통상은, 프로브(130)의 수광면(130a)을 리니어 액츄에이터(56)측에서 보았을 때에 가장 먼 측정점을 지나는 위치까지 프로브(130)를 석영관(50)의 안쪽까지 끼워넣어 놓아, 계측시에 리니어 액츄에이터(56)가 프로브(130)를 끌어당기는 방향으로 직진 이동시킨다. 이 축방향의 직진 이동에 의해, 프로브(130)의 채광부(144)는 챔버(10)내에서 플라즈마 공간 안을 직경방향으로 주사하여 직경방향의 각 위치에 있어서의 플라즈마 광을 채광한다. 보다 상세하게는, 도 33에 도시하는 바와 같이 주사 라인상의 각 위치에서 상방 부근의 플라즈마(PZ)로부터 발생되어진 광이 석영관(50)을 투과하여 캡(136)의 창(142)으로부터 미러(140)에 입사하고, 미러(140)에 의해 반사한 광이 프로브(130)의 수광면(130a)에 입사한다. 도시한 예에서는, 상 방으로부터의 플라즈마 광을 채광하고 있지만, 미러(140)의 방향에 의해 상방뿐만 아니라 하방이나 측방 등의 임의의 방향으로부터의 플라즈마 광을 채광할 수 있다.
프로브(130)의 수광면(130a)에 입사한 플라즈마 광은, 프로브(130) 안에서 전파하여, 커넥터(158)내에서 프로브(130)의 타 단면으로부터 방사되어, 다발 화이버(134)의 일 단면 또는 수광면에 입사한다. 다발 화이버(134)의 수광면에 입사한 플라즈마 광은, 다발 화이버(134) 안을 전파하여 그 타 단면으로부터 방사되어, 측정부(132)의 분광기(150)에 들어간다.
측정부(132)에 있어서, 분광기(150)는 수광한 플라즈마 광으로부터 소망하는 스펙트럼을 출력한다. 광전 변환부(152)는, 분광기(150)에 의해 취출된 스펙트럼을 예를 들면 광 전류로 변환하여, 스펙트럼 강도에 따른 전압 신호를 출력한다. 계측 연산부(154)는, 광전 변환부(152)에 의해 얻어지는 전압 신호의 레벨로부터 스펙트럼 강도의 측정값을 구한다. 상기한 바와 같이 리니어 액츄에이터(56)에 의해 석영관(50)내에서 프로브(130)를 축방향으로 이동시켜 채광부(144)를 챔버(10)의 직경방향에서 주사시키기 때문에, 주사 라인상의 각 위치에 있어서의 플라즈마광 또는 스펙트럼의 강도를 측정할 수 있다. 또한, 리니어 액츄에이터(56)에 예컨대 리니어 인코더 또는 로터리 인코더 등의 위치센서를 부착함으로써, 해당 위치센서를 통하여 채광부(144)의 위치, 즉 측정위치를 검지 또는 파악할 수 있다. 이렇게 하여, 각 측정위치마다의 스펙트럼 강도 측정값은 플라즈마 발광 계측 데이터로서 계측 연산부(154) 또는 계측 제어부(156)내의 메모리에 저장되고, 도시하지 않은 디스플레이나 프린터 등에 의해 공간 분포 특성(그래프)으로서 표시 또는 인쇄 되거나, 또는 소망하는 모니터 분석에 사용된다.
상기한 바와 같이, 이 플라즈마 발광 계측 장치에서는, 챔버(10)내에 석영관(50)을 삽입하고, 이 석영관(50) 안에서 로드형상의 광 전송 프로브(130)를 축방향으로 이동시켜, 프로브(130)의 선단부의 채광부(144)에 의해 축방향에 있어서의 임의의 측정위치에서 플라즈마(PZ)로부터의 발광을 채광하고, 채광한 플라즈마 광을 프로브(130) 및 다발 화이버(134)를 거쳐서 계측부(132)에 전송하고, 계측부(132)에서 각 측정위치의 플라즈마 발광에 대하여 소정의 특성 또는 속성(예를 들면 소정 파장의 스펙트럼의 강도)의 측정값을 구한다.
여기서, 석영관(50) 및 프로브(130)는 절연체, 즉 비금속이므로, 평행평판 전극(12, 24) 사이에서 생성되는 용량 결합성 플라즈마(PZ) 안에 삽입되더라도, 플라즈마(PZ)를 요란할 우려는 없고, 플라즈마 발광에 대하여 신뢰성 및 측정 정밀도가 높은 공간 분포 계측을 실행할 수 있다. 또한, 이 실시예에서는, 채광부(144)의 캡(136) 및 미러(140)를 금속(SUS)으로 구성하고 있지만, 이 금속부재의 전체 길이는 수 cm정도이어서 안테나 작용을 나타낼 수 있기 때문에, 평행평판 전극(12,24)간의 고주파 방전에 영향을 주는 일은 없다.
또한, 이 실시예에서는, 챔버(10)의 측벽의 서로 대향하는 위치에 마련한 1쌍의 지지부[관통구멍(10a, 10a)] 사이에 석영관(50)을 수평으로 걸쳐놓고, 이와 같은 가교형의 석영관(50) 안에서[석영관(50)을 안내부재로서] 프로브(130)를 축방향으로 이동시키기 때문에, 챔버 직경방향의 일정 수평선상에서 고속 그리고 안정된 프로브 주사를 실현할 수 있다. 이에 의해, 예를 들면 수분 이하의 짧은 프로 세스 시간에서도, 상기한 바와 같은 공간 분포 계측을 일정 시간 간격으로 다수회 되풀이하고, 시간축 방향에 있어서의 분해 계측도 가능하게 할 수 있다.
또한, 이 실시예에서는, 프로브(130)에 의해 채광한 챔버(10)내의 플라즈마 광을 챔버(10) 밖에서 다발 화이버(134)를 통하여 계측부(132)의 분광기(150)에 부여함으로써, 챔버(10)내의 플라즈마 발광을 소망하는 시야각 또는 바라보는각(viewing angle)으로 채광할 수 있다.
도 35에 관하여, 프로브(130) 및 다발 화이버(134)의 광학적 기능을 설명한다. 챔버(10)내에서는, 상기한 바와 같이 플라즈마(PZ)로부터의 발광이 캡(136)의 창(142)을 통과하고 미러(140)에 의해 반사되어 프로브의 수광면(130a)에 입사한다. 여기서, 프로브(130)의 수광면(130a)에는, 프로브(130)의 고유의 개구수(NA)에는 관계없이, 실질적으로 ±90°의 시야각(NA=1)으로 플라즈마 광이 입사한다. 그리고, 프로브(130)의 타 단면(130b)으로부터는 수광측과 대칭으로 실질적으로 ±90°의 시야각으로 플라즈마 광이 방사된다. 이렇게 하여, 다발 화이버(134)의 수광면(134a)에는, 챔버(10)내의 프로브(130)의 수광면(130a)과 실질적으로 동일한 상황에서 플라즈마 광이 입사하게 된다. 물론, 다발 화이버(134)는 고유의 개구수(NA<1)로 플라즈마 광을 수광하고, 타 단면(134b)에서도 고유의 개구수(NA<1)로 플라즈마 광을 방사한다. 이렇게 하여, 마치 다발 화이버(134)의 수광면(134a)을 챔버(10)내에 넣은 경우와 동등 또는 등가의 지향성으로 플라즈마 발광을 채광할 수 있다.
또한, 다발 화이버(134)를 실제로 챔버(10)내에 넣으면, 그 보호관(통상 금 속제)이 플라즈마(PZ)와 전기적으로 결합하여 플라즈마(PZ)를 요란한다. 이 실시예에서는, 다발 화이버(58)는 항상 챔버(10) 밖에 존재하기 때문에, 플라즈마(PZ)에 영향을 부여하는 일은 없다.
또한, 다발 화이버(134)에는, 상기한 바와 같은 지향성 이외에, 단일(1개)의 광 화이버에 비하여, 커넥터(158)에 있어서의 프로브(130)와의 광축 맞춤이 용이하다고 하는 이점도 있다.
그런데, 프로브(130)의 로드 직경을 크게 하면, 도 36에 도시하는 바와 같이 채광부(144)에 의해 채광되는 플라즈마 광 중에, 창(142)의 정면 전방으로부터 들어와 미러(140)에 의해 반사한 후에 프로브(130)의 수광면(130a)에 입사하는 본래의 피 측정 광(PL)뿐만 아니라, 미러(140)를 거치지 않고서 전방으로부터 직접 수광면(130a)에 입사하는 소망하지 않는 광(ML)이 섞이는 경우도 있다. 이 문제에 대해서는, 도시하는 바와 같이, 프로브(130)의 수광면(130a)의 법선(N)이 축방향에 대하여 창(142)측에 소정의 각도(θ)만큼 기울도록, 프로브(130)의 일 단부를 각도(θ)만큼 비스듬하게 컷트한 수광면으로 하는 구성이 효과적이다. 이와 같은 구성에 의하면, 전방으로부터 소망하지 않는 광(ML)이 프로브(130)의 수광면(130a)에 직접 입사하여도, 다발 화이버(134)의 개구수(NA)보다 큰 각도로 다발 화이버(134)에 입사하기 때문에, 전방 광을 제거할 수 있다.
도 34에, 프로브(130)에 석영 로드를 이용하는 경우에 있어서, 개구수(NA)를 파라미터로 하여 석영 로드의 굴절율에 대한 석영 로드 수광면의 최적의 컷트각(θ)을 그래프로 나타낸다. 석영 로드의 굴절율은 광의 파장에 의해 변하기 때문 에, 실제 응용에서는 피 측정 스펙트럼 중의 가장 짧은 파장에 맞추어 컷트각(θ)를 결정하여도 무방하다. 예를 들면, 개구율 0.22의 석영 로드를 이용하는 경우에 있어서, 가장 짧은 피 측정 스펙트럼에 대응하는 굴절율이 1.453일 때에는, 도 34의 그래프로부터 컷트각(θ)을 26.8°로 하여도 무방하다.
상기한 바와 같이, 이 실시예의 플라즈마 발광 계측 장치에 의하면, 챔버(10)내의 플라즈마 분포에 영향을 부여하는 일없이 플라즈마로부터의 발광을 챔버 직경방향의 공간 분포로서 계측 또는 분광 분석할 수 있다. 이에 의해, 프로세스 결과의 면내분포와 플라즈마 발광의 공간 분포와의 상관성을 높은 정밀도로 해석할 수 있다.
도 38 내지 도 40에, 본 실시예의 플라즈마 처리장치를 플라즈마 에칭에 적용한 응용에 있어서 에칭레이트의 면내분포와 플라즈마 발광의 공간 분포와의 상관성의 예를 나타낸다.
도 38a 및 도 38b는, 상부전극(24)의 비저항이 상이한 2종류의 실리콘 산화막(SiO2) 에칭(A, B)에 있어서 SiO2의 에칭레이트(E/R)의 면내분포(도 38a)와 Ar 래디컬 발광I[Ar](750nm; 13.48eV)의 공간 분포(도 38b)와의 상관성을 나타낸다.
도 39a 및 도 39b는, 상부전극(24)의 구조가 상이한 2종류의 실리콘 산화막(SiO2) 에칭(C, D)에 있어서 SiO2의 에칭레이트(E/R)의 면내분포(도 39a)와 Ar 래디컬 발광I[Ar](750nm; 13.48eV)의 공간 분포(도 39b)와의 상관성을 나타낸다.
도 40a 및 도 40b는, 상부전극(24)의 구조가 상이한 2종류의 실리콘 산화막 (SiO2) 에칭(C, D)에 대하여 포토 레지스트의 에칭레이트(E/R)의 면내분포(도 40a)와 Ar 래디컬 발광I[Ar]/F 래디컬 발광I[F](704nm; 14.756ev)]의 공간 분포(도 40b)와의 상관성을 나타낸다.
도 41 내지 도 43에, 다른 실시예(제 4 실시예)에 의한 플라즈마 발광 계측 방법 및 장치를 적용한 플라즈마 처리장치의 구성 및 작용을 나타낸다. 도면에 있어서, 상기한 제 3 실시예와 실질적으로 동일한 구성 또는 기능을 갖는 부분에는 동일한 부호를 부여한다.
이 실시예에서는, 상부전극(24)과 하부전극(16)의 중간의 높이 위치에서 챔버(10)의 측벽에 셔터(160)가 부착된 개구 또는 구멍(162)을 형성하고, 구멍(162) 외부로부터 선단부에 수광면(164c)을 갖는 로드형상의 광 전송 프로브(164)를 챔버 직경방향으로 넣고 뺄 수 있도록 되어 있다. 프로브(164)는, 예를 들면 석영(합성 석영 또는 용융 석영) 또는 사파이어로 이루어지는 코어(164a)와 클래드(164b)의 이중 구조를 갖는 광 화이버이어도 무방하다(도 43).
챔버(10)의 외부에서, 프로브(164)는 챔버 직경방향으로 신축 가능하게 배치된 벨로우즈(166) 안에 수용된다. 프로브(164)의 기단부는, 리니어 액츄에이터(56)의 슬라이더부(76)에 수평으로 지지됨과 동시에, 커넥터(158)를 거쳐서 다발 화이버(134)에 광학적으로 접속되어 있다. 벨로우즈(166)는, 일 단부가 챔버(10)에 접속됨과 동시에, 타 단부가 슬라이더부(76)에 접속되고, 프로브(164) 주위에 기밀한 공간을 형성한다. 이 벨로우즈(166)의 내부 공간은, 배기관(168)을 거쳐서 배기장치(170)에 의해 챔버(10)내와 거의 동일한 진공도로 감압되도록 되어 있다. 또한, 벨로우즈(166)의 내부 또는 주위에, 프로브(164)를 소정의 온도(예를 들면 100℃ 전후)로 가열하기 위한 히터(예를 들면 PTC 소자 또는 저항 발열 소자)(172)가 마련된다.
이 실시예에 있어서, 플라즈마 발광 계측을 실행하지 않는 동안은, 도 41에 도시하는 바와 같이, 셔터(160)를 닫아 놓고, 프로브(164)를 챔버(10) 밖에 대기시켜 놓는다. 다만, 플라즈마 발광 계측이 실행됨에 앞서서, 벨로우즈(166)의 내부 공간을 소정의 챔버(10)내와 거의 동일한 진공도까지 감압하여, 프로브(164)를 소정의 온도까지 가열해 놓는다. 플라즈마 발광 계측을 실행할 때에는, 도 42에 도시하는 바와 같이, 셔터(160)를 열어, 리니어 액츄에이터(56)를 작동시키고, 프로브(164)를 축방향으로 직진 이동시켜 구멍(162)으로부터 챔버(10) 안으로 삽입한다. 이 때, 벨로우즈(166)는 슬라이더부(76) 및 프로브(164)의 전진 이동에 수반하여 수축한다.
챔버(10)내에서 프로브(164)의 수광면(164c)에는 그 전방에 위치하는 플라즈마(PZ)로부터의 발광이 입사한다. 프로브(164)의 시야각은, 코어(164a)와 클래드(164b)의 각각의 굴절율로부터 결정되는 개구율(NA)에 의해 제한된다. 프로브(164)를 축방향, 즉 챔버 직경방향(x)으로 이동(주사)시키면서, 미소 이동거리(Δx)에 대한 플라즈마 발광의 광 강도의 변화분(ΔI)을 계측부(132)에 의해 구함으로써, 주사 라인상의 발광 분포를 계측할 수 있다. 이 계측 스캐닝은, 프로브(164)의 전진[왕동(往動)]중 또는 후퇴[복동(復動)]중의 어느 것으로 실행되어도 무방하 다.
챔버(10)내에서 프로브(164)의 클래드부(164b)가 플라즈마(PZ)에 의해 때려지더라도, 채광한 플라즈마 광을 전파하는 코어부(164a)는 영향을 받지 않는다. 또한, 프로브(164)는 챔버(10)의 외부에서도 고온으로 가열되어 있기 때문에, 챔버(10)내에 들어가서 플라즈마(PZ)에 노출되어도 데포의 부착은 적다.
프로브(164)는 비금속이기 때문에 플라즈마(PZ)를 어지럽히지 않을 뿐만 아니라, 프로세스 시간(예를 들면 수분)에 비하여 매우 짧은 시간(예를 들면 수초)에 스캐닝할 수 있기 때문에 프로세스 결과에 미치는 영향도 거의 없다. 이 때문에, 프로세스 시간중에 상기한 바와 같은 계측 스캐닝을 일정 주기로 다수회 실행하는 것도 가능하다. 또한, 프로세스 결과와 상관성이 높은 계측 결과를 얻을 수 있다. 이 실시예는, 프로세스 개발시뿐만 아니라, 실제 프로세스에 있어서도 적용 가능하고, 예를 들면 플라즈마 에칭에 있어서의 종점 검출 등의 각종 프로세스 제어를 위한 발광 모니터링에 적용할 수 있다.
상기한 제 3 및 제 4 실시예에서는, 챔버(10)내에서 프로브(130, 164)를 축방향으로 이동시켜 동일 방향에 있어서의 공간 분포로서 플라즈마 발광을 계측하였다. 그러나, 본 발명은, 챔버(10)내에서 프로브를 임의의 방향으로 이동 가능하게 하는 것도 가능하다. 예를 들면, 도 44에 도시하는 바와 같이 챔버(10)내의 플라즈마 공간 안에서 프로브(130)를 수직(z) 방향으로 이동시키면서, 미소 이동거리(Δz)에 대한 플라즈마 발광의 광 강도의 변화분(ΔI)을 계측부(132)에 의해 구함으로써, 수직(z) 방향에 있어서의 플라즈마 발광 분포를 계측할 수 있다.
또한, 본 발명의 플라즈마 발광 계측 장치에서는, 상기한 바와 같은 분광 분석법에 의해 얻어진 플라즈마 발광의 측정값으로부터 다른 플라즈마 속성을 구할 수 있다. 일반적으로, 원자/분자/래디컬/이온 등의 발광종은, 각각의 내부 에너지 상태에 대응한 고유의 파장 또는 스펙트럼에 의해 발광한다. 임의의 발광종(X)으로부터의 발광 강도(Ix)는 다음 식 (5)에 의해 주어진다.
Ix= Cx , λ·Nx·ne·∫σx(E)·ve·fe(E)·dE …(5)
여기서, Cx , λ는 발광종의 물성값(파장, 자연 방출 확률 등)이나 계측 시스템에 관한 기하학적인 요소를 포함하는 계수이다. 또한, Nx는 발광종의 기저 에너지 상태에서의 밀도, ne는 전자 밀도, σx(E)는 발광종(X)의 전자 충돌 여기 단면적, ve는 전자의 속도, fe(E)는 전자 에너지 분포 함수(EEDF)이다. 또한, 식 (5)중에서 적분(∫)의 범위는 0에서 무한대(∞)이다.
이와 같이, 플라즈마로부터의 발광은, 몇 개의 플라즈마 제량(諸量)에 의해 결정된다. 바꾸어 말하면, 플라즈마 발광의 측정값으로부터, 발광종의 밀도, 전자 밀도, 전자 에너지 분포 등의 제량이 구해진다.
예를 들면, 액티노메트리(actinometry)법에 의해 Nx가 구해지고, 플라즈마 흡수 프로브법(PAP) 또는 랑그뮈어 프로브법 등의 전자 밀도 계측법에 의해 전자 밀도(Ne)가 구해지면, 식 (5)에서 전자 에너지 분포[fe(E)]를 구할 수 있다.
이 실시예의 플라즈마 발광 계측 장치에 있어서 각 구성 요소를 동일한 기능 을 갖는 대체물로 변경 가능한 것은 물론이다. 예를 들면, 상기 실시예에서는 석영관(50)을 챔버(10)내에서 직경방향으로 횡단시켜 가교형으로 장착했지만, 외팔보식으로 1곳에서 석영관(50)을 고정하는 구성도 가능하다. 또한, 이 실시예에서는, 프로브(130, 164)를 리니어 액츄에이터(56)의 직진 구동에 의해 축방향, 즉 챔버(10)의 직경방향으로 이동시키도록 했다. 그러나, 프로브(130, 164)를 단지 석영관(50) 또는 챔버(10)에 대하여 축방향으로 진퇴 가능하게 하고, 수동에 의해 상기 실시예와 마찬가지로 직진 이동시키는 것도 물론 가능하다.
도 45 내지 도 47에, 이 실시예에 있어서의 변형예를 나타낸다. 도 45의 변형예는, 상기 제 1 실시예에 있어서의 프로브(130)를 단체의 석영 로드 대신에 복수개의 광 화이버(166)로 이루어지는 다발형 광 가이드로 구성한 것이다. 그들 복수개의 광 화이버(166)를 1개로 모으기 위하여 다발 주위에 내열성의 비금속부재(168)를 마련한다. 그와 같은 내열성 비금속부재(168)의 재질로서 바람직한 것은 내열성 고분자, 예를 들면 폴리이미드이고, 광 화이버(166)의 다발 주위에 테이프의 형태로 감겨도 무방하고, 또는 수지의 형태로 뭉쳐져도 무방하다. 이러한 다발형의 프로브(130)는, 유연성을 갖기 때문에, 충격에 강하여 파손되기 어렵다고 하는 이점이 있다.
도 46의 변형예는, 챔버(10)에 출입되는 상기 다발형 프로브(130)와 챔버(10)의 외부로 연장하는 표준 다발 화이버(134)를 일체화하는 것이다. 즉, 프로브(130)의 각 광 화이버(166)와 다발 화이버(134)의 각 광 화이버(166)는 연속한 1개의 광 화이버로 구성되고, 프로브(130)와 다발 화이버(134)는 외피가 전자(130)에 서는 절연체이고, 후자(134)에서는 금속인 점이 상위하다. 이와 같은 광 화이버 일체형에 의하면, 프로브(130)와 다발 화이버(134) 사이에 결합 손실이 없고, 계측부(132)[특히 분광기(150)]측의 수광량이 증가하여, 측정 정밀도를 향상시킬 수 있다.
도 47의 변형예는, 프로브(130)에 있어서의 미러(140)의 재질을 알루미늄으로 구성하는 것이다. 알루미늄은, 자외선에서 적외선까지 일정의 높은 반사율을 갖는 소재이고, 미러(140)에 적합하게 이용할 수 있다. 단지, 알루미늄은 산화하여 변질되기 쉽기 때문에, 이 구성예에서는, 투명 석영 기판(170)의 한쪽 면에 알루미늄(172)을 증착하여, 미러 보호재로서의 투명 석영 기판(170)을 표면측에 배치하고, 반사막으로서의 알루미늄 증착막(172)을 이면측으로 하여 SUS의 원주체(138)에 부착한다. 플라즈마로부터의 피 측정 광(PL)은, 투명 석영 기판(170)을 투과하여, 알루미늄 증착막(172)에 의해 반사하게 된다. 다른 변형예로서, 도시는 생략하였지만, 원주체(138)를 알루미늄으로 구성하고, 그 반사면 또는 미러면(140)에 불화마그네슘으로 이루어지는 보호막을 코팅하여도 무방하다.
본 발명의 플라즈마 발광 계측 장치의 일 응용례로서, 챔버 내부의 이상 방전을 모니터링할 수 있는 기능이 있다. 예를 들면, 도 32의 실시예에 있어서, 샤워 헤드 구조의 상부전극(24)의 가스 구멍(토출구멍)(26)이 소모에 의해 넓어져서 그곳에서 이상 방전하고 있을 때에는, 프로브(130)의 채광부(144)를 위를 향하여 수평방향으로 주사하면, 그 이상 방전의 모양을 관측할 수 있다. 도 48에 그 일례를 나타낸다. 도시하는 바와 같이, 상부전극(24)의 가스 구멍(26)이 정상적일 때 의 발광 분포와 비교하여, 상부전극(24)의 가스 구멍(26)에 이상이 있을 때(넓어져 있을 때)는, 전극 중심부로부터의 발광이 감소하는 한편, 주변부로부터의 발광이 증대하는 패턴의 공간 분포로 된다. 이로부터, 이상 방전의 발생의 유무나 발생 개소를 검출하는 것이 가능해진다. 또한, 도 48의 실험 데이터는, 실리콘 산화막 에칭에 있어서 Ar 래디컬 발광의 모니터링에 의해 얻어진 것이다. 주요한 플라즈마 생성 조건(레시피)은 이하와 같다.
웨이퍼 직경 : 300mm
가스 압력 : 25mTorr
상부 및 하부전극간 거리 : 35mm
에칭 가스 : C5F8/O2/Ar=29/750/47sccm
RF 전력 : 상부/하부=3300/3800W
웨이퍼 이면 압력(센터부/에지부) : 10/40Torr
상기한 바와 같은 이상 방전 모니터링 기능은, 제 1 실시예(도 1) 또는 제 2 실시예(도 19)에 있어서의 플라즈마 전자 밀도 측정 방법 및 장치에 의해 실현 가능하다. 도 49에, 제 1 실시예(도 1)에 의한 플라즈마 공진 프로브법에 의해 얻어진 실험 데이터를 나타낸다. 챔버 구조 및 플라즈마 생성 조건은 도 48과 동일하다. 도 49에 도시하는 바와 같이, 상부전극(24)의 가스 구멍(26)이 정상적일 때의 전자 밀도 분포와 비교하여, 상부전극(24)의 가스 구멍(26)에 이상이 있을 때(넓어져 있을 때)는, 전극 중심부 및 전극 에지부에서 전자 밀도가 매우 높아지는 패턴 의 공간 분포로 된다. 이로부터, 이상 방전의 발생의 유무나 발생 개소를 검출하는 것이 가능해진다.
본 발명의 플라즈마 처리장치에 있어서도 각종 변형이 가능하다. 특히 상기 실시예와 같은 용량 결합형 평행평판 타입의 플라즈마 발생 방식은 일례이고, 다른 임의의 방식 예컨대 마그네트론 방식이나 ECR(Electron Cyclotron Resonance) 방식 등에도 본 발명은 적용 가능하다. 또한, 플라즈마 프로세스의 종류도 에칭에 한정되지 않고, CVD(Chemical Vapor Deposition), 산화, 스퍼터링 등의 임의의 플라즈마 프로세스에 본 발명은 적용 가능하다. 또한, 플라즈마 프로세스에 의해 처리되는 피 처리체도 반도체 웨이퍼에 한정되는 것은 아니고, 예를 들면 유리 기판 또는 LCD(Liquid Crystal Display) 기판 등에도 적용 가능하다. 본 발명의 플라즈마 발광 계측 방법 또는 장치는, 플라즈마 처리장치 이외의 플라즈마 장치에도 적용 가능하다.
본 발명에 따르면, 상기한 바와 같은 구성 및 작용에 의해, 임의의 플라즈마 조건하에서, 특히 저전자 밀도 조건이나 고압력 조건하에서도, 플라즈마중의 전자 밀도를 정확하고 고정밀도로 측정할 수 있다. 또한, 신뢰성이 높은 전자 밀도 측정값에 근거하여 플라즈마 밀도의 균일성이나 플라즈마 처리의 품질을 향상시킬 수 있다. 또한, 플라즈마 공진 주파수나 전자 밀도를 효율적으로 단시간에 측정하는 것도 가능하다. 또한, 측정위치의 재현성을 보증하여, 프로브 구조의 안정성 및 내구성을 향상시키고, 플라즈마에 미치는 영향을 안정화시키거나 또는 없게하여, S/N 특성을 개선하고, 플라즈마 공진 주파수나 전자 밀도에 대하여 안정 그리고 고정밀도의 측정을 가능하게 한다. 또한, 플라즈마를 어지럽히는 일없이 챔버내의 공간 분포로서 플라즈마 발광을 높은 신뢰성 및 정밀도로 계측할 수 있다. 또한, 기판의 피 처리면에 대하여 플라즈마 밀도의 균일성 나아가서는 플라즈마 처리의 균일성을 보증하여, 양품률을 향상시킬 수 있다.

Claims (26)

  1. 플라즈마의 생성 또는 도입이 가능한 챔버의 실내에 투명한 절연관을 삽입하여 부착하는 공정과,
    선단부에 수광면을 갖는 로드형상의 광 전송 프로브를 상기 절연관의 관내에 삽입하고, 상기 챔버내의 플라즈마에 의해 발생되는 빛을 상기 절연관을 통하여 상기 프로브의 수광면에 입사시키는 공정과,
    상기 프로브의 타 단면으로부터 방사된 광에 근거하여 상기 플라즈마부터의 발광을 계측하는 공정을 갖는
    플라즈마 모니터링 방법.
  2. 제 1 항에 있어서,
    상기 프로브를 상기 절연관 안에서 축방향으로 이동시키고, 축방향에 있어서의 공간 분포로서 상기 플라즈마광을 계측하는
    플라즈마 모니터링 방법.
  3. 제 2 항에 있어서,
    상기 프로브의 축방향을 상기 챔버의 직경방향에 일치시키는
    플라즈마 모니터링 방법.
  4. 제 1 항에 있어서,
    상기 프로브를 상기 절연관과 일체로 높이 방향으로 이동시키고, 높이 방향에 있어서의 공간 분포로서 상기 플라즈마광을 계측하는
    플라즈마 모니터링 방법.
  5. 플라즈마의 생성 또는 도입이 가능한 챔버의 측벽에 개폐 가능한 개구부를 마련하는 공정과,
    상기 개구부를 개방 상태로 하여, 선단부에 수광면을 갖는 로드형상의 광 전파 프로브를 감압공간의 안에서 상기 개구부로부터 상기 챔버내에 삽입하는 공정과,
    상기 프로브의 타 단면으로부터 방사된 광에 근거하여 상기 플라즈마로부터의 발광을 계측하는 공정을 갖는
    플라즈마 모니터링 방법.
  6. 제 5 항에 있어서,
    상기 프로브를 상기 챔버내에서 축방향으로 이동시키고, 이동 거리에 대한 상기 플라즈마 광의 변화분을 구하고, 상기 프로브의 축방향에 있어서의 상기 챔버내의 공간 분포로서 상기 플라즈마 광을 계측하는
    플라즈마 모니터링 방법.
  7. 제 6 항에 있어서,
    상기 프로브의 축방향을 상기 챔버의 직경방향에 일치시키는
    플라즈마 모니터링 방법.
  8. 플라즈마의 생성 또는 도입이 가능한 챔버의 실내에 삽입하여 부착되는 투명한 절연관와,
    선단부에 수광면을 갖고, 상기 절연관의 한쪽 단부로부터 관내에 삽입되는 로드형상의 광 전송 프로브와,
    상기 프로브의 타 단면으로부터 방사된 광에 근거하여 상기 플라즈마로부터의 발광을 계측하는 계측부를 갖는
    플라즈마 모니터링 장치.
  9. 제 8 항에 있어서,
    상기 프로브가 석영 또는 사파이어로 이루어지는
    플라즈마 모니터링 장치.
  10. 제 8 항에 있어서,
    상기 프로브가, 석영 또는 사파이어로 이루어지는 코어와, 상기 코어의 주위면을 둘러싸는 클래드를 갖는
    플라즈마 모니터링 장치.
  11. 제 8 항에 있어서,
    상기 프로브가 차광성의 피막을 갖는
    플라즈마 모니터링 장치.
  12. 제 8 항에 있어서,
    상기 프로브가, 일체로 묶여진 복수개의 광 화이버와, 그들의 광 화이버 주위를 둘러싸는 내열성의 비금속부재를 갖는
    플라즈마 모니터링 장치.
  13. 제 12 항에 있어서,
    상기 비금속부재가 폴리이미드로 이루어지는
    플라즈마 모니터링 장치.
  14. 제 8 항에 있어서,
    상기 절연관이 석영 또는 사파이어로 이루어지는
    플라즈마 모니터링 장치.
  15. 제 8 항에 있어서,
    상기 프로브의 선단부에, 소망하는 방향으로부터의 상기 플라즈마 광을 반사하여 상기 프로브의 수광면에 입사시키기 위한 미러를 마련하는
    플라즈마 모니터링 장치.
  16. 제 15 항에 있어서,
    상기 미러의 반사면이 알루미늄으로 이루어지는
    플라즈마 모니터링 장치.
  17. 제 15 항에 있어서,
    상기 프로브의 선단부에 상기 수광면과 상기 미러를 포위하는 차광부재를 부착하고, 상기 차광부재에 상기 소망하는 방향으로부터의 플라즈마 광을 상기 미러를 향하여 통과시키기 위한 창을 마련하는
    플라즈마 모니터링 장치.
  18. 제 17 항에 있어서,
    상기 프로브의 수광면의 법선이 축방향에 대하여 상기 창측으로 소정의 각도만큼 기울도록, 상기 프로브의 단부를 비스듬하게 컷트하는
    플라즈마 모니터링 장치.
  19. 제 8 항에 있어서,
    상기 챔버의 측벽에 서로 대향하여 마련한 제 1 지지부와 제 2 지지부 사이에 상기 절연관을 실질적으로 걸쳐놓은
    플라즈마 모니터링 장치.
  20. 제 8 항에 있어서,
    상기 프로브를 축방향으로 이동시키기 위한 엑츄에이터를 갖는
    플라즈마 모니터링 장치.
  21. 제 8 항에 있어서,
    상기 프로브의 수광면의 위치를 검출하기 위한 위치 검출 수단을 갖는
    플라즈마 모니터링 장치.
  22. 제 8 항에 있어서,
    상기 계측부가, 상기 프로브의 타 단면으로부터 출사된 광으로부터 소정 파장의 스펙트럼을 취출하기 위한 분광부와, 상기 분광부에 의해 출력된 상기 스펙트럼의 강도를 측정하는 스펙트럼 강도 측정부를 갖는
    플라즈마 모니터링 장치.
  23. 제 8 항에 있어서,
    상기 프로브의 타 단면으로부터 방사된 광을 소망하는 개구수로 수광하여 상기 계측부로 유도하는 다발 화이버를 갖는
    플라즈마 모니터링 장치.
  24. 플라즈마의 생성 또는 도입이 가능한 챔버의 측벽에 마련된 개폐 가능한 개구부와,
    선단부에 수광면을 갖고, 감압 공간 안에서 개방 상태의 상기 개구부로부터 상기 챔버내에 삽입되는 로드형상의 광 전송 프로브와,
    상기 프로브의 타 단면으로부터 방사된 광에 근거하여 상기 플라즈마부터의 발광을 계측하는 계측부를 갖는
    플라즈마 모니터링 장치.
  25. 제 24 항에 있어서,
    상기 챔버의 직경방향으로 신축 가능하게 마련되고, 상기 개구부의 밖에서 상기 프로브 주위에 밀폐의 공간을 형성하는 벨로우즈와, 상기 밀폐 공간내를 감압하는 배기부를 갖는
    플라즈마 모니터링 장치.
  26. 제 25 항에 있어서,
    상기 밀폐 공간내에서 상기 프로브를 소정의 온도로 가열하기 위한 가열부를 갖는
    플라즈마 모니터링 장치.
KR1020050125316A 2003-04-24 2005-12-19 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치 KR100586387B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP2003119279 2003-04-24
JPJP-P-2003-00119279 2003-04-24
JP2003123442 2003-04-28
JPJP-P-2003-00123442 2003-04-28
JP2004009100 2004-01-16
JPJP-P-2004-00009100 2004-01-16
JPJP-P-2004-00117817 2004-04-13
JP2004117817A JP5404984B2 (ja) 2003-04-24 2004-04-13 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020040028211A Division KR100586386B1 (ko) 2003-04-24 2004-04-23 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치

Publications (2)

Publication Number Publication Date
KR20060001944A KR20060001944A (ko) 2006-01-06
KR100586387B1 true KR100586387B1 (ko) 2006-06-08

Family

ID=33568720

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020040028211A KR100586386B1 (ko) 2003-04-24 2004-04-23 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치
KR1020050125316A KR100586387B1 (ko) 2003-04-24 2005-12-19 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020040028211A KR100586386B1 (ko) 2003-04-24 2004-04-23 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치

Country Status (5)

Country Link
US (4) US7339656B2 (ko)
JP (1) JP5404984B2 (ko)
KR (2) KR100586386B1 (ko)
CN (3) CN100520382C (ko)
TW (3) TWI468669B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100976552B1 (ko) * 2008-02-20 2010-08-17 세메스 주식회사 밀도 조절이 가능한 플라즈마 발생 장치
KR101050443B1 (ko) * 2008-10-31 2011-07-19 (주)울텍 플라즈마 밀도 균일도 향상을 위한 다분할 적층형 플레이트 구조의 유전체 윈도우를 가지는 플라즈마 발생장치
KR102161156B1 (ko) 2019-07-08 2020-09-29 주식회사 뉴파워 프라즈마 플라즈마 발생 장치의 rf 전력 모니터링 장치 및 방법

Families Citing this family (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
DE10358329B4 (de) * 2003-12-12 2007-08-02 R3T Gmbh Rapid Reactive Radicals Technology Vorrichtung zur Erzeugung angeregter und/oder ionisierter Teilchen in einem Plasma und Verfahren zur Erzeugung ionisierter Teilchen
JP4972277B2 (ja) * 2004-11-10 2012-07-11 東京エレクトロン株式会社 基板処理装置の復帰方法、該装置の復帰プログラム、及び基板処理装置
JP4581918B2 (ja) * 2005-08-29 2010-11-17 パナソニック株式会社 プラズマ処理装置
JP4701408B2 (ja) * 2005-08-31 2011-06-15 国立大学法人名古屋大学 プラズマ電子密度測定用の面状共振素子並びにプラズマ電子密度測定方法及び装置
US20070074812A1 (en) * 2005-09-30 2007-04-05 Andrej Mitrovic Temperature control of plasma density probe
US20070075036A1 (en) * 2005-09-30 2007-04-05 Paul Moroz Method and apparatus for measuring plasma density in processing reactors using a short dielectric cap
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
JP4674177B2 (ja) * 2006-03-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4727479B2 (ja) * 2006-03-29 2011-07-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ内の高周波電流量の測定方法
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7286948B1 (en) 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
KR100816453B1 (ko) * 2006-06-28 2008-03-27 (주)쎄미시스코 공정챔버의 실시간 리크 검출 시스템
US20080003702A1 (en) * 2006-06-28 2008-01-03 Cruse James P Low Power RF Tuning Using Optical and Non-Reflected Power Methods
KR100805879B1 (ko) * 2006-06-30 2008-02-20 한국표준과학연구원 플라즈마 전자밀도 및 전자온도 모니터링 장치 및 방법
JP2008115460A (ja) * 2006-10-12 2008-05-22 Canon Inc 半導体素子の形成方法及び光起電力素子の形成方法
WO2008065635A2 (en) * 2006-11-27 2008-06-05 Dublin City University A plasma system and measurement method
KR100835379B1 (ko) * 2006-12-07 2008-06-04 한국전자통신연구원 사중극자 질량 분석기를 이용한 챔버 상태 모니터링 방법
JP5168907B2 (ja) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US8129283B2 (en) * 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
US8120259B2 (en) 2007-04-19 2012-02-21 Plasmart Co., Ltd. Impedance matching methods and systems performing the same
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
ITPR20070059A1 (it) * 2007-07-26 2009-01-27 Techimp S P A Procedimento per rilevare, identificare e localizzare scariche parziali aventi luogo in un sito di scarica lungo un apparato elettrico
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN101162253B (zh) * 2007-11-30 2010-12-08 南京华显高科有限公司 Pdp基板介质层特性测试装置
JP2009163911A (ja) * 2007-12-28 2009-07-23 Hitachi High-Technologies Corp プラズマ処理装置
US8056034B1 (en) * 2008-01-17 2011-11-08 Cadence Design Systems, Inc. Use of smith chart to compensate for missing data on network performance at lower frequency
JP5162269B2 (ja) * 2008-02-08 2013-03-13 株式会社アルバック 真空処理装置
WO2009110366A1 (ja) * 2008-03-07 2009-09-11 東京エレクトロン株式会社 プラズマ処理装置
CN102661791B (zh) * 2008-04-03 2015-02-11 朗姆研究公司 用于归一化光学发射光谱的方法和装置
EP2114112B1 (en) * 2008-04-29 2015-09-23 Plasmetrex GmbH Apparatus for industrial plasma processes
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
JP5546757B2 (ja) * 2008-11-20 2014-07-09 芝浦メカトロニクス株式会社 プラズマ密度測定子、プラズマ密度測定装置、プラズマ処理装置、およびプラズマ密度測定方法
US7921804B2 (en) 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
JP5353266B2 (ja) * 2009-01-26 2013-11-27 パナソニック株式会社 プラズマ処理装置
JP4575984B2 (ja) * 2009-02-12 2010-11-04 三井造船株式会社 原子層成長装置および薄膜形成方法
US9376754B2 (en) 2009-02-12 2016-06-28 Mitsui Engineering & Shipbuilding Thin film forming method
JP5478924B2 (ja) * 2009-03-29 2014-04-23 学校法人中部大学 高圧力プラズマの電子密度および/または電子衝突周波数測定が可能な測定方法及び測定装置
WO2010118030A1 (en) * 2009-04-06 2010-10-14 John Littleton Temperature controlled conducting device
US20110009999A1 (en) * 2009-07-13 2011-01-13 Applied Materials, Inc. Plasma reactor with rf generator and automatic impedance match with minimum reflected power-seeking control
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
KR101602449B1 (ko) * 2009-09-14 2016-03-15 삼성전자주식회사 반도체 제조 공정에서의 챔버상태 모니터링 장치 및 그 방법
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
EP2499476A4 (en) 2009-11-09 2017-07-26 MKS Instruments, Inc. Vacuum quality measurement system
KR101656762B1 (ko) * 2010-03-16 2016-09-12 가부시키가이샤 토호쿠 테크노 아치 플라즈마 프로세스에 의한 가공 형상의 예측 시스템, 방법 및 프로그램을 기록한 기록 매체
EP2576859B1 (en) * 2010-06-04 2014-12-24 Oerlikon Advanced Technologies AG Vacuum processing device
US8175827B2 (en) * 2010-08-18 2012-05-08 The United States Of America As Represented By The Secretary Of The Navy RF probe technique for determining plasma potential
US8190366B2 (en) * 2010-10-01 2012-05-29 The United States Of America, As Represented By The Secretary Of The Navy LC resonance probe for determining local plasma density
KR101151588B1 (ko) * 2010-12-22 2012-05-31 세종대학교산학협력단 플라즈마 입자 촬영을 위한 디지털 홀로그램 센서 시스템
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
PL2737348T3 (pl) * 2011-07-27 2018-03-30 Nokia Technologies Oy Urządzenie i powiązane sposoby dotyczące wykrywania sygnalizowania elektromagnetycznego
KR101324990B1 (ko) * 2011-09-02 2013-11-04 한국표준과학연구원 플라즈마 측정 장치 및 측정 방법
US8923356B1 (en) * 2011-10-04 2014-12-30 Kern Technologies, LLC. Gas laser pre-ionization optical monitoring and compensation
CN102508002B (zh) * 2011-10-31 2014-02-19 北京遥测技术研究所 一种嵌入式双探针等离子体密度测量装置
WO2013099928A1 (ja) * 2011-12-28 2013-07-04 イマジニアリング株式会社 分析結果の提供システム、分析用端末、及び分析結果の提供方法
JP2013149790A (ja) * 2012-01-19 2013-08-01 Tokyo Electron Ltd プラズマ処理装置
CN102610480B (zh) * 2012-02-22 2015-07-22 北京交通大学 一种真空放电等离子体参数的测量装置及方法
US9299541B2 (en) * 2012-03-30 2016-03-29 Lam Research Corporation Methods and apparatuses for effectively reducing gas residence time in a plasma processing chamber
CN102693893B (zh) * 2012-04-28 2015-01-14 北京工业大学 一种利用调频的方式改善高频放电等离子体均匀性的方法
KR101288055B1 (ko) * 2012-05-25 2013-07-23 주식회사 나노텍 셀프 플라즈마 챔버를 포함하는 공정진행 모니터링 장치
US9031523B2 (en) * 2012-06-26 2015-05-12 Htc Corporation Systems and methods for determining antenna impedance
CN102809700B (zh) * 2012-07-23 2015-01-21 西安电子科技大学 等离子体中电波传播实验装置
KR101398578B1 (ko) * 2012-08-22 2014-05-23 세종대학교산학협력단 플라즈마 쉬스 내의 이온 분포 모니터링 방법 및 장치
US9856563B2 (en) * 2012-08-22 2018-01-02 Uchicago Argonne, Llc Micro-balance sensor integrated with atomic layer deposition chamber
CN103632913B (zh) * 2012-08-28 2016-06-22 中微半导体设备(上海)有限公司 等离子体处理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
WO2014103633A1 (ja) * 2012-12-26 2014-07-03 東京エレクトロン株式会社 電磁波加熱装置および電磁波加熱方法
TWI474367B (zh) * 2012-12-26 2015-02-21 Metal Ind Res & Dev Ct 電漿系統的回饋控制方法及其裝置
CN103048522B (zh) * 2013-01-11 2015-03-11 哈尔滨工业大学 常压下低温等离子体密度参数的诊别方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6097097B2 (ja) * 2013-03-04 2017-03-15 学校法人中部大学 プラズマ状態測定プローブ及びプラズマ状態測定装置
JP6067483B2 (ja) * 2013-05-27 2017-01-25 住友重機械工業株式会社 プラズマ測定装置及び成膜装置
CN104244554A (zh) * 2013-06-21 2014-12-24 电子科技大学 一种利用准光学谐振腔快速实时诊断等离子体的新方法
SG11201600244XA (en) 2013-08-12 2016-02-26 Univ Nanyang Tech An apparatus and method for diagnostics of neutral radicals in plasma
US9970818B2 (en) * 2013-11-01 2018-05-15 Tokyo Electron Limited Spatially resolved optical emission spectroscopy (OES) in plasma processing
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
JP6388491B2 (ja) * 2014-05-02 2018-09-12 三菱重工業株式会社 計測装置を備えたプラズマ発生装置及びプラズマ推進器
CN112362973A (zh) * 2014-06-06 2021-02-12 滤波器感知技术有限公司 射频状态变量测量系统和方法
KR101535747B1 (ko) * 2014-06-10 2015-07-10 한국표준과학연구원 반도체 코팅설비의 오염 진단장치 및 진단방법
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) * 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9506961B2 (en) 2014-12-04 2016-11-29 Chicony Power Technology Co., Ltd. Power supply detection apparatus and detecting method thereof
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
FR3033217B1 (fr) * 2015-02-27 2017-05-05 Airbus Defence & Space Sas Controle de systeme de protection contre la foudre
EP3292559B1 (en) 2015-05-04 2019-08-07 Ecole Polytechnique Federale de Lausanne (EPFL) Method, measurement probe and measurement system for determining plasma characteristics
KR102323363B1 (ko) * 2015-06-05 2021-11-09 어플라이드 머티어리얼스, 인코포레이티드 기판 온도 불균일성을 감소시키기 위한 개선된 장치
TW201705183A (zh) * 2015-07-31 2017-02-01 逢甲大學 超高頻電漿模擬裝置與超高頻電漿特性量測方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102417178B1 (ko) * 2015-09-03 2022-07-05 삼성전자주식회사 마이크로파 탐침, 그 탐침을 구비한 플라즈마 모니터링 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
JP2017106106A (ja) * 2015-12-01 2017-06-15 キヤノントッキ株式会社 スパッタ装置、膜の製造方法及び電子デバイスの製造方法
CN105578696B (zh) * 2015-12-23 2018-03-16 哈尔滨工业大学 一种测量空心阴极节流孔区等离子体密度的方法
CN105636328B (zh) * 2015-12-25 2017-10-20 北京理工大学 一种基于驻极体的等离子体密度测量系统及其测量方法
KR102618813B1 (ko) * 2016-01-27 2023-12-27 삼성전자주식회사 공정 챔버 모니터링 장치
CN105807132B (zh) * 2016-03-07 2018-10-26 东莞中子科学中心 一种检测加速器射频谐振腔失谐频率的方法及装置
CN105744712B (zh) * 2016-04-15 2019-04-09 中国人民解放军装甲兵工程学院 一种用于等离子体诊断的密闭爆发器及其密度控制方法
CN105744711B (zh) * 2016-04-15 2018-01-23 中国人民解放军装甲兵工程学院 一种热电离等离子体生成测试装置及其密度测试和控制方法
JP6671230B2 (ja) * 2016-04-26 2020-03-25 東京エレクトロン株式会社 プラズマ処理装置およびガス導入機構
US9859101B2 (en) * 2016-05-10 2018-01-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106025546B (zh) * 2016-05-25 2019-03-08 哈尔滨工业大学 采用等离子体调制增强小型化全向型天线电磁辐射的装置
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
JP6647180B2 (ja) * 2016-09-09 2020-02-14 東京エレクトロン株式会社 アンテナ装置及びこれを用いたプラズマ発生装置、並びにプラズマ処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
KR20180033995A (ko) 2016-09-27 2018-04-04 삼성전자주식회사 모니터링 유닛, 이를 포함하는 플라즈마 처리 장치 및 그를 이용한 반도체 칩의 제조 방법
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
CN106358355B (zh) * 2016-11-10 2023-11-10 北京理工大学 测量碰撞等离子体的装置
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
TWI620228B (zh) * 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6868421B2 (ja) * 2017-03-08 2021-05-12 株式会社Soken 点火装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
GB201705202D0 (en) * 2017-03-31 2017-05-17 Univ Dublin City System and method for remote sensing a plasma
JP6899693B2 (ja) * 2017-04-14 2021-07-07 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10586710B2 (en) * 2017-09-01 2020-03-10 Tokyo Electron Limited Etching method
JP7026578B2 (ja) * 2017-09-05 2022-02-28 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
KR102524625B1 (ko) * 2017-09-12 2023-04-24 삼성전자주식회사 기판 검사 장치 및 이를 포함하는 기판 처리 시스템
US10983000B2 (en) * 2017-10-06 2021-04-20 Heraeus Noblelight America Llc Light measuring probes, light measuring systems, and related methods
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108107376B (zh) * 2017-12-27 2019-12-13 江苏神州半导体科技有限公司 基于等离子环境的射频电源测试系统及测试方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR101918253B1 (ko) * 2018-01-26 2018-11-13 최운선 플라즈마 전원장치의 자가진단모듈 및 자가진단방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102035423B1 (ko) * 2018-05-16 2019-10-22 연세대학교 산학협력단 플라즈마 공정 모니터링 장치 및 이를 포함하는 플라즈마 처리장치
KR102024468B1 (ko) * 2018-06-12 2019-09-23 충남대학교산학협력단 초고주파를 이용한 교차 주파수 플라즈마 진단 방법
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
KR20230048459A (ko) * 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11835465B2 (en) * 2019-02-15 2023-12-05 Hitachi High-Tech Corporation Detecting method and detecting device of gas components and processing apparatus using detecting device of gas components
KR20200118938A (ko) * 2019-04-08 2020-10-19 삼성전자주식회사 플라즈마 모니터링 장치 및 이를 포함하는 플라즈마 처리 장치
US11114286B2 (en) * 2019-04-08 2021-09-07 Applied Materials, Inc. In-situ optical chamber surface and process sensor
JP2020177785A (ja) * 2019-04-17 2020-10-29 日本電産株式会社 プラズマ処理装置
JP2020194676A (ja) * 2019-05-27 2020-12-03 東京エレクトロン株式会社 プラズマ密度モニタ、プラズマ処理装置、およびプラズマ処理方法
JP2020202052A (ja) * 2019-06-07 2020-12-17 東京エレクトロン株式会社 プラズマ電界モニタ、プラズマ処理装置、およびプラズマ処理方法
KR102175085B1 (ko) * 2019-08-01 2020-11-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP2021039925A (ja) * 2019-09-05 2021-03-11 東京エレクトロン株式会社 プラズマプローブ装置、プラズマ処理装置及び制御方法
JP7296829B2 (ja) * 2019-09-05 2023-06-23 東京エレクトロン株式会社 プラズマ処理装置、処理方法、上部電極構造
CN110753436A (zh) * 2019-10-18 2020-02-04 合肥聚能电物理高技术开发有限公司 一种用于等离子体装置的等离子体密度实时测量机构
JP7336959B2 (ja) * 2019-10-28 2023-09-01 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP2021118045A (ja) * 2020-01-22 2021-08-10 東京エレクトロン株式会社 プラズマ観測システム及びプラズマ観測方法
WO2021190759A1 (en) * 2020-03-26 2021-09-30 Advantest Corporation Test arrangement for testing high-frequency components, particularly silicon photonics devices under test
JP7442365B2 (ja) * 2020-03-27 2024-03-04 東京エレクトロン株式会社 基板処理装置、基板処理システム、基板処理装置の制御方法および基板処理システムの制御方法
CN112649631B (zh) * 2020-07-01 2022-08-19 强一半导体(苏州)有限公司 一种具有双进气道装置的功率器件高温高压测试用探针卡
US20220020617A1 (en) * 2020-07-17 2022-01-20 Applied Materials, Inc. Low open area and coupon endpoint detection
TW202226897A (zh) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 濾波器電路
CN114981932A (zh) * 2020-12-16 2022-08-30 株式会社日立高新技术 等离子处理装置以及等离子处理方法
DE102020135067A1 (de) * 2020-12-29 2022-06-30 Chemin Gmbh Sondenkopf und Verwendung eines Sondenkopfs
JP2022117669A (ja) * 2021-02-01 2022-08-12 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置
CN112816795B (zh) * 2021-02-06 2022-03-18 西安电子科技大学 临近空间高速目标等离子体电磁测量系统
CN113225887B (zh) * 2021-05-11 2022-06-07 山东大学 伸缩式冷热探针组件、等离子体诊断系统及诊断方法
JP2023001619A (ja) * 2021-06-21 2023-01-06 東京エレクトロン株式会社 測定方法および測定装置
CN114007321A (zh) * 2021-09-30 2022-02-01 中科等离子体科技(合肥)有限公司 一种大气压等离子体电子密度的诊断方法
CN117412459B (zh) * 2023-12-11 2024-02-13 西南交通大学 一种用于测量等离子体密度及其涨落的诊断方法及系统

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0750177B2 (ja) * 1985-07-31 1995-05-31 株式会社日立製作所 核融合装置
JP2637509B2 (ja) * 1987-10-15 1997-08-06 キヤノン株式会社 新規なダイヤモンド状炭素膜及びその製造方法
JP2514862B2 (ja) * 1991-02-08 1996-07-10 日本電信電話株式会社 プラズマ計測法
JPH06216081A (ja) * 1993-01-13 1994-08-05 Kobe Steel Ltd プラズマ測定方法及びその装置
JPH06349594A (ja) * 1993-06-07 1994-12-22 Mitsubishi Electric Corp プラズマ発生装置
US5746835A (en) * 1994-06-02 1998-05-05 Texas Instruments Incorporated Retractable probe system with in situ fabrication environment process parameter sensing
KR960030754A (ko) * 1995-01-20 1996-08-17 심상철 플라즈마 내의 활성종 분포 측정장치 및 측정방법
JP3841188B2 (ja) 1997-03-21 2006-11-01 オリンパス株式会社 集光装置
DE19722272A1 (de) * 1997-05-28 1998-12-03 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
KR100236232B1 (ko) * 1997-12-30 1999-12-15 최덕인 플라즈마 밀도 분포 측정용 회전형 광프로브
JPH11317299A (ja) * 1998-02-17 1999-11-16 Toshiba Corp 高周波放電方法及びその装置並びに高周波処理装置
US6034781A (en) * 1998-05-26 2000-03-07 Wisconsin Alumni Research Foundation Electro-optical plasma probe
DE19824077A1 (de) * 1998-05-29 1999-12-02 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
FR2788854B1 (fr) * 1999-01-22 2001-05-04 Cit Alcatel Systeme et procede d'identification d'effluents gazeux, equipement pourvu d'un tel systeme
JP4619468B2 (ja) * 1999-03-25 2011-01-26 株式会社東芝 プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
WO2001037306A1 (en) * 1999-11-19 2001-05-25 Tokyo Electron Limited Stabilized oscillator circuit for plasma density measurement
KR100792303B1 (ko) * 1999-07-20 2008-01-07 동경 엘렉트론 주식회사 플라즈마를 수용하는 개방형 공진기에 고정된 마이크로파발진기를 사용하는 전자밀도측정과 플라즈마 공정제어시스템
US6861844B1 (en) * 1999-07-21 2005-03-01 Tokyo Electron Limited Electron density measurement and plasma process control system using changes in the resonant frequency of an open resonator containing the plasma
JP3688173B2 (ja) * 2000-01-14 2005-08-24 株式会社ニッシン プラズマ密度情報測定用プローブ
JP3659180B2 (ja) * 2000-03-24 2005-06-15 株式会社日立製作所 半導体製造装置および処理方法、およびウエハ電位プローブ
CN1138979C (zh) * 2000-07-07 2004-02-18 中国科学院力学研究所 一种用于辉光放电表面处理中的等离子体探针诊断装置
JP3838481B2 (ja) * 2000-07-27 2006-10-25 国立大学法人名古屋大学 プラズマ密度情報測定方法及びその装置並びにプラズマ密度情報測定用プローブ、プラズマ発生方法及びその装置、プラズマ処理方法及びその装置
JP3559760B2 (ja) * 2000-09-26 2004-09-02 株式会社日立製作所 プラズマ処理装置及びそのメンテナンス方法
JP2002170817A (ja) * 2000-12-04 2002-06-14 Nisshin:Kk プラズマ処理方法及びその装置
JP3665265B2 (ja) * 2000-12-28 2005-06-29 株式会社日立製作所 プラズマ処理装置
JP3909806B2 (ja) * 2001-03-05 2007-04-25 国立大学法人名古屋大学 プラズマ密度情報測定方法及びその装置、並びにプラズマ処理方法及びその装置
JP4507468B2 (ja) * 2001-07-09 2010-07-21 富士電機システムズ株式会社 粉体のプラズマ処理方法およびその処理装置
JP3540797B2 (ja) * 2002-01-18 2004-07-07 利幸 矢加部 7ポート型コリレータとその校正方法および7ポート型コリレータを用いたベクトル・ネットワーク・アナライザ装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100976552B1 (ko) * 2008-02-20 2010-08-17 세메스 주식회사 밀도 조절이 가능한 플라즈마 발생 장치
KR101050443B1 (ko) * 2008-10-31 2011-07-19 (주)울텍 플라즈마 밀도 균일도 향상을 위한 다분할 적층형 플레이트 구조의 유전체 윈도우를 가지는 플라즈마 발생장치
KR102161156B1 (ko) 2019-07-08 2020-09-29 주식회사 뉴파워 프라즈마 플라즈마 발생 장치의 rf 전력 모니터링 장치 및 방법

Also Published As

Publication number Publication date
TW201204182A (en) 2012-01-16
KR100586386B1 (ko) 2006-06-08
US20070089835A1 (en) 2007-04-26
JP2005228727A (ja) 2005-08-25
US7532322B2 (en) 2009-05-12
CN102183509A (zh) 2011-09-14
CN102183509B (zh) 2013-06-12
US20050009347A1 (en) 2005-01-13
TWI468669B (zh) 2015-01-11
JP5404984B2 (ja) 2014-02-05
KR20040093017A (ko) 2004-11-04
US20070284044A1 (en) 2007-12-13
CN101587156A (zh) 2009-11-25
US7582182B2 (en) 2009-09-01
US7339656B2 (en) 2008-03-04
US7462293B2 (en) 2008-12-09
CN100520382C (zh) 2009-07-29
TWI392401B (zh) 2013-04-01
US20070193514A1 (en) 2007-08-23
CN101587156B (zh) 2011-03-09
CN1540323A (zh) 2004-10-27
TW201202685A (en) 2012-01-16
TW200505293A (en) 2005-02-01
KR20060001944A (ko) 2006-01-06
TWI458396B (zh) 2014-10-21

Similar Documents

Publication Publication Date Title
KR100586387B1 (ko) 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치
JP4773079B2 (ja) プラズマ処理装置の制御方法
KR20100004065A (ko) 플라즈마처리장치 및 플라즈마처리방법
KR20130062791A (ko) 플라즈마 진단 장치 및 방법
KR101015730B1 (ko) 종료점을 사용한 에치특성을 구하는 장치 및 방법
KR101591961B1 (ko) 플라즈마 처리 챔버의 플라즈마 상태 분석 장치 및 방법
JP4022902B2 (ja) プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
JP4619468B2 (ja) プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
KR100263406B1 (ko) 플라즈마처리의종점검지방법및장치
KR101969422B1 (ko) 플라즈마 공정 모니터링 장치
JPH01283359A (ja) プラズマ処理装置
JP5198616B2 (ja) プラズマ処理装置
JP4109020B2 (ja) プラズマ処理装置
WO2020106297A1 (en) Method for determining cleaning endpoint
CN218647878U (zh) 等离子体监测装置和等离子体处理装置
KR101288047B1 (ko) 멀티 프리퀀시를 이용한 가스 분석장치
Franz et al. Recording Spatially Resolved Plasma Parameters in Microwave-Driven Plasmas
JP2001068457A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130503

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140502

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 14