CN102183509B - 等离子体监测方法和等离子体监测装置 - Google Patents

等离子体监测方法和等离子体监测装置 Download PDF

Info

Publication number
CN102183509B
CN102183509B CN2010106175904A CN201010617590A CN102183509B CN 102183509 B CN102183509 B CN 102183509B CN 2010106175904 A CN2010106175904 A CN 2010106175904A CN 201010617590 A CN201010617590 A CN 201010617590A CN 102183509 B CN102183509 B CN 102183509B
Authority
CN
China
Prior art keywords
plasma
probe
light
container
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2010106175904A
Other languages
English (en)
Other versions
CN102183509A (zh
Inventor
松土龙夫
濑川澄江
輿水地盐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102183509A publication Critical patent/CN102183509A/zh
Application granted granted Critical
Publication of CN102183509B publication Critical patent/CN102183509B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/66Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence
    • G01N21/68Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence using high frequency electric fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

本发明提供一种即使在低电子密度条件或高压力条件下也可正确测定等离子体中的电子密度的等离子体监测方法。该等离子体电子密度测定装置在测定部(54)中具备矢量式的网络分析器(68)。由该网络分析器(68)测定复数表示的反射系数,取得其虚部的频率特性,并且测量控制部(74)读取复数反射系数的虚部零交叉点的谐振频率,根据谐振频率算出电子密度的测定值。

Description

等离子体监测方法和等离子体监测装置
(本案是2004年4月26日提出的申请号为200910142798.2、发明名称为等离子体监测方法、等离子体监测装置和等离子体处理装置的专利申请的分案申请)
技术领域
本发明涉及一种监测等离子体处理装置等中的容器内的等离子体的技术,尤其是涉及测定等离子体中的电子密度或来自等离子体的发光的等离子体监测方法和装置。
背景技术
在半导体器件或FPD(Flat Panel Display)的制造加工中的蚀刻、堆积、氧化、溅射等处理中,为了在较低温度下使处理气体进行良好的反应,多利用等离子体。通常,在等离子体处理装置中,为了得到高的成品率,必需在基板的被处理面中均匀实施等离子体处理,为此,必需生成等离子体,使等离子体密度、即电子密度以均匀状态分布在处理空间中。因此,在等离子体处理装置的设计阶段或开始阶段,为了把握容器内的处理空间中以何种电子密度的分布来生成等离子体,必需能正确测定等离子体中的电子密度的技术。
近年来,作为这种监测技术,等离子体吸收探针(PAP:PlasmaAbsorption Probe)法引人注意。该监测法通过用绝缘管覆盖天线探针,不会如兰米尔探针法那样扰乱等离子体电位,并对容器内造成金属污染,所以即使是反应性气体的等离子体也可测量。另外,因为是千兆赫频域的测量,所以即使在绝缘管表面附加感应性堆积膜,也难以受到影响,即使是堆积性气体的等离子体也可测量。
现有的等离子体吸收探针法(例如参照专利文献1、2、3)如图50所示,在容器200的侧壁中设置的贯穿孔200a中可滑动地装配前端关闭的绝缘管202,将具有使前端部的芯线露出数mm的探针部204a的同轴电缆204插入绝缘管202中,并将同轴电缆204的另一端连接于标量(scaler)式网络分析机206上。在容器200内,配置例如连接于高频电源(未图示)上的平行平板型上部电极208和下部电极210,作为等离子体发生机构,在减压下通过向两电极208、210间的间隙空间提供处理气体,生成该处理气体的等离子体pz。在图示的实例中,在下部电极210上装载被处理基板W。在容器侧壁中设置的绝缘管装配用的贯穿孔200a中装配支撑绝缘管202并进行真空密封的O型圈212。
标量网络分析机206边在例如数百MHz至数GHz的频域中进行频率扫描,边向同轴电缆204的探针部204a发送微小电力的电磁波信号(入射波),并向容器内的等离子体pz放射,根据从等离子体pz反射的电磁波(反射波)的功率量与入射波的电力量之比,求出标量显示的反射系数,取得其频率特性。具体而言,使探针部204a的位置与期望的测定位置一致,首先在断开等离子体生成用的高频电源的同时,停止提供处理气体,在容器200内不存在等离子体pz的状态下,通过网络分析机206取得反射系数的频率特性Γ(f)(S11参数),并将该测定数据存储在存储器中。接着,在接通高频电源的同时,提供处理气体,在容器200内生成等离子体pz的状态下,通过网络分析机206取得反射系数Γ(pf)的频率特性。另外,在两反射系数比Γ(pf)/Γ(f)的频率特性中,设波形变为极小(最小峰值)处的频率为等离子体吸收频率。并且,将该等离子体吸收频率视为与等离子体中的电子振动数
Figure BSA00000405333600021
Figure BSA00000405333600022
相等,由下式(1)算出电子密度Ne
Ne=me0*(1+εr)*(2πfp/e)2
=0.012*(1+εr)*fp 2[m-3] ......(1)
这里,me为电子密度,ε0为真空介电常数,εr为绝缘管的比介电常数,e为净电荷。
当调查等离子体pz中的电子密度的空间分布时,边向轴向(长方向)推或拉绝缘管202边使探针部204a依次移动到多个测定位置,如上所述,在每个测定位置切换等离子体生成的接通/断开,每次都由标量网络分析机206取得反射系数的频率特性Γ(f)、Γ(pf),并运算等离子体吸收频率乃至电子密度。通常,在容器200的径向上使探针部204a的位置、即测定位置按期望的间距步进移动,将在各测定位置处求出的电子密度的测定值绘制在曲线上。
另外,目前,在等离子体处理的装置开发、加工开发或实加工中,使用了测量加工容器内的等离子体发光的监测技术。现有的等离子体发光测量法通过装配在加工容器侧壁上的窗来测量容器内的等离子体发光。典型的是,使从窗射向外的等离子体光通过分光器或滤光器,取得特定波长的频谱,测量取出的频谱的强度或变化等(例如参照专利文献4)。
专利文献1:特开2000-100598号公报
专利文献2:特开2000-100599号公报
专利文献3:特开2001-196199号公报
专利文献4:特开平10-270417号公报
但是,在上述等离子体吸收探针法中,存在以下问题:由于反射系数的频率特性中的波形的轮廓,较大地影响着等离子体吸收频率的测定值,因此电子密度的测定值容易产生偏差。即,就反射系数的频率特性而言,当由前端尖的角状波形表示吸收峰值(最小峰值)时,可正确测定峰值点的频率、即等离子体吸收频率,但当用前端圆的宽波形表示吸收峰值(最小峰值)时,峰值点不明确,测定值易产生误差。这种宽的吸收峰值波形典型地在测定点的等离子体密度(电子密度)低时表示。另外,在高压力条件下,不能忽视等离子体中的气体分子冲击造成的信号电力吸收,噪音(noise)增大,由此难以发现电子振动引起的真正的电力吸收,S/N降低。
另外,在上述现有等离子体吸收探针法中,因为每次改变测定位置都重复等离子体生成的接通/断开,所以每个测定位置需要数分钟的测定时间。并且,因为是在改变测定位置中使绝缘管202滑动的方式,所以在移动到下一测定位置之前的探针部202a移动乃至定位需要相当的时间。因此,即使在选择10处左右的测定点的情况下,全部测定时间也在数10分钟以上。若缩短测定点的步距或间隔来详细评价等离子体电子密度的空间分布,则必需多个(例如100个以上)的测定点,所以全部测定时间超过数小时。并且,在详细评价等离子体电子密度对等离子体加工的输入参数(RF电力、压力、气体种类、电极间距离、电极结构、容器结构、材质等)的依赖性或相关性的情况下,会花费相当长的测定时间。该问题在具有大口径容器的300mm直径晶片或FPD用处理装置中特别深刻。
另外,根据上述现有等离子体发光测量法,通过容器侧壁的窗,仅能将容器内的等离子体发光作为平均值测量,不能作为容器内的空间分布测量。因此,就不能够调查被处理基板中的处理结果的面内分布与等离子体发光的空间分布的相关性。
发明内容
本发明鉴于是这种现有技术的问题而提出的,其目的在于提供一种等离子体监测方法、等离子体监测装置和等离子体处理装置,在任意等离子体条件下,尤其是在低电子密度条件或高压力条件下,都能高精度测定等离子体中的电子密度。
本发明的另一目的在于提供一种等离子体监测方法和等离子体监测装置,可有效地在短时间内测定等离子体中的电子密度。
本发明的再一目的在于提供一种等离子体监测方法和等离子体监测装置,,保证测定位置的再现性,使探针的结构的稳定性和耐久性提高,使对等离子体的影响稳定,改善S/N特性,可对等离子体中的电子密度进行稳定且高精度的测定。
本发明的又一目的在于提供一种等离子体监测方法和等离子体监测装置,有效防止RF噪音向大气中或测定器的泄漏,确保人体或测定设备的安全性。
本发明的又一目的在于提供一种可靠性很高的等离子体监测方法和等离子体监测装置,可不扰乱等离子体地测量等离子体发光,作为容器内的空间分布。
本发明的又一目的在于提供一种等离子体监测方法和等离子体监测装置,即使在实加工中也可测量等离子体发光,作为容器内的空间分布。
本发明的又一目的在于提供一种等离子体处理装置,可对基板的被处理面保证等离子体密度的均匀性以及等离子体处理的均匀性。
为了实现上述目的,根据本发明第一方面的等离子体监测方法具有如下工序:在存在于规定空间内的等离子体中或其附近设定的期望监测位置处配置天线探针的工序;由上述天线探针放射变频的电磁波,使之入射到上述等离子体中的工序;接收从上述等离子体反射到上述天线探针的电磁波的工序;根据上述入射波与上述反射波来测定复数表示的反射系数,并取得该复数反射系数的虚部的工序;扫描上述电磁波的频率,测定上述复数反射系数的虚部的值变为零的谐振频率的工序;和根据上述谐振频率的测定值,算出上述等离子体中的电子密度的工序。
另外,根据本发明第一方面的等离子体监测装置,具有:天线探针,配置在可生成或导入等离子体的容器壁或室内;矢量式反射系数测定部,边扫描频率,边向上述天线探针发送各频率的电磁波,并使之向上述等离子体放射,接收从上述等离子体经上述天线探针反射来的反射波,测定复数表示的反射系数;谐振频率测定部,求出由上述反射系数测定部取得的上述复数反射系数的虚部的值变为零的谐振频率的测定值;和电子密度运算部,根据上述谐振频率的测定值,算出上述等离子体中的电子密度。
在本发明中,使用矢量式反射系数测定部,测定复数表示的反射系数,取得复数反射系数的虚部。另外,谐振频率测定部测定复数反射系数的虚部变为零的谐振频率,根据谐振频率的测定值,电子密度运算部算出等离子体中的电子密度。就本发明而言,通过复数反射系数的虚部来监测对于电磁波的等离子体电抗的信号传输特性,将复数反射系数的虚部变为零时的频率视为等离子体电抗变为串联谐振状态后朗道阻尼产生的谐振频率,根据该谐振频率的测定值来求出电子密度的测定值。
根据一优选实施方式,通过反射系数测定部扫描电磁波的频率,对复数反射系数的虚部取得频率特性,根据该频率特性,谐振频率测定部算出复数反射系数的虚部的符号从负(-)变成正(+)的点、或者从正(+)变化为负(-)的点的频率,作为谐振频率。
另外,根据一优选实施方式,在上述被监测容器内不存在等离子体的状态下,扫描电磁波的频率,对复数反射系数的虚部取得第一频率特性,在上述被监测容器内存在等离子体的状态下,扫描电磁波的频率,对复数反射系数的虚部取得第二频率特性,根据第一频率特性和第二频率特性求出正规的频率特性。根据该方式,与测定点的数量无关,等离子体ON/OFF的切换时间一次就够,可大幅度缩短整体的测定时间。
本发明的等离子体处理装置具有:容纳被处理体的容器;向上述容器内提供规定气体的气体提供部;等离子体发生部,在上述容器内使上述气体放电,生成对上述被处理体实施期望处理的等离子体;用于使上述容器内减压以维持在期望压力的排气部;和本发明的等离子体监测装置。
在这种结构中,通过使用本发明的等离子体监测装置,可正确监测容器内的等离子体密度的状态以及等离子体加工的状况,使等离子体处理的品质提高。
在本发明的等离子体处理装置中,根据一优选实施方式,设置监测部,根据等离子体电子密度测定装置取得的电子密度的测定值,监测容器内的等离子体处理的状态。更优选具备加工控制部,控制操纵等离子体处理的加工参数中的至少一个,以将电子密度的测定值维持在规定的范围内。
另外,作为一优选实施方式,具备老化(seasoning)控制部,对容器的清洗或部件交换后的加工条件,根据等离子体监测装置得到的电子密度的测定值随时间变化的特性来完成老化。根据一优选实施方式,老化控制部对于改换并搬入容器内的接受等离子体处理的各伪基板,求出在等离子体处理期间中随时间变化的电子密度的测定值的代表点,当在前后的伪基板之间代表点稳定在实质的恒定值时,完成老化,将搬入容器中的基板从伪基板切换成正规的被处理基板。
另外,作为一优选实施方式,可采用将等离子体电子密度测定装置的天线探针装配在容器壁上的结构、装配在用于生成等离子体的电极上的结构、或装配在载放被处理体的载放台上的结构。
另外,作为一优选实施方式,最好具备选择器开关,从配置在不同部位的多个天线探针中任选一个,将其电连接于上述反射系数测定部上。通过由该选择器开关将多个天线探针以分时方式依次电连接于反射系数测定部上,可用一台测量器高效实现多个监测位置的同时测定。
根据本发明第二方面的等离子体监测方法,具有如下工序:在存在于规定空间内的等离子体中或其附近设定的期望监测位置处配置天线探针的工序;由上述天线探针放射变频的电磁波,使之入射到上述等离子体中的工序;接收从上述等离子体反射到上述天线探针的电磁波的工序;测定上述入射波与上述反射波的相位差的工序;扫描上述电磁波的频率,测定上述相位差变为零的谐振频率的工序;和根据上述谐振频率的测定值,算出上述等离子体中的电子密度的工序。
根据本发明第二方面的等离子体监测装置具有:天线探针,配置在可生成或导入等离子体的容器壁或室内;相位差测定部,边扫描频率,边向上述天线探针发送各频率的电磁波,并使之向上述等离子体放射,接收从上述等离子体经上述天线探针反射来的反射波,测定入射波与反射波的相位差;谐振频率测定部,求出由上述相位差测定部取得的上述相位差取零值的谐振频率的测定值;和电子密度运算部,根据上述谐振频率的测定值,算出上述等离子体中的电子密度。
在根据上述第二方面的等离子体监测方法或装置中,相位差测定部测定的入射波与反射波的相位差的符号对应于复数反射系数的虚部的符号,相位差变为零的频率为复数反射系数的虚部变为零的频率、即谐振频率。因此,也可从根据相位差算出的谐振频率求出高精度的电子密度的测定值。
根据本发明第三方面的等离子体监测方法,具有如下工序:在可生成或导入等离子体的容器室内插入并装配绝缘管的工序;将具有使前端部的芯线露出的探针部的同轴电缆插入上述绝缘管的管内的工序;在上述容器内不存在等离子体的状态下,对从上述绝缘管内的上述探针部放出的电磁波的反射系数取得第一频率特性的工序;在上述容器内存在等离子体的状态下,对从上述绝缘管内的上述探针部放出的电磁波的反射系数取得第二频率特性的工序;和根据上述第一频率特性和上述第二频率特性,求出等离子体吸收频率的测定值的工序。
根据本发明第三方面的等离子体监测装置具有:绝缘管,插入并装配在可生成或导入等离子体的容器室内;同轴电缆,具有使前端部的芯线露出的探针部,从上述绝缘管的一端插入管内;致动器,使上述同轴电缆相对上述绝缘管沿轴向移动;标量式反射系数测定部,边扫描频率,边以一定功率向上述同轴电缆的探针部发送各频率的电磁波信号,并放出到周围的空间中,根据经上述探针部反射来的信号电平来测定每个频率的反射系数,并求出反射系数的频率特性;和测定运算部件,对由上述探针部的位置提供的期望的测定位置,根据在上述容器内不存在等离子体的状态下由上述反射系数测定部得到的第一频率特性、和在上述容器内存在等离子体的状态下由上述反射系数测定部得到的第二频率特性,求出等离子体吸收频率的测定值。
在根据上述第三方面的等离子体监测方法或装置中,通过分别统一进行容器内不存在等离子体的状态(OFF状态)下的反射系数的测定与容器内存在或生成等离子体的状态(ON状态)下的反射系数的测定,与测定点的数量无关,等离子体ON/OFF的切换时间一次就够,可缩短整体的测定时间。
根据上述第三方面的一优选实施方式,在容器内不存在等离子体的状态下,使同轴电缆沿轴向相对绝缘管移动,在多个测定位置上分别取得第一频率特性,在容器内存在等离子体的状态下,使同轴电缆沿轴向相对绝缘管移动,在这些多个测定位置上分别取得第二频率特性,对于这些多个测定位置,根据第一频率特性与上述第二频率特性来求出等离子体吸收频率的测定值。此时,优选使探针部依次定位在多个测定位置上,在每个测定位置处对从探针部放出的电磁波的反射系数取得第一或第二频率特性。在本实施方式中,在等离子体OFF状态或等离子体ON状态下,在短的间歇时间内依次使探针部定位在各测定位置上,在短时间中可对全部测定位置取得反射系数的测定数据。优选,使用致动器,使同轴电缆向从绝缘管拔出的方向移动,使探针部依次定位在这些多个测定位置上。
根据本发明一优选实施方式,在容器侧壁中设置的第一支撑部与第二支撑部之间架设容纳带探针部的同轴电缆的绝缘管。此时,优选第一和第二支撑部至少一个由贯穿孔形成。另外,由O型圈将绝缘管气密地固定装配在贯穿孔中。
根据这种架桥型绝缘管装配结构,因为绝缘管由容器壁面的两个部位(第一和第二支撑部)支撑,所以不会因为探针定位的操作而摇晃或因自身重量而弯曲。从而,可使探针部迅速且正确地定位在期望的测定位置,同时,可位于一定的线上,可保证测定位置的再现性。另外,在探针定位时,可以使同轴电缆相对固定在容器中的绝缘管沿轴向移动,因为绝缘管不与支撑部磨擦,所以支撑部不会损伤恶化。因此,探针机构的稳定性提高,消耗品成本也改善。并且,因为探针机构对等离子体的影响(扰乱)与测定位置无关而为恒定的,所以测定精度的可靠性也高。另外,从同轴电缆的探针部看到的绝缘管在哪个测定位置上都是恒定或一样的同轴管结构,从探针部振荡的电磁波与等离子体的耦合是恒定的,所以不容易产生噪音,可保证精度和再现性高的测量。当然,也可是实质仅由一个部位的支撑部将绝缘管装配在容器内的单支撑梁式的绝缘管装配结构。
在本发明中,优选经容器将同轴电缆的外部导体电连接于地电位。装置上设置将一端部连接于容器的地电位部分、将另一端部与同轴电缆的外部导体连接的接地用导体。根据这种方法或结构,有效防止RF噪音向大气或测定器的泄漏,确保人体或测量设备的安全性,同时可避免气体检测机等外围电子设备的误操作。
另外,优选在比从容器的地电位部分延伸的接地用导体与同轴电缆的外部导体接触的位置更靠近探针部侧的位置上,通过电磁感应将噪音信号(典型地是在外部导体中传播的驻波引起的噪音信号)吸收到电磁波吸收体中。电磁波吸收体的优选实施方式是沿轴向安装在同轴电缆上的一个或多个珠状铁氧体部件。根据这种方法或结构,例如即使在同轴电缆的外部导体(接地部)中产生驻波噪音等噪音,也可通过电磁波吸收体来有效吸收并去除噪音。
另外,优选经形成于绝缘管另一端的开口在绝缘管中流过冷却用气体。装置中,将绝缘管的另一端开口,在该开口上连接用于流过冷却用气体的冷却机构。根据这种方法或结构,因为可有效冷却绝缘管内的同轴电缆,所以可防止探针部周围的热膨胀或热损伤,使耐久性提高。
根据本发明第四方面的等离子体监测方法,具有如下工序:将透明的绝缘管插入并装配在可生成或导入等离子体的容器室内的工序;将前端具有感光面的棒状光传输探针插入上述绝缘管的管内的工序;和通过上述绝缘管使从上述容器内的等离子体发出的光入射到上述探针的感光面,根据从上述探针另一端面放射的光,测量来自上述等离子体的发光的工序。
另外,根据本发明第四方面的等离子体监测装置,具有:透明绝缘管,插入并装配在可生成或导入等离子体的容器室内;棒状光传输探针,前端具有感光面、从上述绝缘管的一端插入管内;和测量部,根据从上述探针另一端面放射的光,测量来自上述等离子体的发光。
在本发明的等离子体发光监测法中,在容器内插入绝缘管,在该绝缘管中使棒状光传输探针沿轴向移动,在轴向的任意测定位置,由探针前端的感光面来采集来自等离子体的发光,将采集到的等离子体光传送到测量部,测量部对各测定位置的等离子体发光求出规定的特性或属性(例如规定波长或频谱强度)的测定值。这里,即使绝缘管和探针为非金属,插入容器内的等离子体区域中,也不会扰乱等离子体,可对等离子体发光进行可靠性和测定精度高的空间分布测量。
作为本发明的一优选实施方式,优选使探针在绝缘管中沿轴向(优选是容器直径方向)移动,测量等离子体光,作为轴向上的空间分布。此时,可以使探针的轴向与容器的径向一致。或者,使探针与绝缘管一体沿高度方向移动,测量等离子体光,作为高度方向上的空间分布。
在本发明中,探针可以由石英或蓝宝石构成,但为了抑制来自侧面的散射光,优选是具有由石英或蓝宝石构成的芯体、和包围该芯体周围的包层的二重结构,优选是具有遮光性的被膜的结构。另外,优选探针是具有收束成一体的多条光纤、和包围这些光纤的周围的例如由聚酰亚胺构成的耐热性非金属部件的结构。
另外,为了提高探针的采光功能、尤其是指向性,优选在探针的前端部将来自期望角度的等离子体光入射到反射镜,使由该反射镜反射的等离子体光入射到探针的感光面。更优选在探针的前端部设置包围感光面与反射镜的遮光部件,使来自期望角度的等离子体光通过形成于遮光部件中的窗入射到反射镜。反射镜的反射面优选由对紫外线至红外线具有一定的高的反射率的铝构成。
另外,优选斜切探针的一个端部,使探针的感光面的法线相对轴向向窗侧仅倾斜规定角度,即使来自前方的不期望的光入射到探针的采光部,也以比光纤束的数值孔径大的角度入射到光纤束。
在本发明中,透明绝缘管的材质优选是波长透过性好、耐热性和耐腐蚀性好的石英或蓝宝石。另外,为了稳定且高速进行探针的扫描,优选是在相对设置在容器侧壁中的第一支撑部与第二支撑部之间实质架设绝缘管的结构。
根据本发明第五方面的等离子体发光测量方法在可生成或导入等离子体的容器侧壁上设置可开闭的开口部;将上述开口部设为开状态,将前端具有感光面的棒状光传输探针在减压空间中从上述开口部插入上述容器内;和根据从上述探针另一端面放射的光,测量来自上述等离子体的发光。
另外,根据本发明第五方面的等离子体发光测量装置具有:设置在可生成或导入等离子体的容器侧壁上的可开闭的开口部;棒状光传播探针,前端具有感光面,在减压空间中从开状态的上述开口部插入上述容器内;和测量部,根据从上述探针另一端面放射的光,测量来自上述等离子体的发光。
在该方式下,将容器侧壁的开口部设为开状态,从该开口部将探针插入容器内,使之沿轴向(优选是容器径向)移动,将由探针前端的感光面采集的等离子体光传输到测量部,测量部对各测定位置的等离子体发光求出规定的特性或属性(例如规定波长或频谱强度)的测定值。优选是,求出等离子体光相对探针的移动距离的变化量,测量等离子体光,作为在探针轴向上的容器内的空间分布。探针优选具有由石英或蓝宝石构成芯体、和包围该芯体周围的包层。
为了在容器外绕探针形成减压空间,优选设置可沿容器径向伸缩的波纹管(bellows),由排气部将该波纹管的内部空间排气。另外,优选在容器外将探针加热到适当温度,使探针曝露在容器内的等离子体区域时,反应生成物(沉淀)不会附着。
该方式下,由于探针为非金属,所以不仅不会扰乱等离子体,而且由于可在短时间内高速进行探针扫描,所以基本上不会对加工结果产生影响,加工开发当然也可适用于实加工。
在本发明的等离子体发光监测法中,优选在使探针沿轴向移动中使用致动器,可通过致动器的直进驱动力来进行稳定且高速的探针扫描。另外,在本发明的测量处理中,优选由分光部(分光器或滤光器)从由探针的另一端面放射的光中取出规定波长的频谱,测定该频谱的强度。另外,优选通过光纤束将从探针的另一端面放射的光提供给测量部,从而可以以刚好与将光纤束的感光面放入容器内的情况相等或等效的指向性来采样等离子体发光。
发明效果
根据本发明,通过上述结构和作用,在任意等离子体条件下,尤其是在低电子密度条件或高压力条件下,也可正确且高精度地测定等离子体中的电子密度。另外,可根据可靠性高的电子密度测定值来使等离子体密度的均匀性或等离子体处理的品质提高。另外,还可高效地在短时间内测定等离子体谐振频率或电子密度。另外,保证测定位置的再现性,使探针结构的稳定性和耐久性提高,使对等离子体的影响稳定化,或消除对等离子体的影响,发送S/N特性,可对等离子体谐振频率或电子密度进行稳定且高精度的测定。另外,可不扰乱等离子体地以高的可靠性或精度来测量等离子体发光,作为容器内的空间分布。并且,可对基板的被处理面保证等离子体密度的均匀性以及等离子体处理的均匀性,使成品率提高。
附图说明
图1是表示适用本发明实施方式1的等离子体电子密度测定方法和装置的等离子体处理装置的结构图。
图2是表示实施方式的探针结构主要部分的局部扩大截面图。
图3是表示实施方式的测定部中的矢量网络分析器和测量控制部的主要部分的结构框图。
图4是示意表示实施方式的第一统一测定工序的一状态的图。
图5是示意表示实施方式的第二统一测定工序的一状态的图。
图6是表示由本发明的等离子体谐振探针法得到的复数反射系数的绝对值、实部和虚部的频率特性(实验数据)的图。
图7A是表示由本发明的等离子体谐振探针法得到的电子密度测定灵敏度的一例(实验数据)的图。
图7B是表示由本发明的等离子体谐振探针法得到的电子密度测定灵敏度的一例(实验数据)的图。
图8是将本发明所得的电子密度的测定值数据与由等离子体吸收探针法得到的电子密度的测定值数据相对比的图。
图9是表示在较低的压力条件(15mTorr)下得到的复数反射系数的频率特性(实验数据)的图。
图10是表示在高压力条件(800mTorr)下得到的复数反射系数的频率特性(实验数据)的图。
图11是表示在高压力条件(1600mTorr)下得到的复数反射系数的频率特性(实验数据)的图。
图12是表示通过本发明在高压力条件(2000mTorr)下得到的电子密度分布特性一例(实验数据)的图。
图13是表示适用本发明另一实施方式的等离子体电子密度测定装置的等离子体处理装置的结构图。
图14A是表示可在图13的实施方式中使用的探针构件的结构例的截面图。
图14B是表示可在图13的实施方式中使用的探针构件的结构例的截面图。
图15是表示可在图13的实施方式中使用的探针部的结构例的立体图。
图16是表示适用本发明再一实施方式的等离子体电子密度测定装置的等离子体处理装置的结构图。
图17是表示在老化的蚀刻周期中在晶片上的各位置处蚀刻速率逐渐减少并稳定化状态的一例的图。
图18是表示老化的蚀刻周期中蚀刻速率的平均值与电子密度的时间变动的图。
图19是表示适用本发明实施方式2的等离子体监测方法和装置的等离子体处理装置的结构图。
图20是表示实施方式中的等离子体监测的大的步骤的流程图。
图21是表示实施方式2的等离子体监测中的第一统一测定工序的详细步骤的流程图。
图22是表示实施方式2的等离子体监测中的第二统一测定工序的详细步骤的流程图。
图23是将实施方式2中得到的电子密度的空间分布特性一例(实施例)与比较例相对比的曲线图。
图24是表示一实施例的噪音峰值的频率特性的曲线图。
图25是表示一实施例的取决于探针插入长度的驻波噪音的峰值频率的实测值与计算值的曲线图。
图26A是表示一实施例的电磁波吸收体的噪音吸收效果的频率特性的曲线图。
图26B是表示一实施例的电磁波吸收体的噪音吸收效果的频率特性的曲线图。
图27是表示一实施例的电磁波吸收体的噪音吸收效果的反射频率特性的曲线图。
图28是表示一实施例的电磁波吸收体的增强引起的信号增加的效果的频率特性的曲线图。
图29是表示一实施例的电子密度的空间分布特性的曲线图。
图30是表示一实施例的电子密度的空间分布特性的曲线图。
图31是表示一实施例的电子密度的空间分布特性的曲线图。
图32是表示适用本发明实施方式3的等离子体发光测量方法和装置的等离子体处理装置的结构图。
图33是表示实施方式3的探针主要部分的结构与作用的局部扩大截面图。
图34A是表示另一实施例的探针结构的局部扩大截面图。
图34B是表示另一实施例的探针结构的局部扩大截面图。
图35是示意表示实施方式3中的探针与光纤束的作用的图。
图36是表示一实施例的探针的结构和作用的局部扩大截面图。
图37是表示实施方式3中探针所用的石英棒的折射率与切割角的关系曲线。
图38A是表示实施方式3中蚀刻速率的面内分布与等离子体发光的空间分布的相关性一例的图。
图38B是表示实施方式3中蚀刻速率的面内分布与等离子体发光的空间分布的相关性一例的图。
图39A是表示实施方式3中蚀刻速率的面内分布与等离子体发光的空间分布的相关性一例的图。
图39B是表示实施方式3中蚀刻速率的面内分布与等离子体发光的空间分布的相关性一例的图。
图40A是表示实施方式3中蚀刻速率的面内分布与等离子体发光的空间分布的相关性一例的图。
图40B是表示实施方式3中蚀刻速率的面内分布与等离子体发光的空间分布的相关性一例的图。
图41是表示适用另一实施例的等离子体发光测量方法和装置的等离子体处理装置的结构图。
图42是表示图41的等离子体处理装置中等离子体分光测量时的状态图。
图43是表示图41的等离子体发光测量装置的作用的图。
图44是表示另一实施例的等离子体发光测量方法的图。
图45是表示一变形例的光传输探针的主要部分的结构图。
图46是表示一变形例的光传输探针的主要部分的结构图。
图47是表示一变形例的光传输探针的主要部分的结构图。
图48是表示基于本发明的等离子体发光测量方法的容器内异常放电监测功能的实验结果的曲线图。
图49是表示基于本发明的等离子体谐振探针法的容器内异常放电监测功能的实验结果的曲线图。
图50是说明现有等离子体吸收探针法的图。
具体实施方式
下面,参照图1~图49来说明本发明的最佳实施方式。
(实施方式1)
根据图1~图18来说明本发明的第一实施方式。图1和图2中示出适用第一实施方式的等离子体电子密度测定方法和装置的等离子体处理装置的结构。该等离子体处理装置是电容耦合型的平行平板等离子体处理装置。
该等离子体处理装置的容器10例如由铝构成,构成为圆筒形状的可密闭的处理室。在容器10的底部经绝缘板12配置例如铝构成的支撑台14,在该支撑台14上设置例如铝构成的基座16。基座16构成下部电极,其上载放被处理基板、例如半导体晶片W。
在基座16上经未图示的匹配器电连接提供用于引入离子的高频电力的高频电源18,同时,电连接用于使后述的来自上部电极侧的高频波通过的高通滤波器(HPF)22。在基座16的上面可以覆盖例如由静电吸引力来固定保持基板W的静电卡盘(未图示)。另外,在基座16或支撑台14的内部也可以设置用于温度控制的冷却或加热装置(未图示)。
在基座16的上方与该基座平行相对设置上部电极24。该上部电极24经圆筒状绝缘材料25支撑在容器10上,具有配有多个气体喷出孔26的例如由氧化铝等陶瓷构成的下面电极板28、和支撑该电极板28的导电材料、例如氧化铝膜处理表面的铝构成的电极支撑体30。在电极板28与电极支撑体30的内侧形成缓冲室,在该缓冲室的上面中心部设置气体导入口32。在气体导入口32上连接来自处理气体供给部34的气体供给配管36。经未图示的匹配器在上部电极24上电连接提供等离子体生成用高频电力的高频电源38,同时,电连接用于通过来自基座(下部电极)16侧的高频波的低通滤波器(LPF)42。
在容器10的底部设置排气口44,在该排气口44上经排气管连接排气装置46。该排气装置46具有涡轮分子泵等真空泵,将容器10内的处理空间减压至期望的真空度。另外,在容器10的侧壁上设置用于出入基板W的带有开闭机构例如闸阀的基板出入口(未图示)。用地线等将容器10接地。
在该等离子体处理装置中,当对基座16上的基板W实施等离子体处理时,在主控制部20的控制下,从处理气体供给部34以规定的流量向容器10内导入期望的处理气体,通过排气装置46将容器10内的压力达到设定值。并且,分别以规定的电力将来自高频电源18的规定频率(例如2MHz)的高频波和来自高频电源38的规定频率(例如60MHz)的高频波施加于基座(下部电极)16、上部电极24上。从上部电极24的带多孔电极板或喷头28喷出的处理气体在电极间的辉光放电中等离子体化,由该等离子体PZ中的原子团或离子等对基板W进行等离子体处理。另外,将基座(下部电极)16与上部电极24的距离间隔设定在例如10~60mm左右。
本实施方式的等离子体电子密度测定装置具有:固定装配在容器10中的圆筒状绝缘管50;具有使前端部的芯线露出的探针部(天线探针)52a、且可从绝缘管50的一端(图1的左端)滑动插入管内的同轴电缆52;经同轴电缆52对容器10内生成的等离子体PZ测定等离子体谐振频率或电子密度的测定部54;和使同轴电缆52沿轴向移动的线性致动器56。
绝缘管50由例如石英管构成,比容器10的外径尺寸稍长,笔直(直线状)形成,两端开口。如图1所示,在基座(下部电极)16与上部电极24的中间高度位置,在容器10的侧壁中形成相对的一对贯穿孔10a,作为支撑部或测量端口,绝缘管50贯穿这些贯穿孔10a大致水平地架设在容器10的室内。各贯穿孔10a中气密地装有、也就是用于真空密封固定绝缘管50的O型圈58。
同轴电缆52如图2所示,由刚体的半硬性电缆构成,具有例如铝构成的芯线(内部导体)52a、由不锈钢管构成的外部导体52b、和由特氟纶(登录商标)构成的绝缘材料52c,使前端部的芯线52a露出数mm左右来作为探针部。同轴电缆52的基端部侧以SMA插头60的形态连接于测定部54(图1)。另外,同轴电缆52从绝缘管50露出的部分的外部导体(GND部)52b经接地用导体62电连接于地电位的容器10的侧壁上。
接地用导体62如图2所示,由基端部固定在例如装配固定绝缘管50用的导电性法兰部件64上、前端部可滑动地接触同轴电缆52的外部导体52b上的多个导电性弹簧部件构成,这些导电性弹簧部件可以从上下、左右或四方以一定位置弹性夹持同轴电缆52。或者,也可使用导电线来代替弹簧部件,用夹子等将导线的前端连接于同轴电缆52的外部导体52b上。无论采取何种方法,优选在接地用导体62一旦变为开放(释放)的状态下,将同轴电缆52插入绝缘管50中,使同轴电缆52沿从绝缘管50拔出的方向移动,从而使探针部52a定位在期望的位置(测定位置)上。
接近接地用导体62,在其内侧、即探针部52a侧的位置处设置电磁波吸收体,以吸收在同轴电缆52的外部导体52b上产生的驻波的噪音信号。在本实施方式中,如图2所示,在绝缘性圆筒状保持体65中,同轴电缆52通过其中,在保持体65内侧沿轴向直列装配1个或多个珠形铁氧体部件66。
图1中,测定部54具有作为测量器主体的矢量网络分析器68、SMA接口用RF限制器70、高通滤波器(HPF)72、和进行测量用控制和运算处理的测量控制部74。矢量网络分析器68及测量控制部74的结构和作用以后详细说明。
线性致动器56具有结合在同轴电缆52的基端部的滑块部76、和使该滑块部76沿同轴电缆52的轴向直进移动的滚珠丝杠机构78。滚珠丝杠机构78的驱动源使用例如伺服电机(未图示),在可动范围内将滑块部76定位在任意位置。
在绝缘管50的另一端(图1的右端)连接来自冷却气体供给部80的配管82。冷却气体供给部80具有例如送风机或泵,它使冷却用气体例如空气经配管82流入绝缘管50。从绝缘管50的右端导入的空气向相反侧(左侧)流入管内,从接地用导体62的间隙穿过到大气。这样,通过在绝缘管50中沿轴向贯穿流过空气,有效冷却同轴电缆52、尤其是探针部52a周围。优选冷却气体供给部80供给调温后的冷却气体。或者,也可将冷却气体供给部80构成为空气吸引型,在绝缘管50中从左侧向右侧流过空气。
图3中示出测定部54中的矢量网络分析器68和测量控制部74的主要部分的结构。
矢量网络分析器68具有:反射系数测定部84,进行用于测定复数表示的反射系数(复数反射系数)的信号收发信和信号处理;缓冲存储器86,用于暂时存储暂定的反射系数测定值数据(频率特性);以及实部存储器88、虚部存储器90,将正式(最终结果)的反射系数测定值Γ的数据(频率特性)分开成实部Γr与虚部Γi而存储。反射系数测定部84包含频率扫描方式的高频电源、入射波检测用和反射波检测用方向性耦合器、复数反射系数测定电路等。复数反射系数测定电路可以例如由振幅比测定电路和相位差测定电路等构成。
标量式网络分析器是以标量(scalar)表示,根据入射波和反射波各自的功率(标量)比,取得反射系数的测定值;与其相对,本实施方式中的矢量式网络分析器68是以复数表示,根据入射波和反射波各自的电压Vin,Vre或电流Iin,Ire(矢量)的比(Vre/Vin,Ire/Iin),取得反射系数Γ(Γr+jΓi)的测定值。这里,虚部Γi根据频率来取正或负号。
测量控制部74具有:谐振频率读取部92,从矢量网络分析器68的虚部存储器90中取入复数反射系数虚部Γi的测定值数据(频率特性),将零交叉点的频率作为谐振频率fp读取;电子密度运算部94,根据该谐振频率读取部92算出的谐振频率fp,从规定的运算式求出电子密度Ne的测定值;数据的输出部96,输出电子密度测定值Ne;和顺序控制部98,控制测量的顺序。
这里,说明本实施方式的等离子体电子密度测定装置中针对容器10内的等离子体PZ测定径向上的任意位置的电子密度的方法。
在本实施方式中,在测定部54的计数控制部74(尤其是顺序控制部98)的控制下,如下进行等离子体电子密度测定。首先,通过线性致动器56使同轴电缆52在绝缘管50内沿轴向(优选拔出方向)移动,使探针部52a定位在期望的测定位置hk上。
之后,矢量网络分析器68经RF限制器70、HPF72、同轴电缆52和探针部52a,取得该测定位置hk的复数反射系数Γ的测定值(频率特性)。此时,为了标准化,作为第一测量工序,如图4所示,在容器10内不存在等离子体PZ的等离子体OFF状态下,取得复数反射系数Γ的频率特性(第一频率特性Γ(f))。之后,作为第二测量工序,如图5所示,在容器10内存在等离子体PZ的等离子体ON状态下,取得复数反射系数Γ的频率特性(第二频率特性Γ(pf))。
在第一和第二各测定工序中,网络分析器68的反射计数测定部84边例如在数百MHz到数GHz的频域内进行频率扫描,边在各频率下将1mW左右的电磁波信号(入射波)发送到同轴电缆52的探针部52a,使之(主要是从探针部52a看是沿半径方向)放射到周围的空间中,入射到其附近的等离子体PZ。另外,接收从等离子体PZ返回探针部52a的电磁波、即反射波,经方向性耦合器,由复数反射系数测定电路使入射波与反射波匹配,取得复数表示的反射系数Γ(Γr+jΓi)的测定值。
接着,根据第一测定工序中取得的第一频率特性Γk(f)和第二测定工序中取得的第二频率特性Γk(pf),通过规定的运算、例如除法Γk(pf)/Γk(f),求出正规的、即标准化后的频率特性。将复数表示的反射系数Γ(Γr+jΓi)的测定值(频率特性)数据中实部Γr存储在实部存储器88中,将虚部Γi存储在虚部存储器90中。在本实施方式中,有意义地使用存储在虚部存储器90中的复数反射系数的虚部Γi的测定值(频率特性)数据。
图6所示为由本实施方式得到的复数反射系数Γ(Γr+jΓi)的绝对值|Γ|、实部Γr和虚部Γi的频率特性的一例(实验数据)。在等离子体清洗条件下,将容器10内的压力设为15mTorr、高频电源18的下部RF功率(2MHz)设为200W、高频电源38的上部RF功率(60MHz)设为1500W、处理气体设为O2(200sccm),在R=0mm(容器中心点)的测定位置下取得该实验数据。图6中,复数反射系数Γ的绝对值|Γ|相当于标量式网络分析器得到的标量表示的反射系数,基本上与实部Γr相等的值,实质上未反映虚部Γi的值。
若注重复数反射系数Γ的绝对值|Γ|的频率特性,则|Γ|的最小峰值对应于电子振动引起的功率吸收的最大峰值,将取最小(吸收)峰值时的频率、即等离子体吸收频率看成对应于电子振动数。但是,若|Γ|的最小峰值波形变宽或噪音分量增加,则难以正确算出等离子体吸收频率,容易产生测定误差。相反,本发明注重反射系数Γ的虚部Γi的波形(频率特性),如后所述,将Γi零交叉时的频率设为等离子体谐振频率,将该等离子体谐振频率视为对应于电子振动数的频率,换算出电子密度的测定值。
在计数控制部74中,谐振频率读取部92从矢量网络分析器68的虚部存储器90中取入复数反射系数虚部Γi的测定值数据(频率特性),读取零交叉点ZC的频率。如上所述,复数反射系数虚部Γi根据频率来取正或负号。通常,对于基于频率扫描的虚部Γi的频率特性,如图6所示,零交叉点ZC出现在一处,在比零交叉点ZC低的频率区域中,Γi取负值,在比零交叉点ZC高的频率区域中,Γi取正值。若从提高频率的扫描方向看,则Γi的值在零交叉点ZC处从负变为正。相反,若从降低频率的扫描方向看,则Γi的值在零交叉点ZC处从正变为负。这样,零交叉点ZC的频率是Γi的符号反转的点的频率,与频率特性的波形轮廓无关,可简单且正确地算出。在本发明中,将零交叉点ZC的频率定义为谐振频率fp
这里,说明本发明的电子密度测定方法的基本原理。同轴电缆52的探针部52a经绝缘管50与容器10内的等离子体PZ电连接。将该测定位置hk下的等离子体PZ的复数阻抗Zp设为R+jX,若忽视绝缘管50的阻抗,则复数反射系数Γ(Γr+jΓi)从阻抗方面看由下式(2)表示。
Γ(Γr+jΓi)=(Zp-50)/(Zp+50)
={(R+jX)-50}/{(R+jX)+50}
={(R-50)+jX}/{(R+50)+jX}   ......(2)
上述式(1)中右边的常数“50(Ω)”是同轴电缆52的特性阻抗。若有理化上述式(1),则得到下述式(3)。
Γ(Γr+jΓi)=(AB+X2)/(B2+X2)+j100X/(B2+X2)......(3)
其中,A=R-50、B=R+50。
从探针部52a看的等离子体PZ由沿绝缘管50的表面形成的电容性负载的离子层、和向深处扩大的感应性负载的大量等离子体构成。离子层具有电容性的电抗xC,大量等离子体具有感应性的电抗xL,两者在探针部52a与基准电位(地电位)之间形成串联电路。当离子层电容电抗xC比大量等离子体感应电抗xL大时,合成电抗X为负,对应地,复数反射系数Γ的虚部Γi的值变为负。另外,当大量等离子体感应电抗xL比离子层电容电抗xC大时,合成电抗X为正,对应地,复数反射系数虚部Γi的值为正。当离子层电容电抗xC与大量等离子体感应电抗xL相等时,合成电抗X为零,变为串联谐振。此时,复数反射系数虚部Γi的值也为零。在串联谐振状态下,等离子体电抗X的信号功率传送极大化,来自探针部52a的入射波的能量通过所谓的朗道阻尼机理传递到等离子体中的电子。即,变为串联谐振状态时的电磁波的频率、即谐振频率与电子振动数相符或匹配。在本发明中,就复数反射系数虚部Γi的频率特性而言,将零交叉点的频率视为等离子体的离子层电容与大量等离子体感应电抗串联谐振的频率fp,根据该谐振频率fp的测定值,求出电子密度的测定值。另外,实际中由于矢量网络分析器68如上所述进行反射系数的标准化处理(Γk(pf)/Γk(f)),所以虽然上述式(3)是变形过的,但基本上适合上述理论。
计数控制部74将谐振频率读取部92得到的谐振频率fp的测定值提供给电子密度运算部94。如上所述,因为将谐振频率fp看作与等离子体中的电子振动数
Figure BSA00000405333600221
相等,所以电子密度运算部94通过运算下式(4),算出电子密度Ne
Ne=me0*(1+εr)*(2πfp/e)2
=5.96E10(fp)2[m-3]......(4)
其中,me为电子密度,ε0为真空介电常数,εr为绝缘管的比介电常数,e为净电荷。另外,fp的单位为GHz,E10意思是1010
图7A和图7B所示为由本发明的等离子体谐振探针法得到的电子密度测定灵敏度的一例(实验数据)。该实验数据表示在等离子体清洗条件下,设容器10内的压力设为15mTorr、下部RF功率(2MHz)设为200W、处理气体设为O2(200sccm),使上部RF功率(60MHz)以1500W为中心微小变化时R=80mm(距容器中心点沿径向80mm)的测定位置(图7A)和R=220mm(距容器中心点沿径向220mm:距侧壁20mm)的测定位置(图7B)上的等离子体ON开始之后的电子密度Ne随时间变动的情况。
如图所示,若上部RF功率从中心值1500W变化±30W(2%),则R=80mm的测定位置(图7A)中,读取电子密度Ne仅变化约±0.1E+10(E+10=1010)。另一方面,R=220mm的测定位置(图7B)中,读取电子密度Ne仅变化约±0.02E+10。通常,在设等离子体生成用的RF功率为加工参数的情况下,若能监测使之变化2%时的电子密度的变化,则规格上充分,本发明的等离子体电子密度测定法可较佳地去除该要求条件。并且,还应该注意到如下优点,即,即使在等离子体密度低的容器侧壁附近的测定位置处,也可高精度测定电子密度Ne
图8中为了比较测定精度,将R=80mm的测定位置处由本发明的等离子体谐振探针法得到的电子密度Ne的测定值数据与由等离子体吸收探针法(PAP)得到的电子密度Ne的测定值数据相对比。加工条件与图7的实验例一样(其中,上部RF功率为1500W)。从图8可知,由等离子体吸收探针法得到的电子密度的变动(波形),由于测定值偏差大,因而表示为锯齿曲线。相反,本发明得到的电子密度的变动(波形),测定值偏差小,因而表示为无锯齿的光滑曲线。
本发明的另一优点在于即使在高压条件下也可正确测定等离子体中的电子密度Ne。图9、图10、图11中示出在将容器内的压力选择成15mTorr、800mTorr、1600mTorr三个阶段时的复数反射系数的频率特性(实验数据)。图中,Γi是本发明取得的复数反射系数Γ的虚部,|Γ|是复数反射系数Γ的绝对值,相当于由等离子体吸收探针法取得的标量显示的反射系数。在本实验中,使用将磁控管产生的高频(2.45GHz)的微波通过波导管后从容器的开井面的石英窗照射到容器内并生成等离子体的等离子体CVD(Chemical Vapor Deposition)用微波型等离子体处理装置。作为主要加工条件,气体为Ar(400sccm),设微波的功率为1000W。
就相当于基于等离子体吸收探针法的反射系数频率特性的复数反射系数绝对值|Γ|的频率特性而言,在15mTorr的压力条件(图9)下,最小(吸收)峰值用尖的角状波形表示,可正确读取对应于峰值点的频率(吸收频率)。但是,在800mTorr的压力条件(图10)中,最小(吸收)峰值波形带圆弧,变宽,峰值点不明显,同时,与最小(吸收)峰值波形混淆的噪音成分增加。另外,在1600mTorr的压力条件(图11)中,该倾向进一步显著,很难正确算出吸收频率。这是因为若压力变高,则等离子体中电子与粒子(尤其是中性分子、原子)间的冲击增大,这部分(实电阻引起)的功率吸收增加。这样,若吸收频率的测定精度低,则电子密度的测定精度也变低。
相反,就本发明的等离子体谐振探针法的反射系数频率特性、即复数反射系数虚部Γi的频率特性而言,不用说15mTorr(图9),就连800mTorr(图10)或1600mTorr(图11)下Γi的值变为零的点(零交叉点)也是明确的,所以可简单且正确读取谐振频率fp
在15mTorr的压力条件(图9)下,根据复数反射系数虚部Γi的零交叉点算出的谐振频率fp为8.19×1011,根据绝对值|Γ|的最小峰值算出的吸收频率也约为8.19×1011。在800mTorr的压力条件(图10)中,谐振频率fp为3.89×1011,吸收频率为3.73×1011附近的值。另外,在1600mTorr的压力条件(图11)中,谐振频率fp为4.22×1011,吸收频率为3.81×1011附近的值。
另外,当压力比15mTorr低得多时,气体分子变少,电子密度也变低。若电子密度低,则来自等离子体的反射波的信号强度变低,S/N降低,频率特性倾向于变宽。这样,等离子体吸收探针法难以正确读取吸收频率。相反,若根据本发明的等离子体谐振探针法,就可与频率特性的波形无关地从复数反射系数虚部Γi的零交叉点正确读取谐振频率fp
图12中示出通过本发明的等离子体谐振探针法在2000mTorr的高压条件下得到的电子密度分布特性一例(实验数据)。本实验在等离子体CVD用的电容耦合型等离子体处理装置中,将基座温度设为600℃,向上部(相对)电极施加450kHz、800W的高频,在等离子体生成气体中使用Ar/H2气体(1600/1000sccm)。如图12所示可确认,即使在2000mTorr的高压条件下也可以高精度测定径向各位置处的电子密度Ne
另外,在本发明的等离子体谐振探针法中,如图4和图5所示,在绝缘管50内使探针部52a的位置、即测定位置h沿径向扫描移动,通过将在各测定位置(h1,h2,...,hn)处求出的电子密度Ne的测定值绘制在曲线上,可取得容器10内的径向上等离子体电子密度Ne的空间分布特性。
作为本发明的最佳实施方式,可对径向的所有测定点(h1,h2,...,hn)分别统一实施上述第一和第二测量工序。具体而言,在第一测量工序中,如图4所示,在容器10内不存在等离子体PZ的状态下,在预定的径向测定位置h1,h2,...hk,...,hn顺序取得复数反射系数Γ的频率特性(第一频率特性Γ1(f),Γ2(f),...,Γk(f),...,Γn(f))。此时,通过线性致动器56使同轴电缆52沿从绝缘管50拔出的方向间歇移动,使探针部52a依次从图的右端(始端)的测定位置h1步进移动到左端(终端)的测定位置hn
另外,在第二测量工序中,如图5所示,在容器10内产生等离子体PZ的状态下,在与上述第一测量工序时相同的测定位置h1,h2,...,hk,...,hn-1,hn顺序取得复数反射系数Γ的频率特性(第二频率特性Γ1(pf),Γ2(pf),...,Γk(pf),...,Γn(pf))。此时,通过线性致动器56使同轴电缆52也沿从绝缘管50拔出的方向间歇移动,使探针部52a依次从图的右端(始端)测定位置h1步进移动到左端(终端)测定位置hn
如上所述,在统一取得第一和第二频率特性(Γ(f)、Γ(pf))后,在后续的各信号处理中,即在频率特性的标准化(Γ(pf)/Γ(f))、虚部Γi的抽取、谐振频率fp的算出、电子密度Ne的运算等中,进行全部测定位置(h1,h2,...,hn)的统一处理。
这样,如果采用对全部测定位置h1~hn分别统一进行在等离子体OFF状态下的反射系数测定与等离子体ON状态下的反射系数测定的方式,则因为与测定点个数无关,一次的ON/OFF切换时间就可完成,所以整体的测定效率高,可将每一测定位置的测定时间缩短到数秒以内。这是因为在现有的等离子体吸收探针法中每次改变测定位置都得重复等离子体的ON/OFF,所以每个测定位置都需要数分钟的测定时间。这种测定效率或测定时间的差随着测定点的个数增加而(尤其是在大口径的容器中)变得显著。
另外,在本实施方式中,在容器10的侧壁相对位置上设置的一对支撑部(贯穿孔10a,10a)之间水平架设绝缘管50,且由O型圈58气密固定。而且,在如此水平固定的架桥型绝缘管50中,使同轴电缆52沿轴向移动,进行探针部52a的定位。从而,在可使探针部52a迅速且正确地定位在期望的测定位置上的同时,可使探针部52a始终位于一定的水平线上,可保证测定位置的再现性。
另外,因为绝缘管50不会与O型圈58摩擦,所以不必担心O型圈58损伤恶化,在探针机构的稳定性提高的同时,消耗品成本性(COC)也得到提高。由于探针机构对等离子体的影响(扰乱)与测定位置无关,是一定的,且扰乱时间(测定时间)非常短,所以测定精度的可靠性也得到提高。
另外,由于从同轴电缆52的探针部52a看到的绝缘管50在任何测定位置上都是一定或一样的同轴管结构,从探针部52a振荡的电磁波与等离子体的耦合是一定的,所以难以产生噪音,可进行精度和再现性高的测量。并且,因为将珠形铁氧体部件66作为电磁波吸收体嵌入同轴电缆52中,所以即使在同轴电缆52的外部导体(GND部)52b中产生驻波噪音,也可由珠形铁氧体部件66有效吸收,完全去除驻波噪音。
另外,在该等离子体电子密度测定装置中,经接地用导体62和容器10将同轴电缆52的外部导体(GND部)52b接地。通过利用该容器10的RF屏蔽功能,可有效防止RF噪音向大气或测定电路54的泄漏,确保人体和测量设备的安全性,同时,可避免气体检测器等外围电子设备的误操作。
另外,如果利用该RF屏蔽功能,在同轴电缆52的外部导体(GND部)52b上,在比与接地用导体62的连接位置或短路点A还靠内侧(探针部52a侧)传播噪音信号,那么驻波噪音吸收用的珠形铁氧体部件66优选配置在比短路点A更靠内侧,更优选如本实施方式那样,设为可及地接近驻波噪音的波腹部的短路点A的配置结构。
并且,在本实施方式中,绝缘管50的前端侧、即探针部52a的相对侧是开口的,从该开口部流入来自冷却气体供给部80的空气,有效冷却同轴电缆52,所以可防止探针部52a周围的热膨胀或热损伤,可使耐久性提高。
本实施方式的等离子体电子密度测定方法和装置即使在大口径容器的300mm装置或FPD装置上也可容易且有效地在短时间内进行可靠性高的等离子体电子密度测定。
并且,因为本发明如上所述即使在等离子体密度低的部位也可正确测定电子密度,所以可在不对等离子体造成扰乱的测定位置处进行监测。图13中示出可进行这种无扰乱的等离子体监测的本发明的一实施例。图中,在具有与图1各部实质相同的结构或功能的部分标注相同符号。
图13中,在本实施例的等离子体电子密度测定装置中,以嵌入(埋设)式,在容器10的侧壁、上部电极24中心部和下部电极16周围部等三个部位装配探针构件100、102、104。这些探针构件都位于等离子体区域的周围,可不扰乱等离子体PZ地测定附近的电子密度。
图14A和图14B中示出侧壁嵌入的探针构件100的结构例。图14A的结构是闭塞上述实施方式(图1)中的绝缘管50的前端部后,从容器10的侧壁稍向等离子体区域突出地装配的结构。为了提高向前方(等离子体区域)方向的电波放射的指向性,优选将探针部52a的前端装配在绝缘管50的前端部。
图14B的结构是相对容器10的侧壁内面,共面或凹入地装配由绝缘体构成的筒状外壳106。在该外壳106的前端面优选设置介电常数大的材质、例如蓝宝石构成的板厚小的窗部件108,提高测定灵敏度。另外,如图所示,通过将探针部52a的前端弯曲成L形,在探针部52a中形成阻抗的不连续点,从那里有效地向前方放射电波。
为了提高前方指向性,另外也可以是如图15(B)所示在探针部52a的前端装配圆盘状电容性耦合部件110的结构、或如图15(D)所示在探针部52a的前端装配例如十字形感应性天线部件112的结构。另外,在图14A的探针构件100中采用图15(A)的探针结构,在图14B的探针构件100中采用图15(C)的探针结构。电极周围的探针构件102、104也具有与上述探针构件100一样的结构和功能。
图13中,各探针构件100、102、104可经选择器开关114连接于共同的网络分析器68上。在等离子体处理当中,在测量控制部74的控制下,以分时方式通过将选择器开关114切换到各探针构件100、102、104,可由1台测定部54对容器10内的等离子体密度进行来自多个监测位置的同时的测定。另外,加工中可不对容器10内的等离子体PZ造成扰乱地、可简便地监测其周围的等离子体电子密度的变动以及加工的实况。也可从测定部54向主控制部20提供监测信息,使测定结果反馈到当前的加工条件或下次的加工条件中。等离子体加工中的代表的加工参数是压力、RF功率、气体流量、温度等。
图16所示的实施例是图13的实施例发展成在多台等离子体处理装置中的例子。如图所示,可通过选择器开关114将分别装配在2台(也可以是3台以上)等离子体处理装置中的组装型探针构件116、118以分时方式同时连接于公共的矢量式网络分析器68上。该系统中也从测量部54向各装置的加工控制部20提供每个处理装置的监测信息,每个处理装置中加工控制部20将测定结果反馈到当前的加工条件或下次的加工条件中。另外,在这些多台等离子体处理装置为同一机型的情况下,可正确判断装置之间有无误差。
另外,可适用图13的实施例的应用之一为老化。本领域的技术人员公知,老化是如下工序,在容器的清洗或部件交换后,为了使容器室内在符合加工条件的环境中稳定,使用伪晶片来重复循环等离子体蚀刻(引导运转)适当次数。通常,在清洗或部件交换后,从等离子体空间到容器内壁的堆积物附着比从容器内壁到等离子体空间的堆积物的放出多,加工不稳定。在重复循环几次等离子体处理后,容器内壁中的堆积物的附着与放出均衡,加工稳定。
目前,在标准制法的条件下在每个加工周期中都监测蚀刻速率,将蚀刻速率稳定化所需的伪晶片的个数(或引导周期数、引导运转时间等)确定为老化条件,并固定该老化条件,并适用于全部加工制法中。但是,虽然应当如此,但固定老化条件对全部加工制法是不妥当的,有时过剩,有时不足,因此存在问题。也就是说,在老化条件过剩的情况下,多余的蚀刻循环会造成生产率低下。在老化条件不足的情况下,会对正规的晶片实施不稳定的加工,导致成品率低下。另外,虽然也可根据加工工程师或操作者的经验或直感来设定老化条件,但确定性和通用性低,所以存在与上述一样的问题。根据本发明,如下所述,对各个加工制法进行适应型老化控制,可实现生产率提高和成品率提高的折衷。
根据本发明的等离子体谐振探针法,如上所述,因为即使在等离子体密度低的部位也可正确测定电子密度,所以例如可在容器10的侧壁装配探针构件100,不对等离子体造成扰乱地监测实加工中的电子密度。这里,在实加工、例如等离子体蚀刻中,在进行容器的清洗或部件交换后,最初的蚀刻周期(第一个晶片)的蚀刻速率最高,重复蚀刻循环,蚀刻速率依次减少,在某个周期之后,蚀刻速率稳定化。图17中示出在老化的蚀刻周期中,在晶片上的各位置处蚀刻速率依次减少后稳定化的状态的一例。图示的实例是氧化硅膜蚀刻,主要蚀刻条件如下。
晶片口径:200mm
气体压力;15mTorr
上部及下部电极间距离:25mm
蚀刻气体:C5F8/O2/Ar=15/380/19sccm
RF功率:上部/下部=2170/1550W
如图17所示,蚀刻速率(E/R)在第一个晶片(No.1)与第三个晶片(No.3)之间变化量(减少量)相当大,在第三个(No.3)与第五个(No.5)之间还有相当的变化量(减少量),相反,在第五个(No.5)与第七个(No.7)之间变化量(减少量)非常小。在本实例的情况下,可视为在第五个(No.5)时老化完成。另外,在晶片面内,晶片中心部处蚀刻速率(E/R)的变化量最显著,在晶片边缘附近也可读取有意义的变化量。
图18中示出上述例中从第一个(No.1)晶片到第七个(No.3)晶片中,蚀刻速率(E/R)的晶片面内平均值(Ave.E/R)依次减少并稳定化的状态,以及各蚀刻周期中的电子密度Ne随时间的变动。这里,电子密度Ne是通过本发明的等离子体谐振探针法在容器侧壁附近(距侧壁10mm的位置)监测到的电子密度Ne,图中绘制的是在各蚀刻周期(蚀刻时间TA=60秒)中间隔12秒的15个测定数据。另外,在第一个(No.1)晶片得到的蚀刻速率平均值(Ave.E/R)被标准化(a.u)为基准值,在第一个(No.1)晶片得到的电子密度Ne的平均值被标准化(a.u)为基准值。
如图18所示,可知老化中对应于蚀刻周期的蚀刻速率(E/R)的变化与电子密度Ne的变化之间存在的相关关系。即,蚀刻周期的次数向上计数成1、2、3、...,蚀刻速率平均值(Ave.E/R)依次减少,与之呼应(联动),各蚀刻周期中的电子密度Ne的最大值(周期开始时的值)、最小值(周期结束时的值)和平均值也依次减少,若蚀刻速率平均值(Ave.E/R)稳定,则电子密度Ne的最大值、最小值和平均值也稳定。
根据本发明,在容器10中进行清洗或部件交换后,就改换后搬入容器10中并受到等离子体蚀刻的各伪晶片而言,可在容器10的壁附近不对实加工造成影响地高精度监测在蚀刻周期中随时间变化的电子密度Ne的测定值代表点(最大值、最小值或平均值等),在前后伪晶片之间代表点实质上稳定在恒定值时完成老化,然后将搬入容器10中的被处理基板从伪晶片切换成正规的晶片。
在上述实施例中,测定部54的矢量网络分析器68从复数反射系数Γ中取虚部Γi的频率特性,从虚部Γi的零交叉点读取谐振频率fp。作为一变形例,也可以是如下方式,即矢量网络分析器68测定入射波与反射波的相位差,对于该相位差的频率特性,也可以采取将零交叉点的频率设为谐振频率fp的方式。即,矢量网络分析器68测定的入射波与反射波的相位差的符号对应于复数反射系数Γ的虚部Γi的符号,相位差变为零的频率为复数反射系数Γ的虚部Γi变为零的频率、即谐振频率fp。因此,也可根据从相位差算出的谐振频率fp来求出高精度的电子密度的测定值。
另外,在上述实施例中,在绝缘管50中通过间歇步进移动使同轴电缆52的探针部52a依次定位在各测定位置hi上。但是,也有如下方法:例如也可在线性致动器54上装配旋转编码器或线性编码器等位置传感器,检测滑块部76或探针部52a的当前位置,从而边使同轴电缆52等速度连续沿轴向移动,边在探针部52a通过各测定位置hk时使网络分析器68启动,取得反射系数的频率特性。另外,当然也可以将容器10内的测定位置hk仅设为一个。
另外,在上述实施例中,将容纳同轴电缆52的探针部52a的绝缘管50水平架设在容器10侧壁的相对位置上设置的一对支撑部(贯穿孔10a、10a)之间。但是,也可将本发明的等离子体谐振探针法适用于在使管前端部浮起的状态下将绝缘管50支撑或装配在容器10内的单支撑梁方式中。上述实施例中的致动器56是通过滚珠丝杠机构将电机的旋转驱动力变换为直线驱动力的方式。但是,本发明中所用的致动器不限于这种电机形式,也可是空气压力式或磁气式等任意的驱动方式。
实施方式2
下面,参照图19~图31来说明本发明等离子体吸收探针法(PAP)的实施方式。图19中示出适用本实施方式2的等离子体电子密度测定方法和装置的等离子体处理装置的结构。图中,具有与图1的等离子体处理装置和等离子体监测装置一样的结构或功能的部分附加相同符号,省略其详细说明。
在实施方式2中,等离子体电子密度测定装置的测定部54包括等离子体吸收探针法(PAP)用的标量网络分析器120和测量控制部122。
标量网络分析器120边在例如数百MHz至数GHz的频域中进行频率扫描,边在各频率下向同轴电缆52的探针部52a发送微小功率的电磁波信号(入射波),并向容器10内的等离子体PZ放射,并根据可从等离子体PZ反射的电磁波(反射波)的电力量与入射波的电力量之比,求出标量表示的反射系数,取得其频率特性。测量控制部122进行测量用控制和运算处理,尤其是取入标量网络分析器120取得的标量表示的反射系数的频率特性,对该频率特性算出波形的最小峰值或吸收峰值,求出对应于该吸收峰值的频率、即等离子体吸收频率。
这里,根据图20~图22来说明本实施方式的等离子体监测装置测定等离子体吸收频率和电子密度的方法。如图20所示,本实施方式的等离子体监测大致分为设定、统一测定和统一数据处理等三阶段的工序。
在设定工序(步骤S1)中,测量控制部74设定输入与监测有关的参数(例如RF功率、压力、气体种类、电极间距离、电极结构等)和测定位置等。测定位置也可直接设定输入各位置的数据,或根据原点位置与测定点个数和间距(测定位置间隔)等设定输入值来运算导出。
统一测定工序包括第一统一测定工序(步骤S2),在容器10内不存在等离子体PZ的等离子体OFF状态下,对全部测定位置统一取得反射系数的频率特性(第一频率特性);和第二统一测定工序(步骤S3),在容器10内存在等离子体PZ的等离子体ON状态下,对全部测定位置统一取得反射系数的频率特性(第二频率特性)。
图21表示第1统一测定工序(步骤S2)的详细步骤。在该第1统一测定工序中,最初确认容器10内不存在等离子体PZ(步骤A1、A2)。通过装置上高频电源18、38停止输出高频功率,处理气体供给部34停止供给处理气体,就能够变为无等离子体PZ的状态、即等离子体OFF状态。另外,容器10内的压力保持在规定的真空度。
这样,在容器10内不存在等离子体PZ的状态下,在预先设定的径向测定位置h1、h2、...、hi、...、hn-1、hn顺序取得反射系数的频率特性(第一频率特性)。具体而言,与实施方式1的情况(图1)一样,使探针部52a定位在目的测定位置hi(步骤A3),标量网络分析器120边在数百MHz至数GHz的频域中进行频率扫描,边向同轴电缆52的探针部52a发送例如1mW左右的电磁波信号(检查电波或入射波),并(主要是从探针部52a看沿半径方向)放射到周围空间中,根据可返回标量网络分析器120反射信号的功率量与入射波的功率量之比,求出标量显示的反射率或反射系数,将标量网络分析器120取得或显示的反射系数的频率特性Γi(f)(S11参数)存储在测量控制部74内的存储器74a中(步骤A4,A5)。之后,使探针部52a移动到下一测定位置hi+1(步骤A6→A7→A8→A3),通过与上述一样的信号处理,取得反射系数的频率特性Γi+1(f)(S11参数)(步骤A4),将取得的频率特性的数据作为测定数据存储在测量控制部74内的存储器74a中(步骤A5)。对全部测定位置h1、h2、...、hi、...、hn-1、hn进行上述一连串的工序(步骤A3、A4、A5)的重复(步骤A6、A7、A8)。
在本实施方式中,如图4所示,通过线性致动器56使同轴电缆5向从绝缘管50中拔出的方向间歇移动,使探针部52a从图的右端(始端)测定位置h1依次步进移动到左端(终端)测定位置hn,从而可高效短时间(数秒以内的间歇时间)实施上述一连串的工序(步骤A3、A4、A5)。
图22表示第2统一测定工序(步骤S3)的详细步骤。在该第2统一测定工序中,首先在容器10内生成期望的等离子体PZ(步骤B1)。通过装置上高频电源18、38分别以预先设定的RF功率向两电极16、24施加高频功率,处理气体供给部34向容器10内供给期望的处理气体,就可变为等离子体PZ存在的状态、即等离子体ON状态。
另外,在容器10内产生等离子体PZ的状态下,在与上述第一统一测定工序时相同的测定位置h1、h2、...、hi、...、hn-1、hn顺序取得反射系数的频率特性(第二频率特性)。具体而言,通过与上述一样的步骤和信号处理,线性致动器56使同轴电缆52的探针部52a依次定位于各测定位置hi(步骤B2),在各测定位置hi上,标量网络分析器120取得反射系数的频率特性Γi(pf)(步骤B3),将该取得的频率特性的数据作为测定数据存储在测量控制部74内的存储器74a中(步骤B4)。对全部测定位置h1、h2、...hi、...、hn-1、hn进行上述一连串的工序(步骤B2,B3,B4)的重复(步骤B5,B6,B7)。
在该第二统一测定工序中,如图5所示,通过线性致动器56使同轴电缆52向从绝缘管50中拔出的方向间歇移动,使探针部52a从图的右端(始端)测定位置h1依次步进移动到左端(终端)测定位置hn,从而可高效地在数秒以内的间歇时间中实施上述一连串的工序(步骤B2、B3、B4)。
另外,就同轴电缆52而言,因为外部导体52b是不锈钢管,刚性好,所以在沿从绝缘管50中拔出的方向移动时可维持稳定的直线性,同时,即使在等离子体PZ的高温环境内也可防止绝缘材料52c的热膨胀乃至破裂。
图20中,统一数据处理的工序包含第一数据处理工序(步骤S4),对全部测定位置h1、h2、...hi、...、hn-1、hn,根据上述统一测定工序得到的反射系数的第一频率特性Γ(f)与第二频率特性Γ(pf),通过规定的运算(例如除法或减法等),统一算出等离子体吸收频率;和第二数据处理工序(步骤S5),根据等离子体吸收频率的测定值,通过上式(1)的运算,统一算出等离子体电子密度。
具体而言,在第一数据处理工序(步骤S4)中,对各个测定位置h1、h2、...hi、...、hn-1、hn,运算第一频率特性Γi(f)与第二频率特性Γi(pf)之比Γi(pf)/Γi(f)。该比Γi(pf)/Γi(f)意味着以真空状态为基准的等离子体的能量吸收的频率特性。严格讲,从探针部52a放出的电磁波在周围的绝缘管50的表面传播,当该表面波的频率与等离子体的电子振动数fp一致时,引起电磁波吸收,反射率极低。因此,通过算出比Γi(pf)/Γi(f)变为极小峰值处的频率,可将其作为等离子体吸收频率的测定值。
在第二数据处理工序(步骤S5)中,对各个测定位置h1、h2、...hi、...、hn-1、hn,根据等离子体吸收频率的测定值,运算上式(1),求出电子密度Ne的测定值。通过对应于各测定位置将电子密度Ne的测定值绘制在曲线上,能够调查等离子体PZ的径向上的电子密度Ne的空间分布。
图23中示出将本实施方式的等离子体监测装置得到的电子密度Ne的空间分布特性一例(实施例)与比较例相对比。这里,比较例是通过以下方式,即,在图19的装置结构下,在每个测定位置hi处切换等离子体OFF状态与等离子体ON状态,取得反射波的第一频率特性Γi(f)与第二频率特性Γi(pf)的方式,所取得的电子密度Ne的空间分布特性。
如图所示,实施例与比较例中,电子密度Ne的空间分布特性(测定值)没有太多不同。但是,比较例是在每个测定位置hi处切换等离子体OFF状态与等离子体ON状态后每次都进行反射系数测定的方式,由于浪费与测定点个数成正比的ON/OFF切换时间,所以整体的测定效率低,每个测定位置都需要数分钟的测定时间。相反,实施例是对全部测定位置h1~hn分别进行统一的等离子体OFF状态的反射系数测定与等离子体ON状态下的反射系数测定的方式,因为与测定点个数无关,ON/OFF切换时间一次就够,所以整体的测定效率高,可将每个测定位置的测定时间缩短到数秒以内。因此,在图23的实例(测定点为16个)的情况下,全部测定时间在比较例约花费30分钟,相反,在实施例中约在3分钟以内完成。这种测定效率或测定时间的差随着测定点个数增加而显著。
这样,如果采用本实施方式的等离子体监测装置,可高效地在短时间内测定等离子体吸收频率和电子密度,即使是大口径容器的300mm装置或FPD装置也可对其容易且有效地在短时间内进行可靠性高的等离子体监测。
另外,在实际的制造加工中,当该等离子体处理装置进行加工处理时,优选从处理装置中取下探针机构(绝缘管、同轴电缆)。在本实施方式中,若拔出绝缘管50,则可用栓等密封部件封闭且真空密封开口的容器10的贯穿孔10a。
下面,说明实施方式2的具体例。
在上述等离子体处理装置(图19)中,将上部和下部高频功率(高频电源38、18)的RF频率分别设为60MHz、2MHz,将上部电极24与下部电极(基座)16的距离间隔(间隙)设定为25mm。
在上述实施方式的等离子体监测装置中,绝缘管50使用全长为550mm、外径为3mm、内径为1.5mm的透明石英管,将容器10架设在侧壁的两贯穿孔10a之间的高度位置(测定高度位置)设定在距上部电极24为10mm、距下部电极16为15mm的位置上。同轴电缆52使用芯线(内部导体)52a的外径为0.20mm、外部导体52b的外径为0.86mm、特性阻抗为50Ω的半硬性电缆SC-086/50(コアツクス公司(Comes Dodwell Ltd.)制),使前端部的带特氟纶(登录商标)的芯线52a露出10mm,成为探针部。测定电路54中,高通滤波器72使用日本高周波公司的HPF150,RF限制器70使用安捷伦公司(AgilentTechnologies)的11930B,标量网络分析器120使用安捷伦公司的HP8753ET。标量网络分析器120每600msec扫描从150MHz至2500MHz的高频信号(0dBm:1mW)并使其输出。在线性致动器56中使用THK公司的线性致动器LCA40。
在上述实施方式的改良型等离子体吸收探针法中,为了避免RF泄漏,使同轴电缆52的GND线52b短路于容器10的壳体(侧壁)上而接地,但在不具备珠形铁氧体部件66的结构中,若改变同轴电缆52到容器10内的插入长度L(从短路点A至探针部52a前端的距离),则会看到周期地出现可认为是等离子体吸收频率以外的噪音的峰值的现象。
为了了解该噪音产生的原理,使同轴电缆52的插入长度L(图2)变化,调查此时得到的噪音峰值的频率特性。图24中示出该频率特性。从图24可知,噪音峰值对应于同轴电缆52的插入长度L,在1500MHz以上的频域中周期出现。另外,等离子体频率fp存在于1000MHz~1500MHz的范围内。
图25中用曲线示出噪音峰值的频率(实测值),并用曲线示出由插入长度L所决定的谐振频率(峰值频率计算值)。图25中,λ表示在同轴电缆52的外部导体52b中传播的噪音信号的波长,λg表示在芯轴(内部导体52a)中传播的噪音信号的波长。从图25的曲线可知,噪音峰值的测定值与计算值(半波长的整数倍)基本上完全一致。
根据该结果,在插入的同轴电缆52的GND线52b中产生驻波,该驻波被探针识别为信号,认为产生基于原来的等离子体的吸收峰值以外的驻波噪音峰值。若产生驻波噪音,则担心等离子体吸收的频率特性的S/N变差,误认为是等离子体吸收峰值。
因此,如上述实施例那样,通过实验来尝试电磁波吸收体64对驻波噪音的吸收。在电磁波吸收体64中使用TDK公司的珠形铁氧体HF70BB3.5×5×1.3。另外,作为等离子体处理装置的等离子体生成条件,处理气体中使用C5F8/Ar/O2混合气体(流量:15/380/19sccm),分别将容器10内的压力设定为2.0Pa(15mTorr),上部/下部高频功率设定为2.17/1.55kW,上部电极/容器侧壁/下部电极的温度设定为60/50/20℃。将测定位置距晶片中心位置的半径方向的距离设为R,选择R=0(晶片中心)、R=160mm两个部位。
图26A和图26B中示出该实验结果的数据。从该实验结果可知,通过将电磁波吸收体66安装在同轴电缆52上,确认不会对原来的等离子体吸收峰值造成影响,有效去除驻波噪音。
下面,用实验来评价等离子体清洗条件下的噪音降低效果。这是为了即使如等离子体清洗那样在电子密度变低、S/N变差的条件下,确认能否通过电磁波吸收体66有效降低驻波噪音。另外,所谓等离子体清洗如公知的那样,是使用等离子体来去除附着在容器内壁等中的反应残渣的清洗方法。
作为等离子体生成条件,在处理气体中使用O2,分别将供给流量设定为200sccm,将压力设定为2.0Pa(15mTorr),将下部功率设定为200W,将上部电极/容器侧壁/下部电极的温度设定为30/50/20℃,使下部功率从1500W变化到200W。设测定位置为R=0(晶片中心)。
图27中示出该实验结果。因为依然仅可选择地去除驻波噪音,所以可知即使是等离子体吸收峰值弱的信号也可容易进行峰值检测。
进一步,评价增强安装在同轴电缆52上的电磁波吸收体66时的信号变化。具体而言,比较设直列安装在同轴电缆52上的珠状铁氧体(HF70BB3.5×5×1.3)为5个、10个、15个时的等离子体吸收峰值的信号(深度)。结果如图28所示,可知通过增加珠形铁氧体的安装数可增加信号。这是因为铁氧体经电磁感应去除包含在信号中的噪音分量。由于电磁波被铁氧体吸收时就会变换为热能,所以铁氧体自身被加热,一旦其温度超过居里点(Tc:约100℃),铁氧体就会丧失电磁波吸收的特性。因此,优选冷却珠形铁氧体。在本实施方式中,通过冷却气体供给部80的作用来空冷电磁波吸收体66。
另外,如下所述对几个加工等离子体调查压力与电子密度空间分布的相关关系。
(1)用于形成高纵横比的连接孔用的蚀刻用等离子体,将压力作为参数,调查容器径向上的电子密度的空间分布。图29中示出测量结果。主要的等离子体生成条件(制法)如下。
晶片口径:200mm
蚀刻气体:C5F8/Ar/O2混合气体
气体流量:C5F8/Ar/O2=15/380/19sccm
气体压力:2.0~26.6Pa(15~200mTorr)
RF功率:上部/下部=2.17/1.55kW
设定温度:上部电极/侧壁/下部电极=60/50/20℃
下部RF电压的振幅:1385V(2.0Pa)、1345V(4.0Pa)、1355V(10.6Pa)、1370V(16.0Pa)、1380V(26.6Pa)
如图29所示,可知在该等离子体生成条件下,若压力超过16.0Pa(120mTorr),则晶片中心部附近的位置处电子密度Ne下降,丧失均匀性。
(2)用于在Si基板上形成布线用沟槽(沟道)的蚀刻用的等离子体,将压力作为参数,调查容器径向上的电子密度的空间分布。图30中示出测量结果。主要的等离子体生成条件(制法)如下。
晶片口径:200mm
蚀刻气体:CF4/O2混合气体
气体流量:CF4/O2=40/3sccm
气体压力:6.7~66.5Pa(50~500mTorr)
RF功率:上部/下部=1.0/1.2kW
设定温度:上部电极/侧壁/下部电极=60/50/20℃
下部RF电压的振幅:1530V(6.7Pa)、1690V(20.0Pa)、1400V(39.9Pa)、1180V(66.5Pa)
从图30可知,在该等离子体生成条件下,若气体压力为6.7Pa或20.0Pa左右,则电子密度Ne为不均匀分布,但在39.9Pa以上,就变成平坦(均匀)的分布特性。
(3)用于在基板上的夹层绝缘膜中形成通孔的蚀刻用等离子体,将压力作为参数,调查容器径向上的电子密度的空间分布。图31中示出测量结果。主要的等离子体生成条件(制法)如下。
晶片口径:200mm
蚀刻气体:N2气体
气体流量:300sccm
气体压力:53.2~106.4Pa(400~800mTorr)
RF功率:上部/下部=1.5/1.0kW
设定温度:上部电极/侧壁/下部电极=30/50/20℃
下部RF电压的振幅:1015V(53.2Pa)、938V(106.4Pa)
如图31所示,可知在该等离子体生成条件下,即使气体压力上升到106.4Pa,也可维持电子密度Ne的均匀性。
如上所述,在本实施方式的等离子体监测方法和装置中,在从低压到高压的宽的压力区域中,可在短时间内进行高精度的电子密度测量。因此,在本实施方式的等离子体处理装置中,有效地优化制法,以在期望的加工条件下,以均匀状态在处理空间中分布等离子体密度、即电子密度,保证等离子体处理的面内均匀性,使成品率提高。
另外,在该实施方式2中,也可进行与上述实施方式1的情况一样的各种变形。
实施方式3
下面,根据图32~图48来说明根据本发明的等离子体发光测量法的实施方式。图32中示出适用实施方式3的等离子体发光测量方法和装置的等离子体处理装置的结构。图中,具有与图1的等离子体处理装置和等离子体监测装置同样的结构或功能的部分附加相同符号,并省略其详细说明。
本实施方式的等离子体发光测量装置具有固定装配在容器10中的圆筒状透明绝缘管50;棒状光传播探针130,前端具有感光面130a,可从石英管50的一端(图1的左端)滑动地插入到管内;测量部132,经探针130测量来自容器10内生成的等离子体PZ的发光;用于使探针130沿轴向移动的线性致动器56;和光学连接探针130与测量部132的挠性光纤束134。
透明绝缘管50由透明且耐热性绝缘材料、例如石英或蓝宝石构成,比容器10的外径尺寸稍长,笔直(直线状)形成,两端开口。
如图33所示,在探针130的前端部安装例如由不锈钢(SUS)构成的遮光性的圆筒形盖136。在盖136中,容纳例如由不锈钢(SUS)构成的圆柱体138,圆柱体138中与探针130的感光面130a相对的端面140形成相对轴向倾斜45°的反射镜。从探针130的感光面130a看,在位于反射镜140的反射方向上的盖136的侧壁上形成圆形的开口或窗142。从该窗142的正面前方进入的光由反射镜64反射后,入射到探针130的感光面130a。这样,在本实施例中,由盖136的窗142、反射镜140和探针130的感光面130a构成以高指向性来采光容器10内的等离子体光的采光部144。
探针130由直径例如为数mm以下的石英棒构成,放射到前端的感光面130a的光在交界面或周面上边全反射边关在里面传送到另一端,从另一端面放射。在石英棒中,优选是在处理通常的等离子体发光分光中使用的200nm~900nm波长的情况下,在该波长区域中示出高的透过特性,而且不发出荧光的有水合成石英制棒。另一方面,在测量近红外线至中间红外线区域(900nm~)时,优选使用在该波长区域中示出高的透过特性的无水合成石英或熔融石英作为棒材。在从紫外线至红外线的宽的波长区域下有效测量时,优选使用蓝宝石。
虽然探针130如上所述即使是石英棒单体也实现充分的光传送功能,但为了抑制从侧面进入散射光,优选如图34A所示,由包层146包围棒的侧面或周面,更优选如图34B所示,用遮光性的被膜、例如黑色涂料148包围包层146(或石英棒130)的周面。
测量部132是经探针130并通过分光分析法测量容器10内的等离子体发光的装置,具有将来自探针130的光分解或分光成频谱用的分光器150;将该分光器150得到的规定频率的频谱变换为电信号的光电变换部152;根据该光电变换部152的输出信号求出该频谱的强度的测量运算部154;和用于与测量关联地控制各部的测量控制部156。分光器150可以使用例如棱镜或衍射光栅。也可使用滤光器来代替分光器。光电变换部152可以例如使用光电子增倍管或光电二极管等。
光纤束134集束多条挠性光纤,一端经连接器158光学连接于探针130的另一端,另一端光学连接于发光测量部132的分光器150上。连接器158例如通过端面对准的方式连接光纤束134与探针130。
这里,说明本实施方式的等离子体发光测量装置的作用。在该等离子体发光测量装置中,要测量来自容器10内生成的等离子体PZ的发光,就要通过线性致动器56的直进驱动,使探针130在石英管50中沿轴向、即容器10的径向移动。通常,在从线性致动器56侧看探针130的感光面130a超过最远测定点的位置之前,将探针130插入石英管50的深处,测量时,使线性致动器56沿拔出探针130的方向直进移动。通过该轴向的直进驱动,探针130的采光部144在容器10内沿径向扫描等离子体空间中,采光径向各位置上的等离子体光。具体而言,如图33所示,在扫描线上各位置处,从上方附近的等离子体PZ发出的光透过石英管50,从盖136的窗142入射到反射镜140,由反射镜140反射的光入射到探针130的感光面130a。在图示的实例中,采光来自上方的等离子体光,但也可按反射镜140的方向不仅采光来自上方、还采光来自下方或侧方等任意角度的等离子体光。
入射到探针130的感光面130a的等离子体光在探针130中传播,在连接器158内从探针130的另一端面放射,入射到光纤束134的一端面或感光面。入射到光纤束134的感光面的等离子体光在光纤束134中传播后,从另一端面放射,进入测定部132的分光器150中。
测定部132中,分光器150从感光的等离子体光中取出期望的频谱。光电变换部152将分光器150取出的频谱变换为例如光电流,输出对应于频谱强度的电压信号。测量运算部154根据光电变换部152得到的电压信号的电平,求出频谱强度的测定值。如上所述,通过线性致动器56使探针130在石英管50内沿轴向移动,在容器10的径向上扫描采光部144,所以可测定扫描线上各位置处的等离子体光或频谱强度。另外,通过在线性致动器56中装配例如线性编码器或旋转编码器等位置传感器,可通过该位置传感器检测或把握采光部144的位置、即测定位置。这样,将每个测定位置的频谱强度测定值作为等离子体发光测量数据存储在测量运算部154或测量控制部156内的存储器中,通过未图示的显示器或打印机等,作为空间分布特性(曲线)显示或打印,或附加于期望的监测器分析中。
如上所述,在该等离子体发光测量装置中,在容器10内插入石英管50,并使棒状的光传输用探针130在石英管50内沿轴向移动,通过探针130前端部的采光部144在轴向任意测定位置采光来自等离子体PZ的发光,并经探针130和光纤束134将采光到的等离子体光传送到测量部132,由测量部132对各测定位置的等离子体发光求出规定的特性或属性(例如规定波长的频谱强度)的测定值。
这里,石英管50和探针130为绝缘体、即非金属,即使插入平行平板电极(12、24)之间生成的电容耦合性等离子体PZ中,也不会扰乱等离子体PZ,可对等离子体发光进行可靠性和测定精度高的空间分布测量。另外,在本实施方式中,由金属(SUS)构成采光部144的盖136和反射镜140,但因为该金属部件的全长为数cm左右不能起到天线作用,所以不会对平行平板电极(12、24)间的高频放电造成影响。
另外,在本实施方式中,在容器10的侧壁相对位置上设置的一对支撑部(贯穿孔10a、10a)之间水平架设石英管50,在这种架桥型石英管50中(将石英管50作为引导部件)使探针130沿轴向移动,所以可在容器径向的一定水平线上实现高速且稳定的探针扫描。由此,即使在例如数分钟以下的短加工时间中,也可以一定的时间间隔重复多次上述空间分布测量,还可进行时间轴方向的分解测量。
并且,在本实施方式中,通过将探针130采光的容器10内的等离子体光在容器10外通过光纤束134提供给测量部132的分光器150,可以以期望的视角或估计角度采光容器10内的等离子体发光。
根据图35来说明探针130和光纤束134的光学功能。在容器10内,如上所述,来自等离子体PZ的发光通过盖136的窗142,由反射镜140反射后,入射到探针130的感光面130a。这里,等离子体光与探针130固有的数值孔径(NA)无关,实质上以±90°的估计角(NA=1)入射到探针130的感光面130a。另外,与感光侧对称地实质上以±90°的估计角从探针130的另一端面130b放射等离子体光。从而,等离子体光以与容器10内的探针130的感光面130a实质相同的状况入射到光纤束134的感光面134a。其中,光纤束134以固有的数值孔径(NA<1)感光等离子体光,另一端面134b也以固有的数值孔径(NA<1)放射等离子体光。从而,可以以恰好与光纤束134的感光面134a进入容器10内的情况同等或等效的指向性采光等离子体光。
另外,若光纤束134实际上进入容器10内,则其保护管(通常为金属制)与等离子体PZ电耦合,扰乱等离子体PZ。在本实施方式中,因为光纤束134通常存在于容器10之外,所以不会对等离子体PZ造成影响。
另外,除上述指向性外,与单个(一个)光纤相比,光纤束134还具有容易与连接器158的探针130光轴重合的优点。
但是,若增大探针130的棒直径,则如图36所示,在采光部144采光的等离子体光中,除从窗142的正面前方进入后被反射镜140反射后入射到探针130的感光面130a的原来的被测定光PL,还混杂不经反射镜140而直接从前方入射到感光面130a的不期望的光QL。针对该问题,如图所示,将探针130的一端部仅斜切成角度θ的感光面的结构是有效的,从而探针130的感光面130a的法线N相对轴向向窗142侧仅倾斜规定角度θ。根据这种结构,即使不期望的光QL从前方直接入射到探针130的感光面130a,也由于以比光纤束134的数值孔径(NA)大的角度入射到光纤束134,所以可去除前方光。
图37中用图线示出在探针130中使用石英棒的情况下,将数值孔径(NA)作为参数、石英棒感光面相对石英棒的折射率的最佳切割角θ。因为石英棒的折射率随光波长变化,所以在实际的应用中可以结合被测定频谱中的最短波长来决定切割θ。例如,在使用数值孔径为0.22的石英棒的情况下,当对应于最短的被测定频谱的折射率为1.453时,从图37的图线可知切割角θ为26.8°。
如上所述,根据本实施方式的等离子体发光测量装置,可不对容器10内的等离子体分布造成影响地测量或分光分析来自等离子体的发光,作为容器径向的空间分布。由此,可高精度地解析加工结果的面内分布与等离子体发光的空间分布的相关性。
图38~图40中示出在将本实施方式的等离子体处理装置适用于等离子体蚀刻的应用中、蚀刻速率的面内分布与等离子体发光的空间分布的相关性的实例。
图38A和图38B示出在上部电极24的比电阻不同的两种氧化硅膜(SiO2)蚀刻(A、B)中SiO2的蚀刻速率E/R的面内分布(图38A)与Ar原子团发光I[Ar](750nm;13.48eV)的空间分布(图38B)的相关性。
图39A和图39B示出在上部电极24的结构不同的两种氧化硅膜(SiO2)蚀刻(C、D)中SiO2的蚀刻速率(E/R)的面内分布(图39A)与Ar原子团发光I[Ar](750nm;13.48eV)的空间分布(图39B)的相关性。
图40A和图40B示出在上部电极24的结构不同的两种氧化硅膜(SiO2)蚀刻(C、D)中光致抗蚀剂的蚀刻速率(E/R)的面内分布(图40A)与Ar原子团发光I[Ar]/F原子团发光I[F](704nm;14.75eV)的空间分布(图40B)的相关性。
图41~图43中示出另一个实施例的适用等离子体发光测量方法和装置的等离子体处理装置的结构和作用。图中,对有与上述实施方式1实质相同结构或功能的部分附加相同符号。
在本实施例中,在上部电极24与下部电极16的中间的高度位置上,于容器10的侧壁中形成带活门160的开口或孔162,可从孔162之外沿容器径向使前端具有感光面164c的棒状光传输探针164出入。探针164可以是具有例如由石英(合成石英或熔融石英)或蓝宝石构成的芯体164a和包层164b的二重结构的光纤(图43)。
在容器10以外,将探针164容纳在可伸缩地配置在容器径向上的波纹管166中。探针164的基端部被水平支撑在线性致动器56的滑块部76上,同时,经连接器158光学连接于光纤束134上。波纹管166一端连接于容器10上,同时,另一端连接于滑块部76上,在探针164周围形成气密空间。该波纹管166的内部空间经排气管168由排气装置170减压到与容器10内大致相同的真空度。另外,在波纹管166的内部或周围设置用于将探针164加热到规定温度(例如100℃左右)的加热器(例如PTC元件或电阻发热元件)172。
在本实施例中,在不进行等离子体发光测量期间,如图41所示,关闭活门160,使探针待机于容器10之外。并且在进行等离子体发光测量之前,将波纹管166的内部空间减压至与规定的容器10内基本相同的真空度,并将探针164加热到规定的温度。当进行等离子体发光测量时,如图42所示,打开活门160,使线性致动器56动作,使探针164沿轴向直进移动,从孔162插入容器10中。此时,波纹管166伴随滑块部76和探针164的前进移动而收缩。
在容器10内,来自位于其前方的等离子体PZ的发光入射到探针164的感光面164c。探针164的估计角由芯体164a与包层164b各自的折射率决定的数值孔径(NA)限制。边使探针164沿轴向、即容器径向(x)移动(扫描),边由测量部132求出等离子体发光的光强度相对微小移动距离Δx的变化量ΔI,从而可测量扫描线上的发光分布。该测量扫描也可在探针164的前进(进动)中或后退(回动)中两者之一进行。
即使容器10内探针164的包层部164b撞击等离子体PZ,传输采光到的等离子体光的芯部164a也不受其影响。另外,由于探针164即使在容器10外也被加热到高温,所以即使进入容器10内并曝露于等离子体PZ中也很少附着沉淀物。
探针164由于是非金属的,所以不仅不会扰乱等离子体PZ,而且由于可在与加工时间(例如数分钟)相比非常短的时间(例如数秒)内进行扫描,所以基本上不会对加工结果造成影响。因此,在加工时间中还可以以一定的周期进行多次上述测量扫描。另外,可得到与加工结果相关性高的测量结果。本实施方式不仅可适用于加工开发时,还可适用于实加工中,例如可适用于等离子体蚀刻中的终点检测等各种加工控制用的发光监测中。
在上述实施例1和2中,在容器10内使探针(130、164)沿轴向移动,并测量等离子体发光,作为同方向的空间分布。但是,本发明可在容器10内使探针沿任意方向移动。例如图44所示,在容器10内的等离子体空间中,边使探针130沿垂直(z)方向移动,边由测量部132求出等离子体发光的光强度相对微小移动距离Δz的变化量ΔI,从而可测量垂直(z)方向上的等离子体发光分布。
并且,在本发明的等离子体发光装置中,还可根据通过上述分光分析法得到的等离子体发光的测定值求出其它等离子体属性。通常,原子/分子/原子团/离子等发光种以对应于各自内部能量状态的固有波长或频谱发光。来自任意发光种X的发光强度Ix由下式(5)得到。
Ix=Cx,λ·Nx·ne·∫δx(E)·ve·fe(E)·dE ......(5)
其中,Cx,λ是包含涉及发光种的物性值(波长、自然放出概率等)或测量系统的几何学要素的系数。另外,Nx是发光种的基础能量状态下的密度,ne是电子密度,δx(E)是发光种X的电子冲击激励截面积,ve是电子速度,fe(E)是电子能量分布函数(EEDF)。另外,式(5)中积分(∫)的范围是从0到无限大(∞)。
这样,来自等离子体的发光由几个等离子体量决定。换言之,根据等离子体发光的测量值求出发光种的密度、电子密度、电子能量分布等各量。
例如,若通过アクチメトリ法求出Nx,通过等离子体吸收探针法(PAP)或兰米尔探针法等电子密度测量法求出电子密度ne,则可根据式(5)求出电子能量分布fe(E)。
本实施方式的等离子体发光测量装置当然可将各结构要素变更为具有一样功能的替代物。例如,在上述实施方式中,使石英管50在容器10内沿径向横断后装配成架桥型,但也可构成为在一个部位以单支撑梁方式固定石英管50。另外,在本实施方式中,通过线性致动器56的直进驱动使探针130、164沿轴向、即容器10的径向移动。但是,不用说,也可使探针130、164仅相对石英管50或容器10沿轴向进退,通过手动与上述实施方式一样直进移动。
图45~图47中示出本实施方式的变形例。图45的变形例构成为将上述实施例1中的探针130代替为单体的石英棒后由多个光纤166构成的束型光导管。为了将多个光纤集成1束,在束的周围设置耐热性的非金属部件168。作为这种耐热性非金属部件168的材质,优选是耐热性高分子、例如聚酰亚胺,可以以带的形态卷绕在光纤166束周围,或以树脂形态固定。这种束型探针130具有柔软性,所以具有耐冲击不易破损的优点。
图46的变形例是将出入于容器10的上述束型探针130与在容器10外延伸的标准光纤束134一体化。即,由连续的1条光纤构成探针130的各光纤166与光纤束134的各光纤166,不同点在于,探针130与光纤束134的外壳在前者(130)中为绝缘体,在后者(134)中为金属。根据这种光纤一体型,在探针130与光纤束134之间没有耦合损耗,可增加测量部132(尤其是分光器150)侧的感光量,使测量精度提高。
图47的变形例是由铝构成探针130中的反射镜140的材质。铝是在从紫外线到红外线下具有一定的高反射率的元件,优选用于反射镜140中。其中,因为铝易氧化变质,所以在本构成例中,在透明石英基板170的单侧面中蒸镀铝172,在表面侧配置作为反射镜保护材料的透明石英基板170,将作为反射膜的铝蒸镀膜172作为里侧,装配在SUS圆柱体138上。来自等离子体的被测定光PL透过透明石英基板170,在铝淀积膜172上反射。作为另一变形例,图示省略,它是由铝构成圆柱体138,在反射面或反射镜面140上涂布由氟化镁构成的保护膜。
作为本发明的等离子体发光测量装置的一应用例,具有可监测容器内部的异常放电的功能。例如,在图32的实施例中,当喷头结构的上部电极24的气体孔(喷出孔)26由于消耗而变宽、故异常放电时,若向上沿水平方向扫描探针130的采光部144,则可观测该异常放电的状态。图48中示出一例。如图所示,与上部电极24的气体孔26正常时的发光分布相比,当上部电极24的气体孔26异常时(变宽时),来自电极中心部的发光减少、另一方面来自周边部的发光增大的图案的空间分布。由此,可以检出是否发生异常放电和发生部位。另外,图48的实验数据通过在氧化硅膜蚀刻中由Ar原子团发光的监测而得到。主要等离子体生成条件(制法)如下。
晶片口径;300mm
气体压力:25mTorr
上部和下部电极间距离:35mm
蚀刻气体:C5F8/O2/Ar=29/750/47sccm
RF功率:上部/下部=3300/3800W
晶片里面压力(中心部/边缘部):10/40Torr
上述异常放电监测功能可由实施方式1(图1)或实施方式2(图19)中的等离子体电子密度测定方法和装置来实现。图49中示出由基于实施方式1(图1)的等离子体谐振探针法得到的实验数据。容器结构和等离子体生成条件与图48的一样。如图49所示,与上部电极24的气体孔26正常时的电子密度分布相比,当上部电极24的气体孔26异常时(变宽时),变为电极中心部和电极边缘部处电子密度异常高的图案的空间分布。因此,可检测有无产生异常放电或产生部位。
本发明的等离子体处理装置可有各种变形。尤其是上述实施方式的电容耦合型平行平板类的等离子体发生方式就是一例,本发明也可适用于其它任意方式、例如磁控管方式或ECR(Electron CyclotronResonance)方式等。另外,等离子体加工的种类不限于蚀刻,本发明也可适用于CVD(Chemical Vapor Deposition)、氧化、溅射等任意等离子体加工中。并且,通过等离子体加工处理的被处理体也不限于半导体晶片,也可适用于例如玻璃基板或LCD(Liquid Crystal Display)基板等。本发明的等离子体发光测量方法或装置也可适用于等离子体处理装置以外的等离子体装置中。

Claims (19)

1.一种等离子体监测方法,其特征在于,具有如下工序:
将透明的绝缘管插入在可生成或导入等离子体的容器室内,在相对设置在所述容器侧壁中的第一支撑部与第二支撑部之间实质架设所述绝缘管,而将所述绝缘管装配在所述容器室内的工序;
将前端具有感光面的棒状光传输探针插入所述绝缘管的管内,使从所述容器内的等离子体发出的光通过所述绝缘管入射到所述探针的感光面的工序;和
根据从所述探针另一端面放射的光,测量来自所述等离子体的发光的工序。
2.根据权利要求1所述的等离子体监测方法,其特征在于,
使所述探针在所述绝缘管中沿轴向移动,测量所述等离子体光,作为轴向的空间分布。
3.根据权利要求2所述的等离子体监测方法,其特征在于,
使所述探针的轴向与所述容器的径向一致。
4.根据权利要求1所述的等离子体监测方法,其特征在于,
使所述探针与所述绝缘管一体沿高度方向移动,测量所述等离子体光,作为高度方向的空间分布。
5.一种等离子体监测装置,其特征在于,具有:
透明绝缘管,插入并装配在可生成或导入等离子体的容器室内;
棒状光传输探针,前端具有感光面、从所述绝缘管的一端插入管内;和
测量部,根据从所述探针另一端面放射的光,测量来自所述等离子体的发光,
在相对设置在所述容器侧壁中的第一支撑部与第二支撑部之间实质架设所述绝缘管。
6.根据权利要求5所述的等离子体监测装置,其特征在于,
所述探针由石英或蓝宝石构成。
7.根据权利要求5所述的等离子体监测装置,其特征在于,
所述探针具有由石英或蓝宝石构成的芯体、和包围所述芯体周围的包层。
8.根据权利要求5所述的等离子体监测装置,其特征在于,
所述探针具有遮光性的被膜。
9.根据权利要求5所述的等离子体监测装置,其特征在于,
所述探针具有收束成一体的多条光纤、和包围这些光纤的周围的耐热性非金属部件。
10.根据权利要求9所述的等离子体监测装置,其特征在于,
所述非金属部件由聚酰亚胺构成。
11.根据权利要求5所述的等离子体监测装置,其特征在于,
所述绝缘管由石英或蓝宝石构成。
12.根据权利要求5所述的等离子体监测装置,其特征在于,
在所述探针的前端部设置反射镜,用于反射来自期望角度的所述等离子体光并使之入射到所述探针的感光面。
13.根据权利要求12所述的等离子体监测装置,其特征在于,
所述反射镜的反射面由铝构成。
14.根据权利要求12所述的等离子体监测装置,其特征在于,
在所述探针的前端部设置包围所述感光面与所述反射镜的遮光部件,在所述遮光部件中设置将来自期望角度的等离子体光通向所述反射镜的窗。
15.根据权利要求14所述的等离子体监测装置,其特征在于,
斜切所述探针的端部,使所述探针的感光面的法线相对轴向向所述窗侧仅倾斜规定角度。
16.根据权利要求5所述的等离子体监测装置,其特征在于,
具有使所述探针向轴向移动的致动器。
17.根据权利要求5所述的等离子体监测装置,其特征在于,
具有检测所述探针的感光面位置的位置检测部件。
18.根据权利要求5所述的等离子体监测装置,其特征在于,
所述测量部具有用于从由所述探针另一端面射出的光中取出规定波长的频谱的分光部、和测定由所述分光部取出的所述频谱的强度的频谱强度测定部。
19.根据权利要求5所述的等离子体监测装置,其特征在于,
具有以期望的数值孔径感光由所述探针另一端面放射的光并导向所述测量部的光纤束。
CN2010106175904A 2003-04-24 2004-04-26 等离子体监测方法和等离子体监测装置 Expired - Fee Related CN102183509B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP2003-119279 2003-04-24
JP2003119279 2003-04-24
JP2003-123442 2003-04-28
JP2003123442 2003-04-28
JP2004-009100 2004-01-16
JP2004009100 2004-01-16
JP2004117817A JP5404984B2 (ja) 2003-04-24 2004-04-13 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
JP2004-117817 2004-04-13

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100347085A Division CN100520382C (zh) 2003-04-24 2004-04-26 等离子体监测方法、等离子体监测装置和等离子体处理装置

Publications (2)

Publication Number Publication Date
CN102183509A CN102183509A (zh) 2011-09-14
CN102183509B true CN102183509B (zh) 2013-06-12

Family

ID=33568720

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2010106175904A Expired - Fee Related CN102183509B (zh) 2003-04-24 2004-04-26 等离子体监测方法和等离子体监测装置
CN2009101427982A Expired - Fee Related CN101587156B (zh) 2003-04-24 2004-04-26 等离子体监测方法和等离子体监测装置
CNB2004100347085A Expired - Fee Related CN100520382C (zh) 2003-04-24 2004-04-26 等离子体监测方法、等离子体监测装置和等离子体处理装置

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN2009101427982A Expired - Fee Related CN101587156B (zh) 2003-04-24 2004-04-26 等离子体监测方法和等离子体监测装置
CNB2004100347085A Expired - Fee Related CN100520382C (zh) 2003-04-24 2004-04-26 等离子体监测方法、等离子体监测装置和等离子体处理装置

Country Status (5)

Country Link
US (4) US7339656B2 (zh)
JP (1) JP5404984B2 (zh)
KR (2) KR100586386B1 (zh)
CN (3) CN102183509B (zh)
TW (3) TWI458396B (zh)

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
DE10358329B4 (de) * 2003-12-12 2007-08-02 R3T Gmbh Rapid Reactive Radicals Technology Vorrichtung zur Erzeugung angeregter und/oder ionisierter Teilchen in einem Plasma und Verfahren zur Erzeugung ionisierter Teilchen
JP4972277B2 (ja) * 2004-11-10 2012-07-11 東京エレクトロン株式会社 基板処理装置の復帰方法、該装置の復帰プログラム、及び基板処理装置
JP4581918B2 (ja) * 2005-08-29 2010-11-17 パナソニック株式会社 プラズマ処理装置
JP4701408B2 (ja) * 2005-08-31 2011-06-15 国立大学法人名古屋大学 プラズマ電子密度測定用の面状共振素子並びにプラズマ電子密度測定方法及び装置
US20070075036A1 (en) * 2005-09-30 2007-04-05 Paul Moroz Method and apparatus for measuring plasma density in processing reactors using a short dielectric cap
US20070074812A1 (en) * 2005-09-30 2007-04-05 Andrej Mitrovic Temperature control of plasma density probe
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
JP4674177B2 (ja) * 2006-03-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4727479B2 (ja) * 2006-03-29 2011-07-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ内の高周波電流量の測定方法
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
US20080003702A1 (en) * 2006-06-28 2008-01-03 Cruse James P Low Power RF Tuning Using Optical and Non-Reflected Power Methods
KR100816453B1 (ko) * 2006-06-28 2008-03-27 (주)쎄미시스코 공정챔버의 실시간 리크 검출 시스템
KR100805879B1 (ko) * 2006-06-30 2008-02-20 한국표준과학연구원 플라즈마 전자밀도 및 전자온도 모니터링 장치 및 방법
JP2008115460A (ja) * 2006-10-12 2008-05-22 Canon Inc 半導体素子の形成方法及び光起電力素子の形成方法
EP2089894B1 (en) * 2006-11-27 2011-06-01 Dublin City University A plasma system and measurement method
KR100835379B1 (ko) * 2006-12-07 2008-06-04 한국전자통신연구원 사중극자 질량 분석기를 이용한 챔버 상태 모니터링 방법
JP5168907B2 (ja) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
KR100978886B1 (ko) 2007-02-13 2010-08-31 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 플라즈마처리장치
US8120259B2 (en) 2007-04-19 2012-02-21 Plasmart Co., Ltd. Impedance matching methods and systems performing the same
KR100870121B1 (ko) * 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
ITPR20070059A1 (it) * 2007-07-26 2009-01-27 Techimp S P A Procedimento per rilevare, identificare e localizzare scariche parziali aventi luogo in un sito di scarica lungo un apparato elettrico
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN101162253B (zh) * 2007-11-30 2010-12-08 南京华显高科有限公司 Pdp基板介质层特性测试装置
JP2009163911A (ja) * 2007-12-28 2009-07-23 Hitachi High-Technologies Corp プラズマ処理装置
US8056034B1 (en) * 2008-01-17 2011-11-08 Cadence Design Systems, Inc. Use of smith chart to compensate for missing data on network performance at lower frequency
JP5162269B2 (ja) * 2008-02-08 2013-03-13 株式会社アルバック 真空処理装置
KR100976552B1 (ko) * 2008-02-20 2010-08-17 세메스 주식회사 밀도 조절이 가능한 플라즈마 발생 장치
US20110061811A1 (en) * 2008-03-07 2011-03-17 Tokyo Electron Limited Plasma processing apparatus
SG189712A1 (en) * 2008-04-03 2013-05-31 Lam Res Corp Methods and apparatus for normalizing optical emission spectra
EP2114112B1 (en) * 2008-04-29 2015-09-23 Plasmetrex GmbH Apparatus for industrial plasma processes
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
KR101050443B1 (ko) * 2008-10-31 2011-07-19 (주)울텍 플라즈마 밀도 균일도 향상을 위한 다분할 적층형 플레이트 구조의 유전체 윈도우를 가지는 플라즈마 발생장치
JP5546757B2 (ja) * 2008-11-20 2014-07-09 芝浦メカトロニクス株式会社 プラズマ密度測定子、プラズマ密度測定装置、プラズマ処理装置、およびプラズマ密度測定方法
US7921804B2 (en) 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
JP5353266B2 (ja) * 2009-01-26 2013-11-27 パナソニック株式会社 プラズマ処理装置
US9376754B2 (en) 2009-02-12 2016-06-28 Mitsui Engineering & Shipbuilding Thin film forming method
JP4575984B2 (ja) * 2009-02-12 2010-11-04 三井造船株式会社 原子層成長装置および薄膜形成方法
JP5478924B2 (ja) * 2009-03-29 2014-04-23 学校法人中部大学 高圧力プラズマの電子密度および/または電子衝突周波数測定が可能な測定方法及び測定装置
WO2010118030A1 (en) * 2009-04-06 2010-10-14 John Littleton Temperature controlled conducting device
US20110009999A1 (en) * 2009-07-13 2011-01-13 Applied Materials, Inc. Plasma reactor with rf generator and automatic impedance match with minimum reflected power-seeking control
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
KR101602449B1 (ko) * 2009-09-14 2016-03-15 삼성전자주식회사 반도체 제조 공정에서의 챔버상태 모니터링 장치 및 그 방법
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US9322738B2 (en) 2009-11-09 2016-04-26 Mks Instruments, Inc. Vacuum quality measurement system
US9620338B2 (en) * 2010-03-16 2017-04-11 Mizuho Information & Research Institute, Inc. System, method, and program for predicting processing shape by plasma process
WO2011151041A1 (en) * 2010-06-04 2011-12-08 Oc Oerlikon Balzers Ag Vacuum processing device
US8175827B2 (en) * 2010-08-18 2012-05-08 The United States Of America As Represented By The Secretary Of The Navy RF probe technique for determining plasma potential
US8190366B2 (en) * 2010-10-01 2012-05-29 The United States Of America, As Represented By The Secretary Of The Navy LC resonance probe for determining local plasma density
KR101151588B1 (ko) * 2010-12-22 2012-05-31 세종대학교산학협력단 플라즈마 입자 촬영을 위한 디지털 홀로그램 센서 시스템
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
EP2737348B1 (en) * 2011-07-27 2017-11-29 Nokia Technologies Oy An apparatus and associated methods related to detection of electromagnetic signalling
KR101324990B1 (ko) * 2011-09-02 2013-11-04 한국표준과학연구원 플라즈마 측정 장치 및 측정 방법
US8923356B1 (en) * 2011-10-04 2014-12-30 Kern Technologies, LLC. Gas laser pre-ionization optical monitoring and compensation
CN102508002B (zh) * 2011-10-31 2014-02-19 北京遥测技术研究所 一种嵌入式双探针等离子体密度测量装置
CN104246481B (zh) * 2011-12-28 2018-04-13 创想科学技术工程株式会社 分析结果的提供系统及分析结果的提供方法
JP2013149790A (ja) * 2012-01-19 2013-08-01 Tokyo Electron Ltd プラズマ処理装置
CN102610480B (zh) * 2012-02-22 2015-07-22 北京交通大学 一种真空放电等离子体参数的测量装置及方法
US9299541B2 (en) * 2012-03-30 2016-03-29 Lam Research Corporation Methods and apparatuses for effectively reducing gas residence time in a plasma processing chamber
CN102693893B (zh) * 2012-04-28 2015-01-14 北京工业大学 一种利用调频的方式改善高频放电等离子体均匀性的方法
KR101288055B1 (ko) * 2012-05-25 2013-07-23 주식회사 나노텍 셀프 플라즈마 챔버를 포함하는 공정진행 모니터링 장치
US9031523B2 (en) * 2012-06-26 2015-05-12 Htc Corporation Systems and methods for determining antenna impedance
CN102809700B (zh) * 2012-07-23 2015-01-21 西安电子科技大学 等离子体中电波传播实验装置
KR101398578B1 (ko) * 2012-08-22 2014-05-23 세종대학교산학협력단 플라즈마 쉬스 내의 이온 분포 모니터링 방법 및 장치
US9856563B2 (en) * 2012-08-22 2018-01-02 Uchicago Argonne, Llc Micro-balance sensor integrated with atomic layer deposition chamber
CN103632913B (zh) * 2012-08-28 2016-06-22 中微半导体设备(上海)有限公司 等离子体处理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
TWI474367B (zh) * 2012-12-26 2015-02-21 Metal Ind Res & Dev Ct 電漿系統的回饋控制方法及其裝置
WO2014103633A1 (ja) * 2012-12-26 2014-07-03 東京エレクトロン株式会社 電磁波加熱装置および電磁波加熱方法
CN103048522B (zh) * 2013-01-11 2015-03-11 哈尔滨工业大学 常压下低温等离子体密度参数的诊别方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6097097B2 (ja) * 2013-03-04 2017-03-15 学校法人中部大学 プラズマ状態測定プローブ及びプラズマ状態測定装置
JP6067483B2 (ja) * 2013-05-27 2017-01-25 住友重機械工業株式会社 プラズマ測定装置及び成膜装置
CN104244554A (zh) * 2013-06-21 2014-12-24 电子科技大学 一种利用准光学谐振腔快速实时诊断等离子体的新方法
US9930766B2 (en) 2013-08-12 2018-03-27 Nanyang Technological University Apparatus and method for determining the type, density and temperature of neutral radicals in plasma
JP6165982B2 (ja) * 2013-11-01 2017-07-19 東京エレクトロン株式会社 プラズマ処理における空間分解発光分光分析
US10145013B2 (en) 2014-01-27 2018-12-04 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor desposition systems
JP6388491B2 (ja) * 2014-05-02 2018-09-12 三菱重工業株式会社 計測装置を備えたプラズマ発生装置及びプラズマ推進器
WO2015188189A1 (en) * 2014-06-06 2015-12-10 Filter Sensing Technologies, Inc. Radio frequency state variable measurement system and method
KR101535747B1 (ko) * 2014-06-10 2015-07-10 한국표준과학연구원 반도체 코팅설비의 오염 진단장치 및 진단방법
US9355922B2 (en) * 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9506961B2 (en) 2014-12-04 2016-11-29 Chicony Power Technology Co., Ltd. Power supply detection apparatus and detecting method thereof
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
FR3033217B1 (fr) * 2015-02-27 2017-05-05 Airbus Defence & Space Sas Controle de systeme de protection contre la foudre
EP3292559B1 (en) 2015-05-04 2019-08-07 Ecole Polytechnique Federale de Lausanne (EPFL) Method, measurement probe and measurement system for determining plasma characteristics
WO2016195984A1 (en) * 2015-06-05 2016-12-08 Applied Materials, Inc. Improved apparatus for decreasing substrate temperature non-uniformity
WO2016204920A1 (en) * 2015-06-18 2016-12-22 Applied Materials, Inc. In-situ metrology method for thickness measurement during pecvd processes
TW201705183A (zh) * 2015-07-31 2017-02-01 逢甲大學 超高頻電漿模擬裝置與超高頻電漿特性量測方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102417178B1 (ko) * 2015-09-03 2022-07-05 삼성전자주식회사 마이크로파 탐침, 그 탐침을 구비한 플라즈마 모니터링 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
JP2017106106A (ja) * 2015-12-01 2017-06-15 キヤノントッキ株式会社 スパッタ装置、膜の製造方法及び電子デバイスの製造方法
CN105578696B (zh) * 2015-12-23 2018-03-16 哈尔滨工业大学 一种测量空心阴极节流孔区等离子体密度的方法
CN105636328B (zh) * 2015-12-25 2017-10-20 北京理工大学 一种基于驻极体的等离子体密度测量系统及其测量方法
KR102618813B1 (ko) * 2016-01-27 2023-12-27 삼성전자주식회사 공정 챔버 모니터링 장치
CN105807132B (zh) * 2016-03-07 2018-10-26 东莞中子科学中心 一种检测加速器射频谐振腔失谐频率的方法及装置
CN105744712B (zh) * 2016-04-15 2019-04-09 中国人民解放军装甲兵工程学院 一种用于等离子体诊断的密闭爆发器及其密度控制方法
CN105744711B (zh) * 2016-04-15 2018-01-23 中国人民解放军装甲兵工程学院 一种热电离等离子体生成测试装置及其密度测试和控制方法
JP6671230B2 (ja) * 2016-04-26 2020-03-25 東京エレクトロン株式会社 プラズマ処理装置およびガス導入機構
US9859101B2 (en) * 2016-05-10 2018-01-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106025546B (zh) * 2016-05-25 2019-03-08 哈尔滨工业大学 采用等离子体调制增强小型化全向型天线电磁辐射的装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
JP6647180B2 (ja) * 2016-09-09 2020-02-14 東京エレクトロン株式会社 アンテナ装置及びこれを用いたプラズマ発生装置、並びにプラズマ処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
KR20180033995A (ko) 2016-09-27 2018-04-04 삼성전자주식회사 모니터링 유닛, 이를 포함하는 플라즈마 처리 장치 및 그를 이용한 반도체 칩의 제조 방법
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
CN106358355B (zh) * 2016-11-10 2023-11-10 北京理工大学 测量碰撞等离子体的装置
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
TWI620228B (zh) * 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6868421B2 (ja) * 2017-03-08 2021-05-12 株式会社Soken 点火装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
GB201705202D0 (en) * 2017-03-31 2017-05-17 Univ Dublin City System and method for remote sensing a plasma
JP6899693B2 (ja) 2017-04-14 2021-07-07 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10586710B2 (en) * 2017-09-01 2020-03-10 Tokyo Electron Limited Etching method
JP7026578B2 (ja) * 2017-09-05 2022-02-28 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
KR102524625B1 (ko) * 2017-09-12 2023-04-24 삼성전자주식회사 기판 검사 장치 및 이를 포함하는 기판 처리 시스템
US10983000B2 (en) * 2017-10-06 2021-04-20 Heraeus Noblelight America Llc Light measuring probes, light measuring systems, and related methods
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108107376B (zh) * 2017-12-27 2019-12-13 江苏神州半导体科技有限公司 基于等离子环境的射频电源测试系统及测试方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR101918253B1 (ko) * 2018-01-26 2018-11-13 최운선 플라즈마 전원장치의 자가진단모듈 및 자가진단방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102035423B1 (ko) * 2018-05-16 2019-10-22 연세대학교 산학협력단 플라즈마 공정 모니터링 장치 및 이를 포함하는 플라즈마 처리장치
KR102024468B1 (ko) * 2018-06-12 2019-09-23 충남대학교산학협력단 초고주파를 이용한 교차 주파수 플라즈마 진단 방법
KR20230048459A (ko) * 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6807488B1 (ja) * 2019-02-15 2021-01-06 株式会社日立ハイテク ガス成分のモニタ方法及びその装置並びにそれを用いた処理装置
US11114286B2 (en) 2019-04-08 2021-09-07 Applied Materials, Inc. In-situ optical chamber surface and process sensor
KR20200118938A (ko) * 2019-04-08 2020-10-19 삼성전자주식회사 플라즈마 모니터링 장치 및 이를 포함하는 플라즈마 처리 장치
JP2020177785A (ja) * 2019-04-17 2020-10-29 日本電産株式会社 プラズマ処理装置
JP2020194676A (ja) * 2019-05-27 2020-12-03 東京エレクトロン株式会社 プラズマ密度モニタ、プラズマ処理装置、およびプラズマ処理方法
JP2020202052A (ja) * 2019-06-07 2020-12-17 東京エレクトロン株式会社 プラズマ電界モニタ、プラズマ処理装置、およびプラズマ処理方法
KR102161156B1 (ko) 2019-07-08 2020-09-29 주식회사 뉴파워 프라즈마 플라즈마 발생 장치의 rf 전력 모니터링 장치 및 방법
KR102175085B1 (ko) * 2019-08-01 2020-11-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP2021039925A (ja) * 2019-09-05 2021-03-11 東京エレクトロン株式会社 プラズマプローブ装置、プラズマ処理装置及び制御方法
JP7296829B2 (ja) * 2019-09-05 2023-06-23 東京エレクトロン株式会社 プラズマ処理装置、処理方法、上部電極構造
CN110753436A (zh) * 2019-10-18 2020-02-04 合肥聚能电物理高技术开发有限公司 一种用于等离子体装置的等离子体密度实时测量机构
JP7336959B2 (ja) * 2019-10-28 2023-09-01 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP2021118045A (ja) * 2020-01-22 2021-08-10 東京エレクトロン株式会社 プラズマ観測システム及びプラズマ観測方法
JP7406004B2 (ja) * 2020-03-26 2023-12-26 株式会社アドバンテスト 高周波コンポーネント、とくには検査対象シリコンフォトニクスデバイスを検査するための検査装置
JP7442365B2 (ja) * 2020-03-27 2024-03-04 東京エレクトロン株式会社 基板処理装置、基板処理システム、基板処理装置の制御方法および基板処理システムの制御方法
CN112630480B (zh) * 2020-07-01 2022-08-16 强一半导体(苏州)有限公司 一种具有侧出气孔的功率器件高温高压测试用探针卡
US12080574B2 (en) * 2020-07-17 2024-09-03 Applied Materials, Inc. Low open area and coupon endpoint detection
CN111826635A (zh) * 2020-08-04 2020-10-27 西安电子科技大学 一种微波等离子体化学气相沉积装置
TW202226897A (zh) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 濾波器電路
CN114981932A (zh) * 2020-12-16 2022-08-30 株式会社日立高新技术 等离子处理装置以及等离子处理方法
DE102020135067A1 (de) * 2020-12-29 2022-06-30 Chemin Gmbh Sondenkopf und Verwendung eines Sondenkopfs
JP7534235B2 (ja) * 2021-02-01 2024-08-14 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置
CN112816795B (zh) * 2021-02-06 2022-03-18 西安电子科技大学 临近空间高速目标等离子体电磁测量系统
CN113225887B (zh) * 2021-05-11 2022-06-07 山东大学 伸缩式冷热探针组件、等离子体诊断系统及诊断方法
JP2023001619A (ja) * 2021-06-21 2023-01-06 東京エレクトロン株式会社 測定方法および測定装置
CN114007321B (zh) * 2021-09-30 2024-07-09 中科等离子体科技(合肥)有限公司 一种大气压等离子体电子密度的诊断方法
CN114792618A (zh) * 2022-04-22 2022-07-26 合肥京东方显示技术有限公司 等离子体设备的下电极结构和等离子体设备
CN117412459B (zh) * 2023-12-11 2024-02-13 西南交通大学 一种用于测量等离子体密度及其涨落的诊断方法及系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5627640A (en) * 1995-01-20 1997-05-06 Korea Advanced Institute Of Science And Technology Method for measuring radical species distribution in plasma and an apparatus therefor
KR19990057488A (ko) * 1997-12-30 1999-07-15 최덕인 플라즈마 밀도 분포 측정용 회전형 광프로브
US6034781A (en) * 1998-05-26 2000-03-07 Wisconsin Alumni Research Foundation Electro-optical plasma probe
CN1332373A (zh) * 2000-07-07 2002-01-23 中国科学院力学研究所 一种用辉光放电表面处理中等离子体探针诊断的装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0750177B2 (ja) * 1985-07-31 1995-05-31 株式会社日立製作所 核融合装置
JP2637509B2 (ja) * 1987-10-15 1997-08-06 キヤノン株式会社 新規なダイヤモンド状炭素膜及びその製造方法
JP2514862B2 (ja) * 1991-02-08 1996-07-10 日本電信電話株式会社 プラズマ計測法
JPH06216081A (ja) * 1993-01-13 1994-08-05 Kobe Steel Ltd プラズマ測定方法及びその装置
JPH06349594A (ja) * 1993-06-07 1994-12-22 Mitsubishi Electric Corp プラズマ発生装置
US5746835A (en) * 1994-06-02 1998-05-05 Texas Instruments Incorporated Retractable probe system with in situ fabrication environment process parameter sensing
JP3841188B2 (ja) 1997-03-21 2006-11-01 オリンパス株式会社 集光装置
DE19722272A1 (de) * 1997-05-28 1998-12-03 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
JPH11317299A (ja) * 1998-02-17 1999-11-16 Toshiba Corp 高周波放電方法及びその装置並びに高周波処理装置
DE19824077A1 (de) * 1998-05-29 1999-12-02 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
FR2788854B1 (fr) * 1999-01-22 2001-05-04 Cit Alcatel Systeme et procede d'identification d'effluents gazeux, equipement pourvu d'un tel systeme
JP4619468B2 (ja) * 1999-03-25 2011-01-26 株式会社東芝 プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
WO2001006402A1 (en) * 1999-07-20 2001-01-25 Tokyo Electron Limited Electron density measurement and plasma process control system using a microwave oscillator locked to an open resonator containing the plasma
US6861844B1 (en) * 1999-07-21 2005-03-01 Tokyo Electron Limited Electron density measurement and plasma process control system using changes in the resonant frequency of an open resonator containing the plasma
ATE480129T1 (de) * 1999-11-19 2010-09-15 Tokyo Electron Ltd Stabilisierte oszillatorschaltung zur messung der plasmadichte
JP3688173B2 (ja) * 2000-01-14 2005-08-24 株式会社ニッシン プラズマ密度情報測定用プローブ
JP3659180B2 (ja) * 2000-03-24 2005-06-15 株式会社日立製作所 半導体製造装置および処理方法、およびウエハ電位プローブ
JP3838481B2 (ja) * 2000-07-27 2006-10-25 国立大学法人名古屋大学 プラズマ密度情報測定方法及びその装置並びにプラズマ密度情報測定用プローブ、プラズマ発生方法及びその装置、プラズマ処理方法及びその装置
JP3559760B2 (ja) * 2000-09-26 2004-09-02 株式会社日立製作所 プラズマ処理装置及びそのメンテナンス方法
JP2002170817A (ja) * 2000-12-04 2002-06-14 Nisshin:Kk プラズマ処理方法及びその装置
JP3665265B2 (ja) * 2000-12-28 2005-06-29 株式会社日立製作所 プラズマ処理装置
JP3909806B2 (ja) * 2001-03-05 2007-04-25 国立大学法人名古屋大学 プラズマ密度情報測定方法及びその装置、並びにプラズマ処理方法及びその装置
JP4507468B2 (ja) * 2001-07-09 2010-07-21 富士電機システムズ株式会社 粉体のプラズマ処理方法およびその処理装置
JP3540797B2 (ja) * 2002-01-18 2004-07-07 利幸 矢加部 7ポート型コリレータとその校正方法および7ポート型コリレータを用いたベクトル・ネットワーク・アナライザ装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5627640A (en) * 1995-01-20 1997-05-06 Korea Advanced Institute Of Science And Technology Method for measuring radical species distribution in plasma and an apparatus therefor
KR19990057488A (ko) * 1997-12-30 1999-07-15 최덕인 플라즈마 밀도 분포 측정용 회전형 광프로브
US6034781A (en) * 1998-05-26 2000-03-07 Wisconsin Alumni Research Foundation Electro-optical plasma probe
CN1332373A (zh) * 2000-07-07 2002-01-23 中国科学院力学研究所 一种用辉光放电表面处理中等离子体探针诊断的装置

Also Published As

Publication number Publication date
CN101587156B (zh) 2011-03-09
US7582182B2 (en) 2009-09-01
US20050009347A1 (en) 2005-01-13
CN1540323A (zh) 2004-10-27
TWI468669B (zh) 2015-01-11
US20070193514A1 (en) 2007-08-23
TW200505293A (en) 2005-02-01
JP5404984B2 (ja) 2014-02-05
KR100586386B1 (ko) 2006-06-08
US7339656B2 (en) 2008-03-04
CN102183509A (zh) 2011-09-14
TWI458396B (zh) 2014-10-21
KR100586387B1 (ko) 2006-06-08
US20070089835A1 (en) 2007-04-26
TW201204182A (en) 2012-01-16
KR20060001944A (ko) 2006-01-06
CN101587156A (zh) 2009-11-25
TW201202685A (en) 2012-01-16
KR20040093017A (ko) 2004-11-04
TWI392401B (zh) 2013-04-01
JP2005228727A (ja) 2005-08-25
US7462293B2 (en) 2008-12-09
CN100520382C (zh) 2009-07-29
US20070284044A1 (en) 2007-12-13
US7532322B2 (en) 2009-05-12

Similar Documents

Publication Publication Date Title
CN102183509B (zh) 等离子体监测方法和等离子体监测装置
JP5631088B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR100976648B1 (ko) 헬스 인덱스 처리 시스템 및 이를 이용한 방법
JP4754757B2 (ja) 基板のプラズマ処理を調節するための方法、プラズマ処理システム、及び、電極組体
JP5010610B2 (ja) 基板温度決定装置およびその決定方法
US6771481B2 (en) Plasma processing apparatus for processing semiconductor wafer using plasma
Curley et al. Surface loss rates of H and Cl radicals in an inductively coupled plasma etcher derived from time-resolved electron density and optical emission measurements
KR101015730B1 (ko) 종료점을 사용한 에치특성을 구하는 장치 및 방법
Sugai et al. Recent innovations in microwave probes for reactive plasma diagnostics
JP2006274420A (ja) プラズマ成膜方法及びプラズマcvd装置
JP6097097B2 (ja) プラズマ状態測定プローブ及びプラズマ状態測定装置
JP2010232110A (ja) 高圧力プラズマの電子密度および/または電子衝突周波数測定が可能な測定方法及び測定装置
JP5572019B2 (ja) プラズマ処理装置及びプラズマ処理方法
Ruilin et al. Comparison of heating mechanisms of argon helicon plasma in different wave modes with and without blue core
Liu et al. Spectroscopy diagnostic of dual-frequency capacitively coupled CHF3/Ar plasma
Kim et al. Electron density measurement of Ar, N2, O2, and Ar mixtures (with N2 and O2) gas in inductively coupled plasma (ICP) using terahertz time domain spectroscopy
Yeom et al. Effect of radiofrequency bias power on transmission spectrum of flat-cutoff sensor in inductively coupled plasma
Franz et al. Recording Spatially Resolved Plasma Parameters in Microwave-Driven Plasmas
Olthoff Gaseous Electronics Conference Radio-Frequency Reference Cell
JP2003332319A (ja) プラズマ処理装置及び処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130612