KR101015730B1 - 종료점을 사용한 에치특성을 구하는 장치 및 방법 - Google Patents

종료점을 사용한 에치특성을 구하는 장치 및 방법 Download PDF

Info

Publication number
KR101015730B1
KR101015730B1 KR1020057007677A KR20057007677A KR101015730B1 KR 101015730 B1 KR101015730 B1 KR 101015730B1 KR 1020057007677 A KR1020057007677 A KR 1020057007677A KR 20057007677 A KR20057007677 A KR 20057007677A KR 101015730 B1 KR101015730 B1 KR 101015730B1
Authority
KR
South Korea
Prior art keywords
endpoint
thickness
etch rate
plasma processing
layer
Prior art date
Application number
KR1020057007677A
Other languages
English (en)
Other versions
KR20050063800A (ko
Inventor
홍위 위에
히에우 에이 람
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=32312519&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101015730(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20050063800A publication Critical patent/KR20050063800A/ko
Application granted granted Critical
Publication of KR101015730B1 publication Critical patent/KR101015730B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 에치과정동안 에치특성을 정의하기 위한 시스템 및 방법을 제공하는 것으로, 이 방법은 상기 언급된 단점을 효율적으로 대처할 수 있게 한다.
본 발명의 목적은 다음 구성을 포함한 기판상에 에칭 층을 위한 플라즈마 처리 시스템을 제공하는 것이다.
본 시스템은 처리챔버와; 상기 처리챔버에 결합되어 있으며 최소한 하나의 종료점 신호를 측정할 있도록 구성된 진단시스템과; 상기 진단시스템에 결합되어 있으며 적어도 하나의 에치율과 층의 두께와 종료점 신호로부터 에칭의 에치율 균일성을 원래상태로 정의할 수 있도록 구성된 컨드롤러로 구성되어 있다.
상기 두께는 적어도 하나의 최소두께, 최대두께, 평균두께 및 하나의 두께범위를 포함하고 있다.
본 발명의 다른 목적은 다음 단계을 포함한 플라즈마 처리 시스템에서 기판상의 에칭 층을 위한 에치특성을 정의하기 위한 본래 상태 방법을 제공하는 것이다. 적어도 하나의 최소두께, 최대두께, 평균두께 및 두께범위를 포함한 층의 두께를 제공하는 단계와; 기판상에 층을 에칭하는 단계와;
플라즈마 처리 시스템에 결합된 진단시스템을 사용한 적어도 하나의 종료점신호를 측정하는 단계와; 상기 두께에 대한 종료점 천이시간과 에칭의 시작시간사이의 차이의 비로부터 에치율을 구하는 단계로 이루어져 있다.

Description

종료점을 사용한 에치특성을 구하는 장치 및 방법{Method And Apparatus For Determining an Etch Property using an Endpoint Signal}
본 발명은 플라즈마 처리 기판을 위한 장치 및 방법에 관한 것으로 더욱 상세하게는 플라즈마 에치(etch) 처리동안 기판상에 형성된 층의 에치특성을 정하기 위한 방법 및 장치에 관한 것이다.
[관련출원의 상호참조]
본 출원은 2002년 10월 31일 출원된 미국임시특허출원번호가 60/422,511과, 이 출원과 동일자로 출원된 출원번호가 60/422,510이고, 대리인 파일번호가 228160USUS6 PROV인 "종료점을 탐지하는 장치 및 방법"과 관련되며, 이를 우선권주장하였습니다. 이 모든 출원의 전체 내용은 본원에 참고로 포함된다.
[종래기술]
반도체장치 제조산업에서 집적회로의 제작은 일반적으로 기판에 형성된 물질을 제거하거나 기판에 물질을 증착시키기 위하여 플라즈마를 사용한다. 일반적으로 플라즈마는 진공상태에 있는 플라즈마처리시스템내에서 공급된 처리가스와 이온충돌을 지속하기에 충분한 에너지를 가질 수 있도록 전자를 가열함에 의하여 형성된다. 이러한, 가열된 전자는 해리충돌을 유지하기에 충분한 에너지를 가지고 있으 며, 미리 설정된 상태(챔버압력, 가스흐름률, 등)하에서 상기 처리시스템내에서 수행되는 특정한 과정에 적합하도록 가스의 특정집단은 전 대전입자 및 반응입자를 생산하도록 선택되어 진다. (예를 들면, 물질이 기판으로부터 제거되는 에칭과정 또는 물질이 기판에 부가되는 증착과정이 있다.)
에치과정동안 플라즈마 처리 시스템의 상태를 정의하고 그러한 시스템의 조건을 소정시간 유지하기 위하여 상기 에치율과 상기 에치율의 공간 균일성을 모니터하는 것은 상당히 중요하게 될 수 있다. 현재 제조 과정에서, 시스템의 조건은 기판에 일정한 제한 기판을 수행하고, 결과적인 에칭율과 생산을 지속할지 여부 혹은 젖어 있는 상태의 처리챔버를 청결하게 하는 시스템관리를 수행할지 여부를 정하는 에치율의 균일성을 측정함에 의하여 이루어진다.
이러한 에치율과 에치율의 균일성을 정의하는 방법은 기판의 쪼갬(기판의 파괴)과 SEM(전자현미경으로 스캐닝)분석과 관련된다. SEM 현미경사진을 사용하면, 에치깊이의 모양이 조건 기판상의 다른 위치에 측정되어 질 수 있고, 각 시간이 복합되었을 때, 에치율에 대한 정보와 에치율의 균일성이 얻어질 수 있다.
결과적으로, 중요한 시스템의 생산시간은 확장되고 조건기판이 소비되며, 결국 단순한 조건과정동안에 더 많은 생산비용을 발생시키게 한다. 그러므로 생산기판과 조건기판은 본질적으로 다르게 될 수 있고, 그러므로 시스템조건과 관련하여 불규칙한 결론을 이끌게 한다. 예를 들면, 조건 기판상에 측정된 에치율은 반드시 생산웨이퍼상의 실제 에치율을 반영하는 것이 아니게 된다.
본 발명은 에치과정동안 에치특성을 정의하기 위한 시스템 및 방법을 제공하는 것으로, 이 방법은 상기 언급된 단점을 효율적으로 대처할 수 있게 한다.
본 발명의 목적은 다음 구성을 포함한 기판상에 에칭 층을 위한 플라즈마 처리 시스템을 제공하는 것이다.
본 시스템은 처리챔버와; 상기 처리챔버에 결합되어 있으며 최소한 하나의 종료점 신호를 측정할 있도록 구성된 진단시스템과; 상기 진단시스템에 결합되어 있으며 적어도 하나의 에치율과 층의 두께와 종료점 신호로부터 에칭의 에치율 균일성을 원래상태로 정의할 수 있도록 구성된 컨드롤러로 구성되어 있다.
상기 두께는 적어도 하나의 최소두께, 최대두께, 평균두께 및 하나의 두께범위를 포함하고 있다.
본 발명의 다른 목적은 다음 단계을 포함한 플라즈마 처리 시스템에서 기판상의 에칭 층을 위한 에치특성을 정의하기 위한 본래 상태 방법을 제공하는 것이다. 적어도 하나의 최소두께, 최대두께, 평균두께 및 두께범위를 포함한 층의 두께를 제공하는 단계와; 기판상에 층을 에칭하는 단계와;
플라즈마 처리 시스템에 결합된 진단시스템을 사용한 적어도 하나의 종료점신호를 측정하는 단계와; 상기 두께에 대한 종료점 천이시간과 에칭의 시작시간과이 시간차이의 비로부터 에치율을 구하는 단계로 이루어져 있다.
본 발명의 여러 장점은 다음 동반된 그림과 관련하여 본 발명의 전형적인 실시예에 대한 다음 상세한 설명으로부터 보다 명백하고 쉽게 이해될 수 있다.
도 1 은 본 발명의 실시예에 따른 플라즈마 처리 시스템의 단순화된 블록다이어그램을 보인다.
도 2 는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 도식적인 다이아그램을 보인다.
도 3 은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 도식적인 다이아그램을 보인다.
도 4 는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 도식적인 다이아그램을 보인다.
도 5 는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 도식적인 다이아그램을 보인다.
도 6 은 본 발명의 실시예에 따른 전형적인 종료점시그널을 도시한다.
도 7A-7D 는 본 발명의 다른 실시예에 따른 전형적인 종료점시그널을 보이는 일련의 그래프를 나타낸다.
도 8A-8B 는 본 발명의 다른 실시예에 따른 전형적인 원형과 필터링된 종료점신호를 나타낸다.
도 9 는 본 발명의 실시예에 따른 플라즈마 처리 시스템에서 기판상의 에칭층을 위한 에칭 특성을 정의한 원래 상태의 방법을 나타낸다.
본 발명의 실시예에 따르면, 도 1에 따른 플라즈마 처리 시스템(1)은 플라즈마 처리 챔버(10), 상기 처리 챔버(10)에 결합된 진단시스템(12)와, 상기 진단시스 템(12)에 결합된 컨트롤러(14)로 구성되어 있다.
상기 컨트롤러(14)는 진단시스템(12)으로부터 적어도 하나의 종료점 시그널를 받을 수 있으며, 에치율 또는 에치율의 균일성과 같은 적어도 하나의 종료점 시그널에서의 에치특성을 정의할 수 있도록 구성되어 있다.
도 1에 도시된 실시예에서, 플라즈마 처리 시스템(1)은 재료처리를 위해 플라즈마를 이용한다. 바람직하게는 플라즈마 처리 시스템(1)은 에치 챔버를 포함한다.
도 2에 나타난 본 발명의 실시예에 따르면, 플라즈마 처리 시스템(1)은 플라즈마 처리 챔버(10), 처리되는 기판(25)(예를 들어, 반도체 웨이퍼 또는 액체 크리스탈 디스플레이 패널)이 고정되는 기판홀더(20)과, 진공 펌핑시스템(30)으로 구성된다. 기판(25)은 예를 들면, 반도체 기판, 웨이퍼 또는 액체 크리스탈 디스플레이가 될 수 있다. 플라즈마 처리 챔버(10)은 예를 들면, 기판(25)의 표면근처의 처리영역(15)에서 플라즈마의 생성을 촉진할 수 있도록 구성되어 있다.
이온화할 수 있는 가스 또는 가스의 혼합은 가스분사시스템(미도시)를 통해서 유입되고, 처리압력이 조정된다. 예를 들면, 컨트롤 장치(미도시)는 진공펌핑시스템(30)을 조절하는데 사용된다. 바람직하게는 플라즈마는 특별하게 미리 결정된 재료 처리에서 재료를 생산하고, 기판(25)의 노출면에서 재료의 제거를 돕는데 사용된다.
플라즈마 처리시스템(1)은 200mm기판, 300mm기판 또는 그보다 큰 기판을 처리할 수 있도록 구성을 이루고 있다.
기판(25)은 예를 들면, 로봇 기판 이송시스템에 의하여 슬롯밸브(미도시) 와 챔버 피드 드로우(feed-through)(미도시)를 통하여 플라즈마 처리 챔버(10)의 내부 또는 외부로 이송될 수 있다. 상기 로봇 기판 이송시스템에서 기판홀더(20)내부에 위치한 기판 상승핀(미도시)에 의해 기판이 받아지고, 그 내부에 위치한 장치에 의하여 이송된다.
기판(25)이 기판이송 시스템으로 받아지면, 그것은 기판홀더(20)의 상부면에로 내려진다.
기판(25)은 예를 들면, 정전기적인 클램핑시스템에 의하여 기판홀더(20)에 고정된다. 더욱이, 기판홀더(20)는 예를 들면, 상기 기판홀더로부터 열을 받고 그 받은 열을 열교환기로 이동시키거나, 또는 가열된 열 교환기로부터 열을 이동시키는 재순환하는 냉매를 가진 쿨링시스템을 더 포함할 수 있다.
그러므로 가스는 예를 들면, 기판(25)과 기판홀더(20)사이의 가스와 공간과의 열전도성을 향상시키기 위하여 후면가스시스템을 경유하여 기판(25)의 뒷면으로 운반될 수 있다. 이러한 시스템은 상승한 또는 하강한 온도에서 기판의 온도 제어가 요구될 때 이용될 수 있다. 다른 실시예에서, 가열요소로는, 예를 들면, 저항 가열요소, 또는 열전기 히터/쿨러등이 포함될 수 있다.
플라즈마 처리 챔버(10)는 수직이동장치를 더 구비할 수 있다. 상기 수직이동장치는 예를 들면, 기판홀더(20)와 플라즈마 처리 챔버(10)에 연결된 벨로우즈(미도시)에 의하여 둘러쌓여 있다.
상기 벨로우즈는 플라즈마 처리 챔버(10)내의 감압된 공기압으로부터 수직이 동장치를 밀봉할 수 있도록 구성되어 있다.
더욱이, 벨로우즈 실드(미도시)는 예를 들면, 기판홀더(20)에 연결되어 있으며, 처리 플라즈마로부터 벨로우즈를 보호할 수 있도록 구성되어 있다.
기판홀더(20)은 , 예를 들면 포커스 링(미도시), 실드 링(미도시), 배플판(미도시)를 더 구비할 수 있다.
도 2에 도시된 실시예에서, 기판홀더(20)는 전극을 더 구비할 수 있다. 상기 전극을 통하여 RF파워는 처리공간(15)에서 처리 플라즈마에 연결될 수 있다. 예를 들면, 기판홀더(20)는 임피던스 매치 네트워크를 통한 RF발전기(40)에서 기판홀더(50)까지 RF파워의 전송에 의한 RF볼트쪽으로 전기적으로 바이어스될 수 있다.
RF바이어스는 플라즈마를 형성하고 유지하기 위한 열전자를 제공할 수 있다. 이 장치에서, 시스템은 반응이온 에치(RIE) 리엑터로써 기능할 수 있다. 그 안에서 챔버와 상부 가스 분사 전극은 그라운드 표면으로 기능한다. 일반적인 RF 바이어스의 주파수는 1MHz에서 100MHz의 범위를 가지고, 바람직하게는 13.56MHz이 좋다. 플라즈마 처리를 위한 RF시스템은 이 기술 분야에서 잘 알려져 있다.
다른 실시예로써, RF파워는 복수 주파수에서 기판홀더전극에 적용될 수 있다. 더욱이, 임피던스 매치 네트워크(50)는 상기 반사된 전원을 최소화함에 의하여 플라즈마 처리 챔버(10)에서 RF전원의 전송을 증가시키는데 도움을 준다. 매치 네트웍 토폴로지(topologies)(예를들면, L-type, π-type, T-type등)와 자동 제어 방법은 이 기술분야에서 잘 알려져 있다.
도 2와 관련하여, 처리가스는 예를 들면 가스분사시스템(미도시)를 통하여 처리영역(15)에 공급된다. 처리가스는, 예를 들면, 산화 에칭 분야를 위한 아르곤, CF4, O2 또는 아르곤, C4F8, O2의 가스혼합 또는 다른 화합물, 예를 들면, O2/CO/ Ar/C4F8, O2/CO/Ar/C5F8, O2을 포함한다. 뿐만 아니라 이와 유사한 화합물들도 포함된다.
가스분사시스템은 샤워해드를 포함하고, 상기 샤워해드(showerhead)에서 처리가스가 가스분사 플레넘(plenum)(미도시), 일련의 배플판(미도시) 및 복수의 오르피스 샤워해드 가스 분사판을 통하여 가스이송시스템(미도시)으로부터 처리영역(15)까지 공급된다. 가스분사시스템은 진공처리의 분야에서는 잘 알려져 있다.
진공펌프시스템(30)은 예를 들면, 펌핑속도를 5000리터/초 까지 이를 수 있게 하는 터보 분자 진공펌프(TMP)와, 챔버압력을 변화시키는 게이트 밸브를 포함할 수 있다. 종래의 건조 플라즈마 에치를 위해 이용되는 플라즈마 처리 장치에서는, 1000에서 3000 리터/초 TMP가 일반적으로 사용되었다. TMP는 일반적으로 50mTorr 보다 낮은 저압력처리를 위해 유용한 것이다.
높은 압력에서 TMP펌핑속도는 급격하게 떨어진다. 높은 압력처리(예를 들면, 100mTorr)를 위해서는, 기계식 부스터 펌프(Booster Pump)와 건조 러핑펌프 (Roughing Pump)가 사용될 수 있다. 더욱이, 챔버압력을 모니터할 장치는 플라즈마 처리 챔버(10)에 연결될 수 있다.
압력 측정 장치로는 예를 들면, MKS 기기 주식회사(Andover, MA)로부터 입수할 수 있는 TYPE 628B 바라트론 절대 축전기 마노미터가 있다.
컨트롤러(14)는 마이크로프로세서, 메모리 및 디지털 I/O 포트를 포함한다. 상기 디지털 I/O포트는 통신하기에 충분한 컨트롤 신호를 발생시킬 있으며, 플라즈마 처리 시스템(1)으로 입력을 활성화시킬 뿐 아니라 플라즈마 처리 시스템(1)에서의 출력을 모니터 할 수 있다. 그러므로, 컨트롤러(14)는 임피던스 매치 네트웍, 가스 분사 시스템(미도시), 진공 펌프 시스템(30), RF발전기(40) 뿐만 아니라 후방가스이동시스템, 기판/기판홀더 온도측정시스템(미도시) 및 정전기 클램핑시스템(미도시)의 정보를 서로 교환하거나 연결시킬 수 있다.
예를 들면, 메모리에 저장된 프로그램은 입력치로 저장된 처리 내용에 따라서 플라즈마 처리 시스템(1)의 상술한 요소들을 활성화하는데 이용될 수 있다. 이러한 컨트롤러의 다른 예는 델 정밀 워크스테이션 610TM으로써, 텍사스 오스틴에 있는 델 주식회사에서 입수할 수 있다.
진단시스템(12)은 광학 진단 서브시스템을 포함할 수 있다. 광학진단 서브시스템은 (실리콘)포토다이오드 또는 플라즈마로부터 방출된 전체 광학 세기를 측정하기 위한 포토연산 튜브(PMT)과 같은 탐지기를 포함할 수 있다.
진단시스템(12)은 협대역 간섭필터와 같은 광학필터를 더 포함할 수 있다. 다른 실시예에서, 진단시스템(12)는 적어도 하나의 CCD(방전결합장치), CID(방전분사장치)배열과, 회절격자 또는 프리즘과 같은 광학분산장치를 포함할 수 있다. 덧붙여서, 진단시스템(12)은 주어진 파장에서 빛을 측정하기 위한 모노크로미터(회절격자/ 감지시스템) 또는 빛스펙트럼을 측정하기 위한 (회전하는 회절격자를 가진)스펙트로미터, 예를 들면 미국특허번호 5,888,337과 같은,을 포함할 수 있다. 더욱이, 진단시스템(12)은 광학 진단 서브시스템 및 광학 진단시스템의 작동을 컨트롤 하기 위한 컨트롤러(14)에 연결된 프로세서를 구비할 수 있다.
예를 들면, 진단시스템(12)은 피크 센서 시스템(Peak Sensor System 또는 Verity instrument, Inc의 높은 해상도 OES 센서를 포함할 수 있다.
이러한 OES 센서는 자외선(UV), 가시광선, 적외선광선의 폭을 가진 광대역 스펙트럼을 가지고 있다. 해상도는 대략 1.4 옴스트롬로써, 센서는 240nm에서 1000nm가지 5550 파장을 선택할 수 있다. 센서는 높은 민감성 세밀 파이버 광학 UV-VIS-NIR 분광계을 가지고 있다. 상기 분광계는 순차적으로 2048 픽셀 리니어 CCD 배열이 복합되어 있다.
스펙트로미터는 하나 또는 다발의 광학섬유를 통하여 전송된 빛을 받는다. 상기 광학섬유로부터 방출된 빛은 픽셀 회절격자를 이용한 라인 CCD배열상을 가로질러 분산된다. 상기 언급된 구성과 유사하게, 광학 진공창을 통하여 방출된 빛은 볼록 구형 렌즈를 통하여 광학섬유의 입력측 종료부분에 집중된다. 각각 주어진 스펙트럼의 범위(자외선, 가시광선, 적외선)를 가진 세 개의 분광계는 처리챔버를 위한 센서를 형성한다. 각각의 분광계는 독립한 A/D 컨버터를 포함한다. 그리고, 마지막으로 센서이용에 따라, 전체방출 스펙트럼은 매 0.1에서 1.0초를 기록할 수 있다.
다른 실시예로써, 진단시스템(12)은 플라즈마 처리 시스템(1), 파워미터 및 스펙트럼 분석기의 전기적 특성을 모니터하기 위한 적어도 하나의 전류프로브 및/또는 볼트프로브를 포함한 전기적 진단 서브시스템을 구비할 수 있다. 예를 들면, 플라즈마 처리 시스템은 플라즈마를 형성하기 위하여 RF파워를 사용하고, 그 경우 에 RF 전달라인, 예를 들면 동축케이블 또는 그러한 구조, 이 전기적 결합요소(유도코일, 전극등)를 통하여 플라즈마에 RF에너지를 전송하도록 사용된다. 전기적 측정, 예를 들면 전류프로브 및 전압 프로브,는 RF전송라인과 같은 전기(RF)회로내의 어디에서든 수행할 수 있다. 더욱이, 전류 또는 전압을 시간마다 추적하는 것과 같은 전기적 시그널측정은 분산푸리에시리즈(주기신호를 가정한다)를 사용함으로써 주파수간격마다 시그널의 전송을 할 수 있다.
그 후에, 푸리에 스펙트럼(또는 시간변화 시그널, 주파수 스펙트럼)은 플라즈마의 상태를 특정시키기 위하여 분석되고 모니터 될 수 있다. 종료점에서 시그널은 전압시그널, 전류시그널, 임피던스시그널, 또는 하모닉시그널로부터 확인할 수 있다.
전압-전류프로브는 예를 들면 세마테그 주식회사에서 2001년 1월 8일 출원된 미국특허출원 60/259,862 또는 1995년 11월 14일 등록된 등록특허 5,467,013DP등에 의하여 상세히 기술된 장치와 관련되며, 그 전체 내용이 본원에 참고로 포함된다.
다른 실시예에서, 진단시스템(12)은 플라즈마 처리 시스템(1)의 외부로 방사된 RF필드를 측정하기에 유용한 광대역 RF 안테나를 포함할 수 있다.
종료점 시그널은 방사된 시그널 또는 하모닉 시그널로부터 확인할 수 있다. 실용적으로 사용할 수 있는 광대역 RF안테나는 안테나 리서치 모델 RAM-220 (0.1MHz에서 300MHz)과 같은 광대역 안테너이다.
광대역 RF 안테나의 사용은 2002년 7월 3일 출원된 미국출원번호 60/393,101과, 2002년 7월 3일 출원된 미국출원번호 60/393,103과 2002년 7월 3일 출원된 60/393,105에서 보다 상세히 기술되어 있으며, 그 전체 내용이 본원에 참고로 포함된다.
다른 실시예에서, 종료점 시그널은 임피던스 매치 네트워크에 설치된 커패시터를 모니터하기 하기 위한 임피던스 매치 네트워크에 연결된 진단시스템(12)으로부터 확인할 수 있다. 상기 임피던스 매치 네트워크는 예를 들면 도 2 내지 도 5에 나타난 임피던스 매치 네트워크 50, 도 4에 있는 임피던스 매치 네트워크(74)와, 도 5에 있는 임피던스 매치 네트워크(84)등이 될 수 있다.
도 3에서 도시된 실시예에서, 플라즈마 처리 시스템(1)은 예를 들면, 도 1 및 도 2에 언급된 이러한 요소들에 덧붙여서 잠재적으로 플라즈마 세기를 증가시키고 및/또는 플라즈마 처리 균일성을 증가시키기 위하여 고정되거나 또는 기계적으로/ 전기적으로 회전하는 DC 마그네틱 필드 시스템(60)를 더 구비할 수 있다.
더욱이, 컨트롤러(14)는 회전속도 및 필드세기를 일정하게 할 수 있도록 회전하는 마그네틱 필드 시스템(60)에 결합될 수 있다. 회전하는 마그네틱 필도의 설계와 정치는 이 기술분야에서 잘 알려져 있다.
도 4에 나타난 실시예에서, 도 1 및 도 2의 플라즈마 처리 시스템(1)은 상부 전극(70)을 더 포함할 수 있다. RF파워는 임피던스 매치 네트워크(74)를 통하여 RF발전기(72)에서 상부전극(70)까지 연결될 수 있다. 상부전극에 대한 RF파워의 분야에서 일반적인 주파수는 10MHz에서 200MHz의 범위이며, 바람직하게는 60MHz가 좋다. 덧붙여서, 일반적인 하부전극에 대한 RF파워의 분야에서 일반적인 주파수는 0.1MHz에서 30MHz의 범위이며, 바람직하게는 2MHz가 좋다. 더욱이, 컨트롤러(14)는 상부전극에 대한 RF파워의 분야따라 조절하여 사용할 수 있도록 RF발전기(72)와 상부 전극(70)에 연결된다. 상부전극의 설계는 이 기술분야에서 잘 알려져 있다.
도 5에 나타난 도시된 실시예에서, 도 1의 플라즈마 처리 시스템은 예를 들면, RF파워가 임피던스 매치 네트워크를 통하여 RF발전기(82)에 연결되게 하는 유도코일을 더 포함할 수 있다. RF 파워는 유전체 윈도우(미도시)를 통하여 유도코일(80)에서 플라즈마 처리 영역(45)으로 연결되어 진다. 유도코일(80)에 대한 RF파워의 분야에서 일반적인 주파수는 10MHz에서 100MHz의 범위를 가지고 바람직하게는 13.56MHz가 좋다. 유사하게, 척(CHUCK) 전극에 대한 파워의 분야에서 일반적인 주파수는 0.1MHz에서 30MHz의 범위를 가지고, 바람직하게는 13.56MHz가 좋다. 덧붙여서, 슬롯된 패러데이 실드(미도시)는 유도코일(80)과 플라즈마사이의 정전결합을 감소시키는데 사용된다.
더욱이, 컨트롤러(14)는 유도코일(80)에서 파워를 다양하게 응용할 하도록 RF발전기(82)와 임피던스 매치 네트워크(84)에 결합된다.
다른 실시예에서, 유도코일(80)은 변압기 결합 플라즈마로부터 플라즈마 처리 영역(15)에 연결되는 "나선형"코일 또는 "팬케익형"코일이 될 수 있다.
유도 결합 플라즈마(ICP)의 설계 또는 변압기 결합 플라즈마의 설계는 이 기술분야에서 잘 알려진 기술이다.
다른 실시예로, 플라즈마는 전극 싸이클로트론 공명을 사용하여 형성될 수 있다. 그러나 다른 실시예에서, 플라즈마는 헬리콘 웨이브의 런칭(launching)으로부터 형성된다. 그러나 다른 실시예에서, 플라즈마는 진행하는 표면파로부터 형성 될 수 있다. 상술한 각각의 플라즈마 소스는 이 기술분야에서 잘 알려져 있다.
다른 논의에서, 플라즈마 처리 시스템(1)에서 기판상에 층을 에칭하기 위한 에치율과 에치율 균일성을 정의하기 위한 원래의 방법은 빛 방출 분광기(OES)를 사용할 수 있다. 그러나, 이와 같이 논의된 방법은 상술한 실시예에 한정되지 않는다.
도 1에서 도 5에서 언급된 것을 살펴보면, 진단시스템(12)은 플라즈마에서 방출된 빛의 방사(irradiance) 또는 스펙트럼의 방사를 측정하는데 이용되는 광학 진단 서브시스템을 포함할 수 있다. 예를 들어, 도 6은 처리공간(15)에서의 플라즈마로부터 방출된 주어진 파장의 빛에 대한 구체적인 종료점 시그널(100)을 나타낸다.
도 1 내지 도 5 에 대한 언급에서, 진단시스템은 플라즈마로부터 방출된 빛의 방사 또는 스펙트럼의 방사를 측정하기 위한 광학 진단 서브시스템을 포함할 수 있다. 예를 들면, 도 6은 처리공간(15)의 플라즈마로부터 방출된 주어진 파장을 가진 빛에 대한 구체적인 종료점 시그널(100)과 그것의 미분치를 나타낸다. 종료점 시그널(100)은 종료점 천이(110)를 더 포함할 수 있다. 상기 종료점 천이(110)에서 종료점 시그널(100)로의 개별적인 변화는 과정의 종료점을 이룬다.
예를 들면, 특정한 화학적 성분에 따른 빛 방출은 소정목적의 모니터링동안 선택되는 에칭 반응동안 나타난다. 상기 에치반응은 종료점동안에 어떤 점으로의 집중이 증가되거나, 감소되는 것으로 나타난다.
본 발명의 실시예에서, 두께 T를 가진 물질의 층에 대한 에치율은 두께 T 에 대한 에치과정의 시작(예를들면, 시그널 종료점(100)에서 시간 t0=0)과 종료점 천이(110)동안의 시간 t 사이의 지속시간의 비로 구해질 수 있다.
이경우에
Figure 112005022865530-pct00001
(1)
여기서 E는 에치율이다.
본 발명의 다른 실시예에서, 최소두께 Tmin, 최대두께 Tmax, 평균두께 Tmean을 포함한 재료의 층에 대한 에치율은 최소층의 두께에 대한 에치과정의 시작(예를 들면, 종료점(100)에서 시간 t0=0)에서 종료점 천이(110)(도 6을 참조)의 시작시간(112)사이의 지속시간의 비로부터 구해질 수 있다.
이 경우
Figure 112005022865530-pct00002
(2)
여기서 E는 에치율, to는 에치과정의 시작시간, t112는 종료점 천이(110)의 시작시간(112)
본 발명의 다른 실시예에서, 최소두께 Tmin, 최대두께 Tmax, 평균두께 Tmean을 포함한 재료의 층에 대한 에치율은 최대층의 두께에 대한 에치과정의 시작(예를 들면, 종료점(100)에서 시간 t0=0)에서 종료점 천이(11)(도 6을 참조)의 종료시간 (114)과의 사이의 지속시간의 비로부터 얻어질 수 있다.
이 경우
Figure 112005022865530-pct00003
(3)
여기서 E는 에치율, to는 에치과정의 시작시간, t114는 종료점 천이(110)의 종료시간(114)
본 발명의 다른 실시예에서, 최소두께 Tmin, 최대두께 Tmax, 평균두께 Tmean을 포함한 재료의 층의 에치율은 에치과정의 시작(예를 들면, 종료점(100)에서 시간 t0=0)에서 종료점 천이(110)(도 6을 참조)의 변곡시간(116)사이의 시간과 최대층의 두께의 비율 또는 종료점 시그널(100)의 제1미분치(118)의 최대값(음의 기울기)로부터 얻어질 수 있다.
Figure 112005022865530-pct00004
(4)
여기서 E는 에치율, to는 에치과정의 시작시간, t116는 종료점 천이(110)의 변곡시간(116)
다른 실시예에서, 최소두께 Tmin, 최대두께 Tmax, 평균두께 Tmean, 두께범위 △T을 포함한 재료의 층의 에치율균일성은 최대에치율 Emax, 최소에치율 Emin에서 최소두께, 두께범위 및 종료점 천이(100)의 시간거리 △t으로 구해진다.
이 경우
Figure 112005022865530-pct00005
(5)
여기서 △E는 에치율 균일성이다.
여기서 EmaxEmin∼E2, 식(5)는 다음과 같이 단순화 된다.
Figure 112005022865530-pct00006
(6)
다른 실시예에서, 최소두께 Tmin, 최대두께 Tmax, 평균두께 Tmean, 두께범위 △T을 포함한 재료의 층의 에치율은 도 7A 및 7B에서 보이는 종료점시그널 100A 및 100B와 같은 진단시스템(12)에서의 두 개 또는 그 이상의 시그널로부터 구해진다.
종료점 시그널100A는 예를 들면, 종료점 동안에 농도가 감소하는 화학적구성물의 방출에 부합한다.
종료점 시그널100B는 예를 들면, 종료점동안 그것의 농도가 증가하는 화학적 구성물의 방출에 부합한다.
하나 또는 그 이상의 비율 시그널은 순간적인 시간에서의 종료점 시그널(100A)를 종료점 시그널(100B)로 나눠서 얻어진 비율 시그널(130)과 같은 두 개 또는 그 이상의 시그널로부터 얻어질 수 있다.
더욱이, 하나 또는 그 이상의 미분 시그널(140)(그림. 7D)은 비율 시그널(130)의 제1미분치로부터 얻어질 수 있다. 예를 들면, 제1미분치는 제1차(전 또는 후)미분 또는 2차(중앙)미분을 사용하여 구해질 수 있다. 위에서 언급한 것처럼, 에치율은 평균층의 두께에 대한, 비율 시그널(130)에서 변곡점에 따라 또는 미분시그널(140)의 최대(142)(음의 기울기)에 따라 에치과정의 시작점(시그널 100A, 100B 시간 t=0)에서 시작하여 비율 시그널(130)의 변곡시간까지 동안의 시간의 비로부터 얻어진다.
이 경우에
Figure 112005022865530-pct00007
(7)
여기서 E는 에치율, to는 에치과정의 시작시간, t142는 미분시그널(140)에서음의 기울기의 최대(142)에 따른 시간
게다가, 에치율 균일성은 상술한 것처럼 식(6)으로부터 구해진다.
위에서 기술한 것처럼, 도 6에서 나타낸 종료점은 원(raw)(필터되지 않은) 종료점 시그널을 포함한다. 다른 예로서, 시그널 대비 노이즈 비율이 낮은 경우에, 종료점 시그널 필터링은 원(raw) 시그널을 스무드(smooth)하게 하는데 필요하다. 그런 경우에 시그널 필터링은 적어도 하나의 작용하는 가동 평균과 원래 시그널에 대한 유한 임펄스 응답 함수를 포함할 있다. 예를 들면, 도 8A와 8B는 전형적인 원(raw) 종료점 시그널 101A, 101B과 가동 평균을 사용한 대응하는 스무딩 (smoothing) 종료점 시그널 150A, 150B을 나타낸다. 다른 방식으로, 미분값으로 종료점 시그널 또는 비율 시그널을 취하였을 때, 부가적인 필터링은 뚜렷한 또는 암시적으로 나타날 수 있다. 예를 들면, 시그널 차이는 상술한 것처럼, 단순 미분와 스무딩(smoothing)(미분 시그널의 가동평균)과 사비트스키-고레이(Savitsky-Golay) 필터 등의, 단순한 미분식 중 어느 하나를 사용하여 얻어질 수 있다.
이 내용은 동일자로 출원된 대리인 관리번호 228160USUS6YA PROV, 제목은 "종료점 감지를 위한 방법 및 장치"이며, 미국 특허번호가 60/____,와 관련되며, 그 전체 내용이 본원에 참고로 포함된다.
도 9는 본 발명의 실시예에 따른 플라즈마 처리 시스템에서 기판에 층을 에칭하기 위한 에치 특성을 검출하는 본래의 방법을 나타낸다.
상기 방법은 예를 들면, 도 1 내지 5 에 나타난 플라즈마 처리 시스템에서 기판상에 에칭된 층의 두께를 제공하는 단계 210에서 시작하는 200 플로챠트로 설명된다.
두께는 예를 들면, 적어도 하나의 최소두께, 최대두께, 평균두께, 두께 범위를 가진다. 층을 에칭하기 전에 하나 또는 그이상의 층 두께는 일반적으로 알려진다. 단계 220에서, 이 과정을 이용하여 층이 에치된다. 단계 220에서, 층이 플라즈마를 가지고 건조에칭의 분야 및 에치 시작시간에서 초기에 알려진 과정을 이용하여 에치된다.
단계 230에서, 최소한 하나의 종료점 시그널이 처리챔버에 결합된 진단시스템을 이용하여 측정된다. 상기 처리챔버는 플라즈마 처리 시스템을 위하여 기술된 처리를 촉진하기 위하여 사용된다.
진단시스템은 적어도 하나의 광학 진단 서브시스템과 전기적 진단 서브시스템을 포함한다. 예를 들어, 광학 진단 서브시스템은 적어도 하나의 탐지기, 광학 파이버, 격자, 프리즘, 모노크로미터(monochromator) 및 스펙트로미터를 포함한다. 덧붙여서, 예를 들면, 전기적 진단 서브시스템은 적어도 하나의 전압 프로브, 전압 프로브, 스펙트럼 분석기, 외부 RF 안테나, 파워미터 및 커패시터 설정 모니터를 포함한다.
최소한 하나의 종료점 시그널은 상술한 것처럼 종료점 천이를 포함한다. 더욱이, 종료점 천이는 시작시간, 종료시간 및 변곡시간을 포함한다. 덧붙여서, 예를 들면, 최소한 하나의 종료점 시그널은 플라즈마로부터 방출된 빛의 스펙트럼 방사를 포함할 수 있다.
단계 240에서, 플라즈마 처리 시스템에서 기판상에 층의 에칭을 위한 에치비율은 층의 두께와 하나의 종료점 시그널을 사용하여 구해진다. 예를 들면, 에치율은 층의 최소한의 두께에 대한 에칭한 층의 시작시간과 종료점 천이의 시작시간과의 차이시간의 비로부터 구해진다(식(2)참조).
다른 예로써, 에치율은 층의 최대두께에 대한 에칭층의 시작시간과 종료점의 종료시간과의 차이시간의 비로부터 구해진다.(식(3)참조)
다른 예로써, 에치율은 층의 평균두께에 대한 에칭한 층의 시작시간과 종료전의 변곡시간과의 차이시간의 비로부터 구해진다.(식(4)참조)
다른예로써, 적어도 하나의 종료점 시그널은 두 개의 종료점 시그널, 제1종 료점 시그널과 제2종료점 시그널,을 포함한다.
비율 시그널은 각 순간적인 시간에서 두 개의 시그널의 비로 형성된 제1 또는 제2종료점 시그널로부터 구해진다. 상기 비율시그널은 종료점 천이를 더 포함할 수 있다. 상기 종료점 천이는 시작시간, 종료시간, 변곡시간을 포함한다. 더욱이, 에치율은 상기 상술한 식(2)에서 식(4) 또는 식(7)의 방법 중의 어느 하나를 사용한 비율 시그널로부터 얻어질 수 있다.
플로챠트 200에서 상술한 본래의 방법은 단계 250 을 더 포함할 수 있다. 상기 단계 250 에서 종료점 천이의 지속시간이 도 6 의 120에 나타난 것처럼 종료점 시그널의 1차미분 또는 도 7D의 144에 나타난 것처럼 두 개의 종료점의 시그널 비율시그널의 1차미분으로부터 얻어질 수 있다.
단계 260 에서, 에치율 균일성은 단계 240 에서 구해진 에치율, 단계 250 에서 구해진 종료점 천이의 지속시간, 에치된 층의 두께범위로부터 얻어질 수 있다.
예를 들면, 에치율 균일성은 식(8)을 이용하여 구할 수 있다.
비록 이 발명의 어떤 구체적인 실시예가 상술한 바와 같이 언급되었지만, 기술분야에서 이러한 기술은 신규한 내용이나 본 발명보다 유리한 효과없이 구체적인 수정된 실시예를 통하여 수정예가 쉽게 얻어진다.
따라서, 모든 그러한 수정은 이 발명의 범위내에 포함된다.

Claims (43)

  1. 처리챔버와;
    상기 처리챔버에 연결되고 적어도 하나의 종료점 시그널을 측정하도록 구성되어 있는 진단 시스템과;
    상기 진단 시스템에 연결되고, 에치율 및 적어도 하나의 상기 종료점 시그널과 층의 두께로부터 처리챔버내의 에칭 처리의 에치율 균일성 중 적어도 하나를 결정하도록 구성된 컨트롤러로 구성되어 있으며;
    상기 두께는 최소두께, 최대두께, 평균두께 및 두께범위 중 적어도 하나를 가진 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  2. 제 1 항에 있어서, 상기 진단시스템은 광학 진단 서브시스템과 전기적 진단 서브시스템 중 적어도 하나를 포함한 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  3. 제 2 항에 있어서, 상기 광학 진단 서브시스템은, 탐지기, 광학 필터, 회절격자 및 프리즘 중 적어도 하나를 가진 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  4. 제 2 항에 있어서, 상기 광학 진단 서브시스템은, 분광기와 모노크로미터(monochromator) 중 적어도 하나를 포함하는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  5. 제 2 항에 있어서, 상기 전기적 진단 서브시스템은, 전압프로브, 전류프로브, 스펙트럼 분석기, 외부 RF 안테너, 파워미터 및 커패시터 설정 모니터 중 적어도 하나를 포함하는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  6. 제 1 항에 있어서, 상기 적어도 하나의 종료점 시그널은, 종료점 천이를 가진 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  7. 제 6 항에 있어서, 상기 종료점 천이는, 시작시간, 종료시간 및 변곡시간을 가진 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  8. 제 7 항에 있어서, 상기 에치율은, 상기 층의 최소두께에 대한 상기 종료점 천이의 시작시간의 비로부터 구해지는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  9. 제 7 항에 있어서, 상기 에치율은, 상기 층의 최대두께에 대한 상기 종료점 천이의 종료시간의 비로부터 구해지는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  10. 제 7 항에 있어서, 상기 에치율은, 상기 층의 평균두께에 대한 상기 종료점 천이의 변곡시간의 비로부터 구해지는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  11. 제 8 항에 있어서, 상기 에치율 균일성 △E은,
    Figure 112010045690212-pct00021
    로부터 구해지며, 여기서 Tmax는 상기 최대두께, △T는 상기 두께범위, △t는 상기 시작시간과 상기 종료시간과의 차이 및 E는 상기 에치율인 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  12. 제 1 항에 있어서, 비율 시그널은, 제1종료점 시그널에 대한 제2종료점 시그널의 비로부터 얻어지는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  13. 제 12 항에 있어서, 상기 비율 시그널은 종료점 천이를 포함하는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  14. 제 13 항에 있어서, 상기 종료점 천이는 시작시간, 종료시간 및 변곡시간을 포함하는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  15. 제 14 항에 있어서, 상기 에치율은, 상기 층의 최소두께에 대한 상기 비율 시그널에서 종료점 천이의 시작시간의 비로부터 얻어지는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  16. 제 14 항에 있어서, 상기 에치율은, 상기 층의 최대두께에 대한 비율 시그널에서 상기 종료점 천이의 종료시간의 비로부터 얻어지는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  17. 제 14 항에 있어서, 상기 에치율은, 상기 층의 평균두께에 대한 상기 비율 시그널에서 종료점 천이의 변곡시간의 비로부터 얻어지는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  18. 제 1 항에 있어서, 상기 적어도 하나의 종료점 시그널은, 상기 플라즈마 처리 시스템으로부터 방출된 빛의 스펙트럼 방사에 관련되어 있는 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  19. 제 1 항에 있어서, 상기 적어도 하나의 종료점 시그널은 필터된 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  20. 최소두께, 최대두께, 평균두께 및 두께범위 중 적어도 하나를 가진 층의 두께를 공급하는 단계와;
    기판에 층을 에칭하는 단계와;
    플라즈마 처리 시스템에 연결되어 있는 진단시스템을 사용하여 종료점 천이를 포함하는 적어도 하나의 종료점 시그널을 측정하는 단계와;
    상기 두께에 대한 종료점 천이동안의 시간과 상기 에칭의 시작시간과의 시간차이의 비로부터 에치율을 구하는 단계로 구성된 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  21. 제 20 항에 있어서, 상기 진단시스템은 광학 진단 서브시스템과 전기적 진단 서브시스템 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  22. 제 21 항에 있어서, 상기 광학 진단 서브시스템은, 탐지기, 광학 필터, 회절격자 및 프리즘 중 적어도 하나를 가진 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  23. 제 21 항에 있어서, 상기 광학 진단 서브시스템은, 분광기와 모노크로미터(monochromator) 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  24. 제 21 항에 있어서, 상기 전기적 진단 서브시스템은, 전압프로브, 전류프로브, 스펙트럼 분석기, 외부 RF 안테너, 파워미터 및 커패시터 설정 모니터 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  25. 제 20 항에 있어서, 상기 종료점 천이는, 시작시간, 종료시간 및 변곡시간을 가진 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  26. 제 25 항에 있어서, 상기 두께는 상기 층의 최소두께이고, 상기 시간은 상기 종료점 천이의 시작시간인 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  27. 제 25 항에 있어서, 상기 에치율은, 상기 층의 최대두께에 대한 상기 적어도 하나의 종료점 시그널들 중의 하나인 상기 종료점 천이의 종료시간의 비로부터 구해지는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  28. 제 25 항에 있어서, 상기 에치율은 상기 층의 평균두께에 대한 상기 적어도 하나의 종료점 시그널들 중의 하나인 상기 종료점 천이의 변곡시간의 비로부터 구해지는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  29. 제 20 항에 있어서, 상기 종료점 시그널은 두 개의 종료점 시그널을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  30. 제 29 항에 있어서, 비율 시그널은 상기 두 개의 종료점 시그널의 제1종료점 시그널에 대한 상기 두 개의 종료점 시그널의 제2종료점 시그널의 비인 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  31. 제 30 항에 있어서, 상기 비율 시그널은 종료점 천이를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  32. 제 31 항에 있어서, 상기 종료점 천이는 시작시간, 종료시간 및 변곡시간을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  33. 제 32 항에 있어서, 상기 에치율은, 상기 층의 최소두께에 대한 상기 비율 시그널에서 종료점 천이의 시작시간의 비인 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  34. 제 32 항에 있어서, 상기 에치율은, 상기 층의 최대두께에 대한 상기 비율 시그널에서 종료점 천이의 종료시간의 비인 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  35. 제 32 항에 있어서, 상기 에치율은, 상기 층의 평균두께에 대한 상기 비율 시그널에서 종료점 천이의 변곡시간의 비인 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  36. 제 20 항에 있어서, 상기 적어도 하나의 종료점 시그널은, 플라즈마 처리 시스템으로부터 방출된 빛의 스펙트럼 방사에 관련되어 있는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  37. 제 20 항에 있어서, 상기 적어도 하나의 종료점 시그널이 필터된 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  38. 제 20 항에 있어서, 상기 방법은 적어도 하나의 종료점 시그널의 종료점 천이에 대한 지속시간을 구하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  39. 제 38 항에 있어서, 상기 방법은, 상기 에치율, 종료점 천이의 지속시간, 상기 층의 두께범위에서 에치율 균일성을 구하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  40. 제 31 항에 있어서, 상기 방법은, 상기 비율 시그널의 종료점 천이에 대한 지속시간을 구하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  41. 제 40 항에 있어서, 상기 방법은, 상기 에치율, 종료점 천이의 지속시간과 상기 층의 두께범위로부터 에치율 균일성을 구하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템에서 기판상에 층을 에칭하기 위한 에치 특성을 구하는 방법.
  42. 제 9항에 있어서,
    상기 에치율 균일성△E는
    Figure 112010045690212-pct00022
    로부터 구해지며, 여기서 Tmax는 상기 최대두께, △T는 두께범위, △t는 상기 시작시간과 상기 종료시간과의 차이, 및 E는 에치율인 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
  43. 제 10항에 있어서,
    상기 에치율 균일성△E는
    Figure 112010045690212-pct00023
    로부터 구해지며, 여기서 Tmax는 상기 최대두께, △T는 두께범위, △t는 상기 시작시간과 상기 종료시간과의 차이 및 E는 에치율인 것을 특징으로 하는 기판상에 층을 에칭하기 위한 플라즈마 처리 시스템.
KR1020057007677A 2002-10-31 2003-10-31 종료점을 사용한 에치특성을 구하는 장치 및 방법 KR101015730B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US42251102P 2002-10-31 2002-10-31
US60/422,511 2002-10-31

Publications (2)

Publication Number Publication Date
KR20050063800A KR20050063800A (ko) 2005-06-28
KR101015730B1 true KR101015730B1 (ko) 2011-02-22

Family

ID=32312519

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057007677A KR101015730B1 (ko) 2002-10-31 2003-10-31 종료점을 사용한 에치특성을 구하는 장치 및 방법

Country Status (6)

Country Link
US (1) US8048326B2 (ko)
JP (1) JP4267575B2 (ko)
KR (1) KR101015730B1 (ko)
AU (1) AU2003279826A1 (ko)
TW (1) TWI240326B (ko)
WO (1) WO2004042788A2 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7430496B2 (en) * 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
KR100707808B1 (ko) * 2006-02-10 2007-04-17 주식회사 무진산업 플라즈마 에칭용 테스트지그
JP5198616B2 (ja) * 2011-03-28 2013-05-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101780874B1 (ko) 2012-10-17 2017-09-21 도쿄엘렉트론가부시키가이샤 다변량 분석을 이용한 플라즈마 에칭 종료점 검출
CN103440361B (zh) * 2013-07-19 2016-02-24 清华大学 一种等离子体刻蚀工艺中刻蚀产额的建模方法
CN107466420B (zh) 2015-04-23 2019-10-25 应用材料公司 用于腔室清洁终点的原位蚀刻速率确定
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
KR20180073700A (ko) 2015-11-16 2018-07-02 도쿄엘렉트론가부시키가이샤 진보된 광학 센서 및 플라즈마 챔버용 방법
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
WO2018004649A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Systems, methods and devices for etching control
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
US11538723B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
KR20230092176A (ko) * 2021-12-17 2023-06-26 삼성전자주식회사 플라즈마 공정 챔버의 화학종을 진단하는 진단 장치, 그것을 포함하는 화학종 진단 시스템 및 그것의 동작 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002170812A (ja) * 2000-12-04 2002-06-14 Matsushita Electric Ind Co Ltd プラズマエッチングの終点検出方法および装置、並びにプラズマエッチング装置
JP2002280368A (ja) * 1998-12-01 2002-09-27 Hitachi Ltd 絶縁膜のエッチング方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4847792A (en) * 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US5337144A (en) * 1990-06-19 1994-08-09 Applied Materials, Inc. Etch rate monitor using collimated light and method of using same
US5198072A (en) * 1990-07-06 1993-03-30 Vlsi Technology, Inc. Method and apparatus for detecting imminent end-point when etching dielectric layers in a plasma etch system
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5582746A (en) * 1992-12-04 1996-12-10 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5573624A (en) * 1992-12-04 1996-11-12 International Business Machines Corporation Chemical etch monitor for measuring film etching uniformity during a chemical etching process
US5372673A (en) * 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5445705A (en) * 1994-06-30 1995-08-29 International Business Machines Corporation Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
US5501766A (en) * 1994-06-30 1996-03-26 International Business Machines Corporation Minimizing overetch during a chemical etching process
US5489361A (en) * 1994-06-30 1996-02-06 International Business Machines Corporation Measuring film etching uniformity during a chemical etching process
JPH08232087A (ja) * 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd エッチング終点検出方法及びエッチング装置
EP0735565B1 (en) * 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
JP3193265B2 (ja) * 1995-05-20 2001-07-30 東京エレクトロン株式会社 プラズマエッチング装置
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5928532A (en) * 1996-11-11 1999-07-27 Tokyo Electron Limited Method of detecting end point of plasma processing and apparatus for the same
US5694207A (en) * 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5972796A (en) * 1996-12-12 1999-10-26 Texas Instruments Incorporated In-situ barc and nitride etch process
US6104487A (en) * 1996-12-20 2000-08-15 Texas Instruments Incorporated Plasma etching with fast endpoint detector
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6054333A (en) * 1997-10-14 2000-04-25 University Of Houston Real time etch measurements and control using isotopes
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6383402B1 (en) * 1998-04-23 2002-05-07 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6419801B1 (en) * 1998-04-23 2002-07-16 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP3383236B2 (ja) * 1998-12-01 2003-03-04 株式会社日立製作所 エッチング終点判定方法及びエッチング終点判定装置
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6650426B1 (en) * 1999-07-12 2003-11-18 Sc Technology, Inc. Endpoint determination for recess etching to a precise depth
US6419846B1 (en) * 1999-09-08 2002-07-16 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra
US6582618B1 (en) * 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
TW524888B (en) * 2000-02-01 2003-03-21 Winbond Electronics Corp Optical temperature measurement as an in-situ monitor of etch rate
JP4754757B2 (ja) * 2000-03-30 2011-08-24 東京エレクトロン株式会社 基板のプラズマ処理を調節するための方法、プラズマ処理システム、及び、電極組体
EP1143222A3 (en) * 2000-04-06 2002-01-02 Applied Materials, Inc. Method and apparatus for detecting the thickness of copper oxide
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
US6855567B1 (en) * 2000-05-31 2005-02-15 Lam Research Corporation Etch endpoint detection
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6745095B1 (en) * 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
US6831742B1 (en) * 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
US6673199B1 (en) * 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
IE20010288A1 (en) * 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
WO2002077589A2 (en) * 2001-03-23 2002-10-03 Tokyo Electron Limited Method and apparatus for endpoint detection using partial least squares
US6903826B2 (en) * 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US6888639B2 (en) * 2001-09-24 2005-05-03 Applied Materials, Inc. In-situ film thickness measurement using spectral interference at grazing incidence
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US20030119215A1 (en) * 2001-12-21 2003-06-26 Petrucci Joseph Louis Method and system for determining a performance of plasma etch equipment
AU2003220019A1 (en) * 2002-03-20 2003-10-08 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6979578B2 (en) * 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US6784110B2 (en) * 2002-10-01 2004-08-31 Jianping Wen Method of etching shaped features on a substrate
US6919279B1 (en) * 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
TWI246725B (en) * 2002-10-31 2006-01-01 Tokyo Electron Ltd Method and apparatus for detecting endpoint
US6859765B2 (en) * 2002-12-13 2005-02-22 Lam Research Corporation Method and apparatus for slope to threshold conversion for process state monitoring and endpoint detection
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
JP4349848B2 (ja) * 2003-06-12 2009-10-21 パナソニック株式会社 終点検出方法および終点検出装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002280368A (ja) * 1998-12-01 2002-09-27 Hitachi Ltd 絶縁膜のエッチング方法
JP2002170812A (ja) * 2000-12-04 2002-06-14 Matsushita Electric Ind Co Ltd プラズマエッチングの終点検出方法および装置、並びにプラズマエッチング装置

Also Published As

Publication number Publication date
AU2003279826A8 (en) 2004-06-07
WO2004042788A3 (en) 2004-07-15
JP4267575B2 (ja) 2009-05-27
AU2003279826A1 (en) 2004-06-07
TW200414346A (en) 2004-08-01
US20060048891A1 (en) 2006-03-09
TWI240326B (en) 2005-09-21
WO2004042788A2 (en) 2004-05-21
US8048326B2 (en) 2011-11-01
JP2006505137A (ja) 2006-02-09
KR20050063800A (ko) 2005-06-28

Similar Documents

Publication Publication Date Title
KR101015730B1 (ko) 종료점을 사용한 에치특성을 구하는 장치 및 방법
US5846373A (en) Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
JP4754757B2 (ja) 基板のプラズマ処理を調節するための方法、プラズマ処理システム、及び、電極組体
US5885402A (en) Diagnostic head assembly for plasma chamber
US6366346B1 (en) Method and apparatus for optical detection of effluent composition
US5565114A (en) Method and device for detecting the end point of plasma process
KR100586387B1 (ko) 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치
US20040004708A1 (en) Method and system for data handling, storage and manipulation
JP2011249841A (ja) エンドポイントを検出するための方法及び装置
KR20060009359A (ko) 헬스 인덱스 처리 시스템 및 이를 이용한 방법
WO2005111265A1 (en) Method and system of dry cleaning a processing chamber
US8464741B2 (en) Flow control method for multizone gas distribution
Hebner CF, CF2, and SiF densities in inductively driven discharges containing C2F6, C4F8, and CHF3
TW200406835A (en) Method and system of determining chamber seasoning condition by optical emission
KR100263406B1 (ko) 플라즈마처리의종점검지방법및장치
JP2000340550A (ja) プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
US7211196B2 (en) Method and system of discriminating substrate type
US11039527B2 (en) Air leak detection in plasma processing apparatus with separation grid

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J204 Request for invalidation trial [patent]
J301 Trial decision

Free format text: TRIAL DECISION FOR INVALIDATION REQUESTED 20110523

Effective date: 20130416

LAPS Lapse due to unpaid annual fee