KR20060009359A - 헬스 인덱스 처리 시스템 및 이를 이용한 방법 - Google Patents

헬스 인덱스 처리 시스템 및 이를 이용한 방법 Download PDF

Info

Publication number
KR20060009359A
KR20060009359A KR1020057021870A KR20057021870A KR20060009359A KR 20060009359 A KR20060009359 A KR 20060009359A KR 1020057021870 A KR1020057021870 A KR 1020057021870A KR 20057021870 A KR20057021870 A KR 20057021870A KR 20060009359 A KR20060009359 A KR 20060009359A
Authority
KR
South Korea
Prior art keywords
data
processing
processing system
control limit
determining
Prior art date
Application number
KR1020057021870A
Other languages
English (en)
Other versions
KR100976648B1 (ko
Inventor
홍유 유에
히유 에이 램
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060009359A publication Critical patent/KR20060009359A/ko
Application granted granted Critical
Publication of KR100976648B1 publication Critical patent/KR100976648B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Testing And Monitoring For Control Systems (AREA)

Abstract

반도체 제조과정 동안 기판처리용 처리시스템을 모니터링하는 방법이 기술된다. 상기 방법은, 복수의 관찰에 대하여 상기 처리시스템으로부터 데이터를 얻는 단계를 포함한다. 상기 데이터로부터 주성분분석(PCA) 모델을 구성하는 단계를 더 포함하며, 얻어진 데이터의 데이터변수들 중 적어도 하나에 가중인자가 부과된다. 상기 PCA 모델은 추가적인 데이터의 취득과 관련하여 사용되고, 적어도 하나의 통계적 양이 각각의 추가적인 관찰에 대하여 결정된다. 상기 처리 시스템에 대한 제어 한계를 설정하고, 상기 적어도 하나의 통계적 양이 각각의 추가적 관찰에 대하여 상기 제어 한계와 비교된다. 예컨대, 상기 적어도 하나의 통계적 양이 상기 제어 한계를 초과할 때, 상기 처리시스템에 대한 오류가 탐지된다.

Description

헬스 인덱스 처리 시스템 및 이를 이용한 방법{A PROCESS SYSTEM HEALTH INDEX AND METHOD OF USING THE SAME}
본 발명은 헬스 인덱스 처리 시스템 및 이를 이용한 방법에 관한 것으로, 보다 상세하게는 가중된 주성분 분석(WPCA)을 이용한 헬스 인덱스 처리 시스템에 관한 것이다.
반도체 또는 디스플레이 생산 등과 같은 플라즈마 처리의 다양한 단계 동안, 중요한 처리 파라미터들은 상당히 변할 수 있다. 상기 중요한 처리 파라미터가 조금만 변하여도 처리 파라미터들은 시간에 따라 변하여 바람직하지 않은 결과를 초래한다. 작은 변화들은 에칭 가스의 조성 또는 압력, 처리 챔버 또는 웨이퍼 온도에서 쉽게 발생할 수 있다. 상기와 같이, 플라즈마 처리 설비들은 지속적인 모니터링(monitoring)을 요구한다.
주어진 시간에서 이러한 처리 파라미터들을 측정하고 모니터링하는 것은 유익한 데이터를 축적되고 분석되게 한다. 처리 제어 피드백은 처리 파라미터들을 조정하거나 또는 어떤 처리 재료의 실행가능성을 결정하는데 사용될 수 있다. 그러나, 많은 경우에, 처리 특성의 열화를 반영하는 처리 데이터의 변화는 표시된 처리 데이터를 단순히 참고하는 것만으로는 감지되지 않을 수 있다. 초기 단계의 비 정상 및 처리의 특유한 열화를 감지하는 것은 어렵고, 향상된 처리 제어(APC)에 의한 패턴 인식 뿐만 아니라, 종종 오류 탐지 또는 예측을 얻을 필요가 있다.
본 발명은, 반도체 제조과정 동안 기판을 처리하기 위한 처리시스템을 모니터링하는 방법에 있어서, 복수의 관찰로 상기 처리시스템으로부터 복수의 데이터변수들을 포함하는 데이터를 얻는 단계와; 주성분분석을 사용하여 상기 복수의 관찰에 의한 데이터의 하나 이상의 주성분을 결정하는 단계와; 상기 주성분분석 동안 상기 복수의 데이터변수의 적어도 하나에 가중치를 부여하는 단계와; 상기 처리시스템으로부터 추가적인 데이터를 얻는 단계와; 상기 하나 이상의 주성분에 상기 추가적인 데이터를 투영(projection)하여 계산된 하나 이상의 스코어(score)로부터 적어도 하나의 통계적인 양(statistical quantity)을 결정하는 단계와; 상기 적어도 하나의 통계적인 양에 대한 제어 한계를 결정하는 단계; 및 상기 적어도 하나의 통계적인 양을 상기 제어 한계와 비교하는 단계;를 포함하여 구성된다.
본 발명의 상기 및 다른 이점들은 첨부된 도면과 함께 다음의 본 발명의 예시적인 실시예의 상세한 설명으로부터 보다 명백하고 쉽게 이해될 것이다.
도1은 본 발명의 바람직한 실시예에 의한 재료 처리 시스템을 도시한다.
도2는 본 발명의 일실시예에 의한 재료 처리 시스템을 도시한다.
도3은 본 발명의 다른 일실시예에 의한 재료 처리 시스템을 도시한다.
도4는 본 발명의 다른 일실시예에 의한 재료 처리 시스템을 도시한다.
도5는 본 발명의 추가적인 일실시예에 의한 재료 처리 시스템을 도시한다.
도6은 주성분 분석(PCA) 모델용 그래픽 사용자 인터페이스(GUI)를 나타낸다.
도7A는 정규 PCA 모델용 기판 구동 함수로서 모델(DModX) 통계적 양에 대한 거리를 나타낸다.
도7B는 가중된 PCA 모델용 기판 구동 함수로서 모델(DModX) 통계적 양에 대한 거리를 나타낸다.
도8은 도 7B의 가중 PCA 모델에 대한 컨트리뷰션 플롯을 나타낸다.
도9는 본 발명의 일실시예에 의한 반도체제조과정 동안 기판 처리용 처리 시스템을 모니터링하는 방법을 나타낸다.
본 발명의 일실시예에 의하면, 처리 도구(10) 및 처리작업 모니터링 시스템(100)을 포함하는 재료 처리 시스템(1)이 도 1에 도시된다. 처리작업 모니터링 시스템(100)은 복수의 센서(50)와 제어기(55)를 포함한다. 택일적으로, 재료 처리 시스템(1)은 복수의 처리 도구(10)를 포함할 수 있다. 센서(50)는 도구 데이터를 측정하기 위해 처리 도구(10)에 연결되고, 제어기(55)는 도구 데이터를 수신하기 위해 센서(50)에 연결된다. 택일적으로, 제어기(55)는 처리 도구(10)에 더 연결된다. 또한, 제어기(55)는 도구 데이터를 사용하여 처리 도구에 대한 데이터 처리작업을 모니터링하기 위해 구성된다. 처리작업 데이터는 예를 들면 처리오류의 감지를 포함할 수 있다.
도1에 도시된 실시예에서, 재료 처리 시스템(1)은 재료 처리용 플라즈마를 이용한다. 바람직하게는, 재료 처리 시스템(1)은 에칭 챔버를 포함한다. 택일적으로 재료 처리 시스템(1)은 예를 들면 포토레지스트 스핀 코팅 시스템과 같은 포토레지스트 코팅 챔버, 예를 들면 자외선(UV) 리소그래피 시스템과 같은 포토레지스트 패터닝 챔버, 스핀-온-글래스(SOG) 또는 스핀-온-유전체(SOD) 시스템과 같은 유전체 코팅 챔버, 화학기상증착(CVD) 시스템 또는 물리기상증착(PVD)시스템과 같은 증착 챔버, 예를 들면 열 어닐링(thermal annealing)용 RTP 시스템과 같은 급속 열 처리(RTP)챔버, 또는 배치처리 수직로(batch-processing vertical furnace)를 포함한다.
도 2에 도시된 본 발명의 실시예에 의하면, 재료 처리 시스템(1)은 처리 도구(10), 처리될 기판(25)이 그 위에 부착된 기판 홀더(20), 기체 분사 시스템(40) 및 진공 펌프 시스템(58)을 포함한다. 기판(25)은 예를 들면 반도체 기판, 웨이퍼 또는 액정 디스플레이(LCD)일 수 있다. 처리 도구(10)는 예를 들면 기판(25)의 표며에 인접한 처리 영역(45)에서 플라즈마의 생성을 용이하게 하도록 구성될 수 있고, 여기서 플라즈마는 가열된 전자와 전리된 기체의 충돌을 통해 형성된다. 전리된 기체 또는 기체의 혼합물은 가스 분사 시스템(40)을 경유하여 도입되고, 처리 압력이 조정된다. 바람직하게는, 플라즈마는 소정의 재료 처리에 특정한 재료을 형성하고 기판(25)에 재료을 증착하거나, 기판(25)의 노출된 표면으로부터 재료을 제거하는 것을 돕는데 사용된다. 예를 들면, 제어기(55)는 진공 펌프 시스템(58)과 가스 분사 시스템(40)을 제어하기 위해 사용될 수 있다.
기판(25)은 예를 들면, 로봇 반송 시스템에 의하여 슬롯(slot) 밸브(미도시) 및 챔버 피드-스루(feed-through)(미도시)를 통하여 처리 도구(10)로 출납될 수 있으며, 상기 기판은 기판 홀더(20) 안에 장착되고 기판 홀더 내에 장착된 장치들에 의하여 기계적으로 이동되는 기판 리프트 핀(lift pin)(미도시)에 의해 수용된다. 기판(25)이 기판 전달 시스템으로부터 수용되면, 기판 홀더(20)의 상부면으로 하강된다.
예를 들면, 기판(25)은 정전 클램핑(electrostatic clamping) 시스템(28)에 의해 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는 기판 홀더(20)로부터 열을 수용하고 열 교환 시스템(미도시)에 열을 전달하는, 또는 가열시 열 교환 시스템으로부터 열을 전달하는 재-순환 냉각제 흐름을 포함하는 냉각 시스템을 더 포함할 수 있다. 또한, 기체는 기판(25)과 기판 홀더(20)와의 기체-간극 열 전도성을 향상시키기 위해 후면 기체 시스템(26)을 통해 기판의 후면에 전달될 수 있다. 상기 시스템은 올라가거나 감소된 온도에서 기판의 온도 제어가 요구될 때 이용될 수 있다. 예를 들면, 기판의 온도 제어는 플라즈마로부터 기판(25)에 전달되는 열 유속(heat flux)과 기판 홀더(20)의 전도에 의해 기판(25)로부터 제거된 열 유속의 평형에 의해 달성된 정상상태(steady state)온도를 초과한 온도에서 유용할 수 있다. 다른 실시예에서, 저항성 가열 소자 또는 열-전기 가열기/냉각기와 같은 가열 소자들이 포함될 수 있다.
도 2에 도시된 바와 같이, 기판 홀더(20)는 처리 영역(45)에서 RF 파워를 플라즈마와 결합시키는 전극을 포함한다. 예를 들면, 기판 홀더(20)는 임피던스정합 회로망을 통해 RF 생성기(30)로부터 기판 홀더(20)에 RF 파워의 전송을 통해 RF 전 압에서 전기적으로 바이어스(bias)될 수 있다. RF 바이어스는 플라즈마를 형성하고 유지하기 위해 전자를 가열하는 역할을 할 것이다. 이 구성에서, 시스템은 리액티브 이온 에칭(RIE) 리액터로서 동작할 수 있고, 여기서 챔버와 상부 가스 분사 전극은 접지면으로 작용한다. RF 바이어스를 위한 보통의 주파수는 1MHz에서 100MHz의 영역에 있고, 바람직하게는 13.56MHz이다.
택일적으로, RF 파워는 복수의 주파수에서 기판 홀더 전극에 인가될 수 있다. 또한, 임피던스 정합 회로망(32)은 반사되는 파워를 최소화함으로써 처리 챔버(10)내에서 플라즈마로의 RF 파워 전달을 최대화한다. 다양한 정합 회로망 토폴로지들(예를 들면, L-형, π-형, T-형, 등) 및 자동 제어 방법이 이용될 수 있다.
계속해서 도2를 참조하면, 처리 기체는 예를 들면, 기체 분사 시스템(40)을 통해 처리 영역(45)에 도입될 수 있다. 처리 기체는 예를 들면, 산화 에칭 적용을 위한 아르곤, CF4 및 O2, 또는 아르곤, C4F8 및 O2와 , 다른 화학재료들, 예를 들면 O2/CO/Ar/C4F8, O2/CO/Ar/C5F8, O2/CO/Ar/C4F6,O2/Ar/C4F6, N2/H2을 포함할 수 있다. 기체 분사 시스템(40)은 샤워헤드(showerhead)를 포함하고, 처리 기체는 기체 운반 시스템(미도시)로부터 기체 분사 공간(미도시), 일련의 격벽(미도시) 및 멀티오리피스 샤워헤드 기체 분사판(미도시)을 통해 처리 영역(45)에 공급된다.
진공 펌프 시스템(58)은 예를 들면, 초당 5000리터까지 펌핑 속도를 낼 수 있는 터보-분자 진공 펌프(TMP) 및 챔버 압력을 감압하기 위한 게이트 밸브를 포함한다. 건식 플라즈마 에칭에 이용되는 종래의 플라즈마 처리 장치에서는, 초당 1000~3000 리터의 TMP가 일반적으로 이용되었다. TMP는 일반적으로 50 mTorr 이하인 저압 처리에 유용하다. 고압에서는, 상기 TMP 펌프속도가 크게 떨어진다. 고압처리(즉, 100 mTorr이상)를 위해, 기계적인 부스터(booster)펌프와 건식 러핑(roughing)펌프가 이용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(미도시)는 처리 챔버(16)에 연결된다. 압력 측정 장치는 예를 들면 MKS 인스트루먼트 주식회사(앤도버, MA)에서 상업적으로 생산되는 타입 628B 바라트론(Baratron) 절대 커패시턴스 압력계일 수 있다.
도1에 도시된 바와 같이, 처리작업 모니터링 시스템(100)은 도구 데이터를 측정하기 위해 처리 도구(10)에 연결된 복수의 센서들(50) 및 도구 데이터를 수신하기 위해 센서들(50)에 연결되는 제어기(55)를 포함한다. 센서들(50)은 처리 도구(10)에 고유한 센서들과 처리도구(10)와 무관한 센서들을 포함할 수 있다. 처리 도구(10)에 고유한 센서들은 헬륨 후면 가스 압력, 헬륨 후면 흐름, 정전 클램핑(ESC)전압, ESC 전류, 기판 홀더(20)의 온도(또는 하부 전극(LEL)온도), 냉각제 온도, 상부 전극(UEL) 온도, 전향 (forward) RF 파워, 반사된 RF 파워, RF 자기 유도 DC 바이어스, RF 피크(peak) 대 피크 전압, 챔버 벽 온도, 처리 가스플로우레이트, 처리 가스 분압, 챔버 압력, 커패시터 설정(즉, C1과 C2의 위치), 포커스링(focus ring) 두께, RF 시간, 포커스링 RF 시간의 측정 및 그 어떤 통계값과 같은 처리 도구(10)의 기능에 속하는 센서들을 포함할 것이다. 택일적으로, 처리 도구(10)와 관계없는 센서들은 도2에 도시된 바와 같이 처리 영역(45)에서 플라즈마로부터 방 출된 광을 모니터링하기 위한 광감지장치(34) 또는 도 2에 도시된 바와 같이 처리 도구(10)의 전기적 시스템을 모니터링하기 위한 전기적 측정 장치(36)와 같은 처리 도구(10)의 기능과 직접적으로 관련되지 않은 것을 포함할 수 있다.
광감지장치(34)는 플라즈마로부터 방출된 전체 광의 세기를 측정하기 위한 (실리콘)포토다이오드 또는 광전증배관(PMT)를 포함할 수 있다. 광감지장치(34)는 도한 협대역 간섭 필터와 같은 광학 필터를 포함할 수 있다. 택일적인 실시예에서, 광감지장치(34)는 라인 CCD(charge coupled device) 또는 CID(charge injection device) 어레이 및 회절격자 또는 프리즘과 같은 광 분산장치를 포함한다. 부가적으로, 광감지장치(34)는 주어진 파장에서 광을 측정하기 위한 모노크로마토(monochromator) 또는 예를 들면 미국특허 제5,888,337호에서 설명된 장치와 같은 광 스펙트럼을 측정하기 위한 분광기(예를 들면, 회절격자를 회전시켜서)를 포함할 수 있다.
광감지장치(34)는 피크 센서 시스템으로부터 고해상도의 OES 센서를 포함할 수 있다. 상기 OES센서는 자외선(UV), 가시광선(VIS) 및 적외선(NIR)근처의 광 스펙트럼에 걸치는 넓은 스펙트럼을 가진다. 해상도는 약 1.4 옹스트롬으로, 즉 센서는 240에서 1000nm까지 5550 파장들을 수집할 수 있다. 센서는 차례로 2048 픽셀 선형 CCD 어레이가 결합된 고감도 미니어쳐 광섬유 UV-VIS-NIR 분광계를 갖추고 있다.
분광계는 단일 및 다발로 된 광 섬유를 통해 전송된 광을 수신하고, 광섬유로부터의 출력은 고정된 회절격자를 이용하여 라인 CCD 어레이를 가로질러 분산된 다. 위에서 기술한 구성과 유사하게, 광학 진공 창(window)을 통한 광방출은 볼록 구형 렌즈를 통해 광섬유의 입력단부에 모아진다. 각각 주어진 스펙트럼 영역(UV, VIS 및 NIR)에 특정하게 맞추어진 3개의 분광계는 처리 챔버용 센서를 형성한다. 각각의 분광계는 독립적인 A/D 컨버터를 포함한다. 또한, 끝으로 , 센서 이용에 의존하여, 전체 방출 스펙트럼은 0.1에서 1.0초마다 기록될 수 있다.
전기적 측정장치(36)는 예를 들면, 전류 및/또는 전압 프로브(probe), 파워 계측기 또는 스펙트럼 분석기를 포함할 수 있다. 예를 들면, 플라즈마 처리 시스템은 흔히 동축 케이블 또는 구조물과 같은 RF 전송선이 전기적 결합소자(즉, 유도성 코일, 전극 등)를 통해 플라즈마에 RF에너지를 결합하기 위해 사용되는 경우 플라즈마를 형성하기 위해 RF 파워를 이용한다. 예를 들면 전류-전압 프로브를 사용하는 전기적 측정은 RF 전송선과 같은 전기적(RF) 회로 내 어디에서도 실시될 수 있다. 또한, 전압 또는 전류의 시간 추적과 같은 전기적 신호의 측정은 이산 푸리에 급수 표현(주기적인 신호로 가정하여)을 사용하여 주파수 공간으로 신호를 변환한다.
그 후에, 푸리에 스펙트럼(또는 시변(time-varying) 신호, 주파수 스펙트럼에 대해)은 재료 처리 시스템(10)의 상태의 특성을 나타내기 위해 모니터링되고 분석될 수 있다. 전압-전류 프로브는 예를 들면 2001년 1월 8일에 제출된 계류중인 미국특허출원 일련번호 60/259,862 및 미국특허 제 5,467,013에서 설명된 장치일 수 있으며, 각각은 여기서 그 전체로 참조에 의해 결합된다.
택일적인 실시예에서, 전기적 측정 장치(36)는 재료 처리 시스템(1)의 외부 에 방출된 RF 필드(field)을 측정하는 데 유용한 광대역 RF 안테나를 포함할 수 있다. 상업적으로 이용가능한 광대역 RF 안테나는 안테나 리서치(Antenna Research) 모델 RAM-220(0.1MHz에서 300MHz)와 같은 광대역 안테나이다.
일반적으로 복수의 센서(50)는 제어기(55)에 도구 데이터를 제공하기 위해 처리 도구(10)에 결합될 수 있는 어떤 수의 고유 및 외부 센서들을 포함할 수 있다.
제어기(55)는, 재료 처리 시스템(1)으로부터의 출력을 모니터링 할 뿐 아니라 재료 처리 시스템(1)에 입력을 전달하고 활성화시키는 데 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트(잠재적으로 D/A 및/또는 A/D 컨버터를 포함하는)와, 마이크로프로세서, 메모리를 포함한다. 도2에 도시된 바와 같이, 제어기(55)는 RF 생성기(30), 임피던스 정합 회로망(32), 기체 분사 시스템(40), 진공 펌프 시스템(58), 후면 기체 전달 시스템(26), 정전 클램핑 시스템(28), 광감지장치(34) 및 전기적 측정 장치(36)에 연결되고 정보를 교환할 수 있다. 상기 메모리에 저장된 프로그램은 저장된 처리법에 따라 재료 처리 시스템의 상술한 성분과 상호작용하기 위하여 사용될 수 있다. 제어기(55)의 일례는 델 주식회사(오스틴, 텍사스)에서 생산되는 DELL PRECISION WORKSTATION 530TM이다. 제어기(55)는 재료 처리 시스템(1)에 대하여 부분적으로 위치할 수 있고, 또는 재료 처리 시스템(1)에 대해 멀리 위치할 수 있다. 예를 들면, 제어기(55)는 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 통해 재료 처리 시스템(1)과 정보를 교환할 수 있다. 제어기(55)는 예를 들면, 소비자 위치(즉, 장치 생산자 등)에서 인트라넷에 연결될 수 있고, 또는 벤더(vendor) 위치(즉, 설비 생산자)에서 인트라넷에 연결될 수 있다. 부가적으로, 예를 들면 제어기(55)는 인터넷에 연결될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)는 예를 들면 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 통해 데이터를 교환하도록 제어기(55)에 액세스할 수 있다.
도3에 도시된 바와 같이, 재료 처리 시스템(1)은 자기장 시스템(60)을 포함할 수 있다. 예를 들면, 자기장 시스템(60)은 플라즈마 밀도를 잠재적으로 증가시키고/거나 재료 처리 균일성을 향상시키기 위해 고정, 또는 기계적으로나 전기적으로 회전하는 DC 자기장을 포함할 수 있다. 또한, 제어기(55)는 필드의 세기 또는 회전속도를 통제하기 위해 자기장 시스템(60)에 연결될 수 있다.
도4에 도시된 바와 같이, 재료 처리 시스템은 상부 전극(70)을 포함할 수 있다. 예를 들면, RF 파워는 임피던스 정합회로망(74)을 통해 RF 생성기(72)로부터 상부 전극(70)에 결합될 수 있다. 상부 전극에 인가되는 RF 파워의 주파수는 바람직하게는 10MHz에서 200MHz의 범위에 있고, 바람직하게는 60MHz이다. 부가적으로, 하부 전극에 인가되는 파워의 주파수는 0.1MHz에서 30MHz이고, 바람직하게는 2MHz이다. 또한, 제어기(55)는 상부전극(70)에 대한 RF 파워의 인가를 제어하기 위해 RF생성기(72) 및 임피던스정합회로망(74)에 결합될 수 있다.
도 5에 도시된 바와 같이, 도 1의 재료 처리 시스템은 유도성 코일(80)을 포함할 수 있다. 예를 들면, RF 파워는 임피던스 정합 회로망(84)을 통해 RF생성기(82)로부터 유도성 코일(80)에 결합될 수 있고, RF파워는 유전체창(미도시)을 통해 유도성 코일(80)로부터 플라즈마 처리영역(45)까지 유도적으로 결합될 수 있다. 유도성 코일(80)에 인가되는 RF 파워의 주파수는 바람직하게는 10MHz에서 100MHz이고, 바람직하게는 13.56MHz이다. 유사하게, 척(chuck) 전극에 인가되는 파워의 주파수는 바람직하게는 0.1MHz에서 30MHz이고, 바람직하게는 13.56MHz이다. 또한, 홈이 파인 패러데이 차폐물(Faraday shield)은 유도성 코일(80)과 플라즈마 사이에 용량성 결합을 감소시키기 위해 사용될 수 있다. 또한, 제어기(55)는 유도성 코일(80)에 인가되는 파워를 제어하기 위해 RF 생성기(82) 및 임피던스 정합회로망(84)에 결합될 수 있다. 택일적인 실시예에서, 유도성 코일(80)은 트랜스포머 결합 플라즈마(TCP) 리액터에서와 같이 상기로부터 플라즈마 처리 영역(45)과 연결되는 "나선형" 코일 또는 "팬케익형" 코일일 수 있다.
택일적으로, 플라즈마는 전자 싸이클로트론 공진(ECR)을 이용하여 형성될 수 있다. 또 다른 실시예에서, 플라즈마는 헬리콘파의 발사(launching)로부터 형성될 수 있다. 다른 실시예에서, 플라즈마는 표면진행파로부터 형성될 수 있다.
상기에서 논의한 바와 같이, 처리작업 모니터링 시스템(100)은 복수의 센서와 제어기(55)를 포함하고, 센서(50)는 처리 도구(10)에 연결되고, 제어기(55)는 도구 데이터를 수신하기 위해 센서(50)에 연결된다. 제어기(55)는 또한 센서(50)로부터 수신한 도구 데이터를 최적화하고, 그 도구 데이터간의 관계(모델)를 결정하고, 오류 감지를 위한 관계(모델)를 사용하기 위해 적어도 하나의 알고리즘을 실행할 수 있다.
상당한 수의 변수를 포함하는 거대한 데이터 세트를 마주할 때, 다변수 분석 (MVA)이 자주 적용된다. 예를 들면, 이러한 MVA 기술 중 하나는 주성분 분석(PCA)을 포함한다. PCA에서, 모델은 데이터의 많은 세트, 다차원 가변 공간에서 가장 큰 변화를 나타내는 신호로부터 추출되어 조합될 수 있다.
예를 들면, 주어진 기판 구동 또는 시간적으로 아주 짧은 순간에 대한 각각의 데이터 세트는, 행렬
Figure 112005065930417-PCT00001
의 행(row)으로 저장될 수 있고, 따라서, 행렬
Figure 112005065930417-PCT00002
가 조합되면 각각의 행은 다른 기판 구동 또는 순시값을 나타내고, 각각의 열(column)은 복수개의 센서(50)에 대응하는 다른 데이터 신호(또는 데이터 변수)를 나타낸다. 그러므로, 행렬
Figure 112005065930417-PCT00003
가 m x n 차원의 직사각형 행렬이다. 데이터가 행렬에 저장되면, 데이터는 필요하다면 바람직하게는 평균-중심화(mean-centered) 및/또는 정규화(normalized)된다. 행렬의 열안에 저장된 데이터의 평균 중심화 처리는 열 구성요소의 평균 값을 계산하고, 각각의 구성요소로부터 평균값을 빼는 것을 포함한다. 또한, 행렬의 열안에 존재하는 데이터는 열안의 데이터의 표준 편차를 결정함으로써 정규화될 수 있다.
PCA 기술을 이용하여, 낮은 차원의 행렬곱
Figure 112005065930417-PCT00004
에 오차 행렬
Figure 112005065930417-PCT00005
을 더하여 행렬
Figure 112005065930417-PCT00006
에 근사시킴으로써 행렬
Figure 112005065930417-PCT00007
내에서의 상관 구조가 결정된다. 즉,
Figure 112005065930417-PCT00008
여기서,
Figure 112005065930417-PCT00009
Figure 112005065930417-PCT00010
변수들을 더한 스코어(score)의 (m x p)행렬이고,
Figure 112005065930417-PCT00011
는 변수의 영향을 나타내는 로딩(loading)의 a(n x p, 여기서 p≤n) 행렬이다.
대개, 상기 로딩 행렬(loading matrix)
Figure 112005065930417-PCT00012
Figure 112005065930417-PCT00013
의 공분산 행렬(covariance matrix)의 고유벡터(eigenvector)를 포함하도록 나타낼 수 있으며, 상기 공분산 행렬
Figure 112005065930417-PCT00014
는 다음과 같이 나타낼 수 있다.
Figure 112005065930417-PCT00015
상기 공분산 행렬
Figure 112005065930417-PCT00016
는, 실수(實數)의 대칭 행렬이며, 따라서 다음 식과 같이 나타낼 수 있고,
Figure 112005065930417-PCT00017
상기 실수의 대칭 고유벡터행렬
Figure 112005065930417-PCT00018
는 열(columnn)로서 정규화된(nomalized) 고유벡터를 포함하며,
Figure 112005065930417-PCT00019
는 대각선 방향을 따르는 각 고유벡터에 상응하는 고유치(eigenvalue)를 포함하는 대각행렬이다. 식(1) 및 식(3)(p=n인 풀 행렬(full matrix), 즉 오차가 없는 행렬(no error matrix)에 대하여)을 사용하면,
Figure 112005065930417-PCT00020
Figure 112005065930417-PCT00021
로 나타낼 수 있다.
상기한 고유치해석(eigenanalysis)의 결과, 각 고유치는 n 차원공간내에 있는 대응되는 고유벡터의 방향으로 상기 데이터의 분산(variance)을 나타낸다. 따라서, 최대 고유치는 n차원공간내에 있는 상기 데이터의 최대분산에 해당하는 반면, 최소 고유치는 상기 데이터의 최소분산을 나타낸다. 정의에 따라서, 모든 고유벡터는 직교성(orthogonal)이며, 따라서 상기 제2의 최대 고유치는, 물론 상기 제1 고유벡터 방향에 수직인 대응되는 고유벡터 방향에 있는 데이터의 제2 최대분산에 해당한다. 대개, 이러한 분석에 관하여, 여러 개의(3~4개, 또는 그 이상) 제1의 최대 고유치가 상기 데이터에 근사하도록 선택되고, 상기 근사화의 결과로, 오차
Figure 112005065930417-PCT00022
가 상기 식(1)에 대입된다. 요약하면, 일단 고유치와 이에 상응하는 고유벡터의 세트가 결정되면, 상기 최대 고유치의 세트가 선택될 수 있고, 상기 식(1)의 오차 행렬(error matrix)
Figure 112005065930417-PCT00023
가 결정될 수 있다.
PCA 모델링에 사용되는 상업적으로 유용한 소프트웨어의 예로서 SIMCA-P 8.0이 있으며, 더 자세한 것은 사용자 매뉴얼(SIMCA-P 8.0에 대한 사용자 안내서:다변량데이터분석에 있어서의 뉴 스탠다드, Umetrics AB, 버전 8.0, 1999년 9월)을 참조하기로 한다. 상기 매뉴얼의 내용은 참조를 위하여 본 명세서에 편입될 수 있다. SIMCA-P 8.0을 사용하여,
Figure 112005065930417-PCT00024
의 각 변수와 성분에 의한 각 변수
Figure 112005065930417-PCT00025
의 총변동을 기술하는 각 성분의 능력에 관한 추가적인 정보 뿐만 아니라, 예컨대 스코어 행렬(score matrix)
Figure 112005065930417-PCT00026
와 로딩 행렬
Figure 112005065930417-PCT00027
를 결정할 수 있다.
또, SIMCA-P 8.0은, 관찰모델(DModX)에 대한 절대거리 또는 관찰에 대한 호텔링 T2 파라미터, 또는 Q 통계(예컨대, Q2 은 성분에 의하여 예측될 수 있는 변수 데이터 Xi 의 총 변동비율을 나타낸다)와 같은 다른 통계학적인 양을 더 산출할 수 있다. 상기 모델 DModX에 대한 거리는 다음 식과 같이 계산될 수 있으며,
Figure 112005065930417-PCT00028
v는 수정인자(correction factor), K는 변수의 수, A는 모델 차원(model dimension)의 수, eik 는 최초값과 ith 관찰에 대한 투영값(기판 구동, 순시값 등)과 Kth 변수 사이의 편차를 나타낸다. 예컨대, PCA 모델(로딩행렬
Figure 112005065930417-PCT00029
등)은 (많은 관찰에 대한
Figure 112005065930417-PCT00030
를 합하고, SIMCA-P를 사용하여 PCA모델을 결정하는) 데이터의 "트레이닝(training)" 세트를 사용하여 구성될 수 있다. 상기 PCA 모델이 일단 구성되면, 상기 PCA 모델상으로 새로운 관찰을 투영하는 것이, 식 (1)과 같이 잔차 행렬(residual m atrix)
Figure 112005065930417-PCT00031
를 결정하기 위하여 사용될 수 있다.
유사하게, 호텔링 T2은 다음 식과 같이 계산될 수 있으며,
Figure 112005065930417-PCT00032
tia는 ith 관찰(기판 구동, 순시값 등)과 ath 모델 차원에 대한 스코어이다. 예를 들면, PCA 모델(로딩 행렬
Figure 112005065930417-PCT00033
등)은 (많은 관찰에 대한
Figure 112005065930417-PCT00034
를 합하고, SIMCA-P를 사용하여 PCA모델을 결정하는) 데이터의 "트레이닝(training)" 세트를 사용하여 구성될 수 있다. 일단, 상기 PCA 모델이 일단 구성되면, 상기 PCA 모델상으로 새로운 관찰을 투영하는 것이, 새로운 스코어 행렬
Figure 112005065930417-PCT00035
를 결정하기 위하여 사용될 수 있다.
모델 DModX에 대한 거리 또는 호텔링 T2와 같은 통계학적 양이 처리를 위하여 모니터링되고, 이 양이 소정의 제어 한계를 초과할 때, 처리오류가 탐지되는 것이 전형적이다. 그러나, 상술한 바와 같이 구성된 PCA 모델은 여러 가지 부족한 점을 가질 수 있다. 예를 들면, 그 변화가 상기 처리와 제품 품질에 중요한 의미를 가지는 변수들이 충분한 민감도를 나타내지 못할 수 있다. 또한, 예를 들면, 그 모델링 변화가 매우 작은 변수들은, 단지 상기 모델을 자동적으로 구성함에 의하여 과도하게 가중치가 부여될 수 있다. 따라서, 본 발명의 일 실시예는 각 변수에 가중인자(weighting factor)를 적용함으로써, 변수들의 상대적 중요성을 조정하고 있다. 이 과정에 있어서, 다음 중 어느 하나가 달성될 수 있다;(ⅰ)중요한 변수들은 강조될 수 있고;(ⅱ)덜 중요한 변수들은 덜 강조되어, 총오차(gross error)를 탐지하기 위하여 상기 변수들이 유지되며, (ⅲ)가짜 경보(false alarm)는 감소될 수 있고, (ⅳ)진짜 경보(true alarm)는 보다 쉽게 식별할 수 있고,(ⅴ)모델 파 라미터들은 일정한 오류에 대하여 민감하도록 조절될 수 있다.
상기 가중인자(W)는, 예컨대 다음 파라미터의 적어도 하나에 근거한 것이다;모델링 데이터 표준편차(So); 상기 변수의 바람직한 표준편자(Sd); 상기 변수의 상대적 중요도(f); 및 센서 해상도(R). 상기 모델링 데이터 표준편차는, 예컨대 상술한 것과 같은 특별한 변수에 대한 데이터의 "트레이닝" 세트의 표준편차가 될 수 있다. 예를 들면, 단위 분산 스캘링(unit variance scaling)을 가정하면, 상기 가중인자(W)는 다음 식과 같이 표현될 수 있다.
Figure 112005065930417-PCT00036
일단 각 변수에 대한 가중인자가 결정되면, 상기 PCA모델은 그러한 가중치가 포함되도록 수정될 수 있다. 예를 들면, 도6에 나타난 바와 같이, SIMCA-P는 그래픽 사용자 인터페이스(GUI)에 영상으로 제공되어, 가중인자(또는 수정기(modifier) )로 사용될 수 있다.
택일적으로, 상기 가중인자는 그룹 스캘링법(group scaling method)을 이용하여 각 변수에 대하여 설정될 수도 있다. 일례에서, 표1은 도2 내지 도5에 도시된 것과 같은 플라즈마 처리시스템을 위한 도구 데이터의 예로서, 61개의 도구 데이터 파라미터를 포함한다. 상기 표에서, C1 위치(C1 POSITION), C2 위치(C2 POSITION), RF VPP, 저온(LOWER TEMP), 반사 RF(RF reflect) 및 RF VDC와 같은 중요한 변수들에 대해서는 가중인자 W=1로; APC와 같은 덜 중요한 변수들에 대해서 가중인자 W=0.5로; 단계(STEP), ESC 전압, ESC 전류, 매그니튜드, 상(phase) 및 압 력과 같은 더욱 덜 중요한 변수들에 대해서는, 가중인자 W=0.1로; RF 포워드와 같은 더더욱 덜 중요한 변수들에 대해서는, 가중인자 W=0.05로; HE E PRES, HE C PRES, HE E FLOW 및 HE C FLOW와 같은 가장 덜 중요한 변수들에 대해서는, 가중인자 W=0.01로 설정될 수 있다.
이 예를 계속 참조하면, 접촉산화물 에칭공정(contact oxide etch process)이 PCA 모델을 구성하기 위하여 사용되었다. 상기 에칭공정은, 모든 추적 파라미터(trace parameter)가 기록되는 동안에, 160초의 일정한 시간을 포함한다. 약 2000개의 기판들이 상기 PCA 모델을 구성하기 위하여 구동되었다. 더욱이, 표준 PCA모델(정규 PCA)와 가중된 PCA 모델(WPCA)을 포함하는 2개의 모델이 만들어졌다. 두 경우에 있어, 통계학적 양 DModX가 각 관찰(또는 기판 구동)에 대하여 계산되고, 작은 편차를 무시하기 위하여 5의 값이 제어 한계로 설정되었다. 도7A는 정규 PCA모델에 대한 DModX를 나타내며, 도7B는 가중된 PCA모델에 대한 DModX를 나타낸다. 도7A와 도7B를 참조하면, 상기 정규 PCA모델에서 3개의 이상값(outlier)(대략, 기판 구동 300, 1100 및 1850에서)을 확인할 수 있으며, 가중 PCA모델에서는 단지 1개의 이상값(대략, 기판 구동 1100에서)을 확인할 수 있다. 도8과 같은 컨트리뷰션 플롯(contribution plot)을 참조하면, 두 개의 다른 이상값은 GAS 6 플로우에서 발생한다. 그러나, "정상"과 "비정상" 사이의 차이는 단지 0.00065이며, 따라서, 정규 PCA모델은 덜 중요한 변수 중 어떤 것에 대해서 너무 민감하다.
[표1: 도구 데이터의 예]
Figure 112005065930417-PCT00037
다른 예에서, C1 위치에 대한 정상 범위는 670~730이고, 하나의 기판에 대해서 C1 위치는 550으로 바뀐다(총에러). 상기 정규 PCA 모델에서, 총에러가 생기면 DModX의 값은 0.96에서 1.48로 작게 변화하는 것이 기록된다. 그러나, 상기 가중 PCA 모델에서는, 총에러가 생기면 DModX의 값은 2.03에서 8.49로 크게 변화하는 것이 기록된다.
도9는 반도체 제조과정 동안 기판을 처리하기 위한 처리시스템을 모니터링하는 방법을 설명하는 플로우 차트를 나타내고 있다. 상기 방법(500)은, 복수의 관찰에 의하여 처리시스템으로부터 데이터를 얻는 단계(510)로부터 출발한다. 상기 처리시스템은, 예를 들어 에칭 시스템 또는 도1에 나타난 다른 처리시스템일 수 있다. 상기 처리시스템으로부터의 데이터는 처리시스템과 제어기에 연결된 복수의 센서를 사용하여 얻어질 수 있다. 상기 데이터는, 예컨대 에칭시스템에 대한 표1에 나타난 데이터변수들 중 하나를 포함할 수 있다. 추가적인 데이터는, 예컨대 광학 에미션 스펙트럼(optical emission spectra), 전압의 RF 고조파(harmonics) 및/또는 전류 측정치 또는 방사 RF 에미션 등을 포함할 수 있다. 각 관찰은 기판 구동, 순시값, 시간 평균 등에 관계된 것이다.
520 단계에서는, 530 단계에서 상기 데이터를 대표하는 하나 이상의 주성분들을 결정하고, 540 단계에서 얻어진 데이터의 데이터변수들에 가중치를 부여함에 의하여, 상기 얻어진 데이터로부터 PCA 모델이 구성된다. 예컨대, SIMCA-P와 같은 상업적으로 유용한 소프트웨어가 상기 PCA 모델을 만들기 위하여 사용될 수 있다. 추가적으로, 상기 데이터변수의 가중작업은, 데이터 표준편차, 상기 데이터 변수의 바람직한 표준편차, 상기 데이터변수의 상대적 중요성 및 상기 데이터변수의 데이터 해상도 중 적어도 하나를 사용할 수 있다. 택일적으로, 상기 가중작업은 그룹 스캘링법을 사용할 수 있다.
550단계에서, 추가적인 데이터가 처리시스템으로부터 얻어지고, 560 단계에서, 상기 추가적인 데이터와 PCA 모델로부터 적어도 하나의 통계적인 양이 결정된다. 예를 들어, 상기 추가적인 데이터를 상기 하나 이상의 주성분에 투영하여 스코어의 세트를 결정할 수 있으며, 상기 스코어의 세트를 상기 주성분에 역투영하여 하나 이상의 잔여 오차(residual error)를 결정할 수 있다. 상기 스코어의 모델 세트 또는 하나 이상의 잔여 오차와 함께 스코어의 각 세트를 사용하여, 각 추가적 관찰에 대한 상기 모델(DModX)에 대한 거리 또는 호텔링 T2 파라미터와 같은 적어도 하나의 통계적 양이 결정될 수 있다.
570 단계에서, 제어 한계가 설정될 수 있으며, 580 단계에서 적어도 하나의 통계적 양이 상기 제어 한계와 비교될 수 있다. 상기 제어 한계는 주관적방법(subjective method) 또는 경험적방법(empirical method)을 사용하여 설정될 수 있다. 예컨대, 상기 모델 파라미터 DModX에 대한 거리를 사용할 때, 상기 제어 한계는 5의 값으로 설정될 수 있다(도7A 및 도7B 참조). 또한, 예컨대 호텔링 T2 파라미터를 사용할 때는, 상기 제어 한계는 100의 값으로 설정될 수 있다. 택일적으로, 예컨대 상기 제어 한계는, χ2 분포와 같은 통계적인 양에 대한 이론적 분포를 가정함에 의하여 확립될 수 있다. 그러나, 상기 관찰된 분포는 이론에 의하여 입증되어야 한다. 만약 적어도 하나의 통계적 양이 상기 제어 한계를 초과하면, 상기 처리시스템의 오류가 590단계에서 감지되고, 600단계에서 작업자에게 알려질 수 있다.
상기에서는 본 발명에 대하여 비록 단지 특정의 실시예만이 설명되었지만, 당업자라면 본 발명의 신규한 개시사항이나 장점으로부터 실질적으로 벗어나지 않고도 많은 변형예를 용이하게 생각할 수 있을 것이다. 따라서, 그러한 모든 변형예들도 본 발명의 범위 내에 포함될 것이다.

Claims (18)

  1. 반도체 제조과정 동안 기판처리용 처리시스템을 모니터링하는 방법에 있어서,
    복수의 관찰로 상기 처리시스템으로부터 복수의 데이터변수들을 포함하는 데이터를 얻는 단계와;
    주성분분석(principal components analysis)을 사용하여 상기 복수의 관찰에 의한 상기 데이터의 하나 이상의 주성분을 결정하는 단계와;
    상기 주성분분석 동안 상기 복수의 데이터변수의 적어도 하나에 가중치를 부여하는 단계와;
    상기 처리시스템으로부터 추가적인 데이터를 얻는 단계와;
    상기 하나 이상의 주성분에 상기 추가적인 데이터를 투영(projection)하여 계산된 하나 이상의 스코어(score)로부터 적어도 하나의 통계적인 양(statistical quantity)을 결정하는 단계와;
    상기 적어도 하나의 통계적인 양에 대한 제어 한계를 결정하는 단계; 및
    상기 적어도 하나의 통계적인 양을 상기 제어 한계와 비교하는 단계;
    을 포함하여 구성되는 것을 특징으로 하는 방법.
  2. 제1항에 있어서,
    처리오류(procee fault)는 상기 적어도 하나의 통계적 양이 상기 제어 한계 를 초과할 때 발생하는 것을 특징으로 하는 방법.
  3. 제1항에 있어서,
    상기 데이터는, 커패시터 위치, 전향(forward) RF 파워, 반사(reflected) RF 파워, 전압, 전류, 상(phase), 임피던스, RF 피크 대 피크(peak-to-peak) 전압, RF 자기유도(self-induced) 직류 바이어스, 챔버 압력, 가스 플로우레이트, 온도, 후면(backside) 가스압력, 후면 가스 플로우레이트, 정전 클램프(electrostatic clamp) 전압, 정전 클램프 전류, 포커스링 두께, RF 시간, 처리단계 지속기간(duration), 포커스링 RF시간, 광학 에미션 스펙트럼 및 RF 고조파(harmonics) 중 적어도 하나를 포함하여 구성되는 것을 특징으로 하는 방법.
  4. 제1항에 있어서,
    상기 데이터는, 순시값(instantaneous value), 시간 평균, 표준편차, 제3모멘트, 제4모멘트 및 분산(variance) 중 적어도 하나를 포함하여 구성되는 것을 특징으로 하는 방법.
  5. 제1항에 있어서,
    상기 통계적인 양은, 모델 파라미터(DModX)로부터의 거리와 호텔링 T2 파라미터(Hotelling T2 parameter) 중 적어도 하나를 포함하여 구성되는 것을 특징으로 하는 방법.
  6. 제1항에 있어서,
    상기 적어도 하나의 통계적인 양을 결정하는 단계는, 상기 하나 이상의 주성분에 대하여 상기 하나 이상의 스코어를 역투영(back-projection)하여 하나 이상의 잔여 오차(residual error)를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  7. 제6항에 있어서,
    상기 하나 이상의 주성분에 대하여 상기 하나 이상의 스코어를 역투영하는 단계는 행렬 곱셈(matrix multiplication)을 포함하는 것을 특징으로 하는 방법.
  8. 제1항에 있어서,
    상기 하나 이상의 주성분에 상기 추가적인 데이터를 투영하는 단계는, 행렬 곱셈을 포함하는 것을 특징으로 하는 방법.
  9. 제1항에 있어서,
    상기 복수의 데이터변수의 적어도 하나에 가중치를 부여하는 단계는, 가중인자(weighting factor)를 적용하는 단계를 포함하는 것을 특징으로 하는 방법.
  10. 제9항에 있어서,
    상기 가중인자는, 데이터 표준편차(So), 상기 데이터변수의 바람직한 표준편차(Sd), 상기 변수의 상대적중요성(relative importance)(f) 및 데이터 해상도(data resolution;R) 중 적어도 하나로부터 결정되는 것을 특징으로 하는 방법.
  11. 제1항에 있어서,
    상기 복수의 데이터 변수 중 적어도 하나에 가중치를 부여하는 단계는, 그룹스캘링법(group scaling method)를 적용하는 단계를 포함하는 것을 특징으로 하는 방법.
  12. 제1항에 있어서,
    상기 데이터, 상기 추가적인 데이터, 상기 적어도 하나의 통계적인 양 및 상기 제어 한계 중 적어도 하나를, 인트라넷 및 인터넷 중 적어도 하나를 통하여 액세스하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  13. 반도체 제조과정 동안 기판을 처리하기 위한 처리시스템에 있어서,
    처리도구(process tool)와;
    상기 처리도구에 연결되는 복수의 센서와 상기 복수의 센서 및 처리도구에 연결되는 제어기를 포함하여 구성되고, 상기 처리도구에 연결되는 처리작업 모니터 링 시스템;을 포함하여 구성되며,
    상기 제어기는, 복수의 관찰로 상기 복수의 센서로부터 복수의 데이터변수들을 포함하는 데이터를 얻는 수단과,
    주성분분석을 사용하여 상기 복수의 관찰에 의한 데이터의 하나 이상의 주 성분을 결정하는 수단과,
    상기 주성분분석 동안 상기 복수의 데이터변수의 적어도 하나에 가중치를 부여하는 수단과,
    상기 복수의 센서로부터 추가적인 데이터를 얻는 수단과,
    상기 하나 이상의 주성분에 상기 추가적인 데이터를 투영하여 계산된 하나 이상의 스코어로부터 적어도 하나의 통계적인 양을 결정하는 수단과,
    상기 적어도 하나의 통계적인 양에 대한 제어 한계를 결정하는 수단, 및
    상기 적어도 하나의 통계적인 양을 상기 제어 한계와 비교하는 수단을 포함하여 구성되는 것을 특징으로 하는 처리시스템.
  14. 제13항에 있어서,
    상기 데이터, 상기 추가적인 데이터, 상기 적어도 하나의 통계적인 양 및 상기 제어 한계 중 적어도 하나를 액세스하는 수단을 더 포함하는 것을 특징으로 하는 처리시스템.
  15. 제14항에 있어서,
    상기 액세스수단은, 인트라넷 및 인터넷 중 적어도 하나를 포함하는 것을 특징으로 하는 처리시스템.
  16. 반도체 제조공정 동안 기판을 처리하기 위한 처리시스템을 모니터링하기 위한 처리작업 모니터링 시스템에 있어서,
    상기 처리시스템에 연결되는 복수의 센서와;
    상기 복수의 센서 및 상기 처리시스템에 연결된 제어기;를 포함하여 구성되며,
    상기 제어기는, 복수의 관찰로 상기 복수의 센서로부터 복수의 데이터변수들을 포함하는 데이터를 얻는 수단과,
    주성분분석을 이용하여 상기 복수의 관찰에 의한 데이터의 하나 이상의 주 성분을 결정하는 수단과,
    상기 주성분분석 동안 상기 복수의 데이터변수의 적어도 하나에 가중치를 부여하는 수단과,
    상기 복수의 센서로부터 추가적인 데이터를 얻는 수단과,
    상기 하나 이상의 주성분에 상기 추가적인 데이터를 투영하여 계산된 하나 이상의 스코어로부터 적어도 하나의 통계적인 양을 결정하는 수단과,
    상기 적어도 하나의 통계적인 양에 대한 제어 한계를 결정하는 수단, 및
    상기 적어도 하나의 통계적인 양을 상기 제어 한계와 비교하는 수단을 포함하여 구성되는 것을 특징으로 하는 처리작업 모니터링 시스템.
  17. 제16항에 있어서,
    상기 데이터, 상기 추가적인 데이터, 상기 적어도 하나의 통계적인 양 및 상기 제어 한계 중 적어도 하나를 액세스하는 수단을 더 포함하는 것을 특징으로 하는 처리작업 모니터링 시스템.
  18. 제17항에 있어서,
    상기 액세스수단은, 인트라넷 및 인터넷 중 적어도 하나를 포함하는 것을 특징으로 하는 처리작업 모니터링 시스템.
KR1020057021870A 2003-05-16 2004-03-17 헬스 인덱스 처리 시스템 및 이를 이용한 방법 KR100976648B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47090103P 2003-05-16 2003-05-16
US60/470,901 2003-05-16

Publications (2)

Publication Number Publication Date
KR20060009359A true KR20060009359A (ko) 2006-01-31
KR100976648B1 KR100976648B1 (ko) 2010-08-18

Family

ID=33476764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057021870A KR100976648B1 (ko) 2003-05-16 2004-03-17 헬스 인덱스 처리 시스템 및 이를 이용한 방법

Country Status (7)

Country Link
US (1) US7713760B2 (ko)
EP (1) EP1639632B1 (ko)
JP (1) JP4468366B2 (ko)
KR (1) KR100976648B1 (ko)
CN (1) CN100419983C (ko)
TW (1) TWI263922B (ko)
WO (1) WO2004105101A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7705973B2 (en) 2007-05-10 2010-04-27 Samsung Electronics Co., Ltd. Methods and systems for monitoring state of plasma chamber
KR101522385B1 (ko) * 2014-05-02 2015-05-26 연세대학교 산학협력단 반도체 제조 공정에서의 이상 감지 방법, 장치 및 기록매체
KR102252529B1 (ko) * 2019-11-22 2021-05-17 세메스 주식회사 반도체 제조 설비 점검을 위한 기준값 결정 장치 및 방법

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7328126B2 (en) * 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
US20060020924A1 (en) * 2004-06-15 2006-01-26 K5 Systems Inc. System and method for monitoring performance of groupings of network infrastructure and applications using statistical analysis
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
WO2006116700A2 (en) 2005-04-28 2006-11-02 Bruce Reiner Method and apparatus for automated quality assurance in medical imaging
US7625824B2 (en) * 2005-06-16 2009-12-01 Oerlikon Usa, Inc. Process change detection through the use of evolutionary algorithms
US20080010531A1 (en) * 2006-06-12 2008-01-10 Mks Instruments, Inc. Classifying faults associated with a manufacturing process
WO2008137544A1 (en) 2007-05-02 2008-11-13 Mks Instruments, Inc. Automated model building and model updating
DE102008021558A1 (de) * 2008-04-30 2009-11-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für die Halbleiterprozesssteuerung und Überwachung unter Verwendung von PCA-Modellen mit reduzierter Grösse
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
US8494798B2 (en) * 2008-09-02 2013-07-23 Mks Instruments, Inc. Automated model building and batch model building for a manufacturing process, process monitoring, and fault detection
US9069345B2 (en) * 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
US8674844B2 (en) * 2009-03-19 2014-03-18 Applied Materials, Inc. Detecting plasma chamber malfunction
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
US8855804B2 (en) 2010-11-16 2014-10-07 Mks Instruments, Inc. Controlling a discrete-type manufacturing process with a multivariate model
US20130268570A1 (en) * 2010-11-26 2013-10-10 Heung Seob Koo Representative-value calculating device and method
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10289108B2 (en) * 2012-03-15 2019-05-14 General Electric Company Methods and apparatus for monitoring operation of a system asset
US9429939B2 (en) 2012-04-06 2016-08-30 Mks Instruments, Inc. Multivariate monitoring of a batch manufacturing process
US9541471B2 (en) 2012-04-06 2017-01-10 Mks Instruments, Inc. Multivariate prediction of a batch manufacturing process
KR20140001504A (ko) 2012-06-27 2014-01-07 엘지이노텍 주식회사 터치 패널의 전극 기판 및 그 제조 방법
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10838583B2 (en) 2016-05-17 2020-11-17 General Electric Company Systems and methods for prioritizing and monitoring device status in a condition monitoring software application
US10606253B2 (en) * 2017-02-08 2020-03-31 United Microelectronics Corp. Method of monitoring processing system for processing substrate
US10003018B1 (en) * 2017-05-08 2018-06-19 Tokyo Electron Limited Vertical multi-batch magnetic annealing systems for reduced footprint manufacturing environments
US10224187B1 (en) * 2018-02-06 2019-03-05 Lam Research Corporation Detecting partial unclamping of a substrate from an ESC of a substrate processing system
RU2686257C1 (ru) * 2018-04-27 2019-04-24 Ационерное общество "РОТЕК" (АО "РОТЕК") Способ и система удалённой идентификации и прогнозирования развития зарождающихся дефектов объектов
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
JP6990634B2 (ja) 2018-08-21 2022-02-03 株式会社日立ハイテク 状態予測装置及び半導体製造装置
US11269003B2 (en) * 2020-02-11 2022-03-08 Nanya Technology Corporation System and method for monitoring semiconductor manufacturing equipment via analysis unit
US20210305027A1 (en) * 2020-03-24 2021-09-30 Tokyo Electron Limited Plasma processing apparatus and wear amount measurement method
CN112326622A (zh) * 2020-05-30 2021-02-05 北京化工大学 一种基于simca-svdd的细菌拉曼光谱识别分类方法
CN113190797A (zh) * 2021-04-18 2021-07-30 宁波大学科学技术学院 一种基于在线滚动判别特征分析的pta装置粗差判别方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61253573A (ja) 1985-05-02 1986-11-11 Hitachi Ltd 工程デ−タ選択法及び装置
US5442562A (en) 1993-12-10 1995-08-15 Eastman Kodak Company Method of controlling a manufacturing process using multivariate analysis
GB2303720B (en) * 1995-07-25 2000-03-08 Kodak Ltd Reject Analysis
US5825482A (en) * 1995-09-29 1998-10-20 Kla-Tencor Corporation Surface inspection system with misregistration error correction and adaptive illumination
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6153115A (en) * 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
US6564119B1 (en) * 1998-07-21 2003-05-13 Dofasco Inc. Multivariate statistical model-based system for monitoring the operation of a continuous caster and detecting the onset of impending breakouts
FR2783620B1 (fr) * 1998-09-22 2002-03-29 De Micheaux Daniel Lafaye Procede et systeme multidimensionnel de maitrise statistique des processus
SE9804127D0 (sv) 1998-11-27 1998-11-27 Astra Ab New method
US6369754B1 (en) * 1999-04-02 2002-04-09 Qualcomm Inc. Fine positioning of a user terminal in a satellite communication system
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6405096B1 (en) * 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6917845B2 (en) * 2000-03-10 2005-07-12 Smiths Detection-Pasadena, Inc. Method for monitoring environmental condition using a mathematical model
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
KR100824443B1 (ko) * 2000-09-15 2008-04-23 어드밴스드 마이크로 디바이시즈, 인코포레이티드 반도체 제조의 개선된 제어를 위한 적응성 샘플링 방법 및 장치
JP4154116B2 (ja) * 2000-09-28 2008-09-24 富士通株式会社 色変換テーブル作成方法および色変換テーブル作成装置並びに色変換テーブル作成プログラムを記録したコンピュータ読取可能な記録媒体
US6789052B1 (en) * 2000-10-24 2004-09-07 Advanced Micro Devices, Inc. Method of using control models for data compression
WO2002077589A2 (en) * 2001-03-23 2002-10-03 Tokyo Electron Limited Method and apparatus for endpoint detection using partial least squares
EP1384073A2 (en) 2001-04-23 2004-01-28 Metabometrix Limited Methods for analysis of spectral data and their applications: osteoporosis
JP3732768B2 (ja) 2001-08-28 2006-01-11 株式会社日立製作所 半導体処理装置
AU2003235901A1 (en) * 2002-05-16 2003-12-02 Tokyo Electron Limited Method of predicting processing device condition or processed result
WO2004003671A1 (en) * 2002-06-28 2004-01-08 Umetrics Ab Method and device for monitoring and fault detection in industrial processes

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7705973B2 (en) 2007-05-10 2010-04-27 Samsung Electronics Co., Ltd. Methods and systems for monitoring state of plasma chamber
KR101522385B1 (ko) * 2014-05-02 2015-05-26 연세대학교 산학협력단 반도체 제조 공정에서의 이상 감지 방법, 장치 및 기록매체
KR102252529B1 (ko) * 2019-11-22 2021-05-17 세메스 주식회사 반도체 제조 설비 점검을 위한 기준값 결정 장치 및 방법

Also Published As

Publication number Publication date
JP4468366B2 (ja) 2010-05-26
US20040259276A1 (en) 2004-12-23
TWI263922B (en) 2006-10-11
WO2004105101A2 (en) 2004-12-02
EP1639632A2 (en) 2006-03-29
WO2004105101A3 (en) 2005-01-27
KR100976648B1 (ko) 2010-08-18
JP2007502026A (ja) 2007-02-01
EP1639632A4 (en) 2011-03-09
CN1820362A (zh) 2006-08-16
TW200426649A (en) 2004-12-01
US7713760B2 (en) 2010-05-11
CN100419983C (zh) 2008-09-17
EP1639632B1 (en) 2017-06-07

Similar Documents

Publication Publication Date Title
KR100976648B1 (ko) 헬스 인덱스 처리 시스템 및 이를 이용한 방법
JP4699367B2 (ja) 適応性多変数分析を使用して処理装置を診断する方法および装置
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
US7167766B2 (en) Controlling a material processing tool and performance data
KR20070012340A (ko) 런 투 런 제어를 위한 방법 및 시스템
JP2005527983A (ja) データハンドリング、ストレージ及び操作のための方法とシステム
US8048326B2 (en) Method and apparatus for determining an etch property using an endpoint signal
TWI280617B (en) Method and system of determining chamber seasoning condition by optical emission
KR20220047281A (ko) 플라즈마 에칭시 종료점 검출을 위한 합성 파장
US8464741B2 (en) Flow control method for multizone gas distribution
US7211196B2 (en) Method and system of discriminating substrate type

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190730

Year of fee payment: 10