TWI240326B - Method and apparatus for determining an etch property using an endpoint signal - Google Patents

Method and apparatus for determining an etch property using an endpoint signal Download PDF

Info

Publication number
TWI240326B
TWI240326B TW092129414A TW92129414A TWI240326B TW I240326 B TWI240326 B TW I240326B TW 092129414 A TW092129414 A TW 092129414A TW 92129414 A TW92129414 A TW 92129414A TW I240326 B TWI240326 B TW I240326B
Authority
TW
Taiwan
Prior art keywords
processing system
etching
plasma processing
time
ratio
Prior art date
Application number
TW092129414A
Other languages
English (en)
Other versions
TW200414346A (en
Inventor
Hongyu Yue
Hieu A Lam
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=32312519&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI240326(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200414346A publication Critical patent/TW200414346A/zh
Application granted granted Critical
Publication of TWI240326B publication Critical patent/TWI240326B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

1240326 五、發明說明(1)
^ 此申請案是有關於代理人摘要第228 1 60USUS6YA PR0V 號於本申睛案同日所提出、命名為『終點偵測的方法及設 備』,聯合未決申請案6〇/ 。此申請案的内容係包含於此 來作為參考。 一、 【發明所屬之技術領域】 本發明是有關於一種以電漿來處理基板的方法與設 ^ ^特別疋有關於一種在電漿蝕刻期間、確定在基板上 的一層之蝕刻特性的現場方法與設備。
二、 【先前技術】 上會ΐίίϊΐί製造工業中之積體電路(IC)的製造典型 彳積装卜% ΐ A建立與幫助從一基板上移除材料與將材料 :電浆,學。簡言之,€聚係在真空條件下 所供^ ^充内猎著將電子加熱而讓電子有足以承受與 加熱:電離子化碰撞的能量而形成的。再者,所 出在預定條件(例如室壓、 選擇 來產生欲在虛理备^ 孔體流速荨4)下的特定組氣體
材料期間的钱刻ίί内::之特定製程(例如從純^ 程)所適合的帶電/種將材料加入至基板期間的沈積製 說在餘刻製程期間/Λ 應種類之聚集。舉例來 認這樣的系統是遵循著二,漿處理系統的狀態且為了確 率之空間一致性修間隔時’則監控餘刻率與触刻 月匕疋十分重要的。在目前製造實踐中,
$ 7頁 1240326 系統確認係通常藉著執行一系列的確認基板且測量結果蝕 刻率,蝕刻率一致性、以便決定是否繼續生產或實施諸如 處理室之濕清洗的系統維護而實施的。此外,蝕刻率與蝕 刻率一致性之確定方法包含有基板切開(亦即犧牲基板)與 SEM (掃描電子顯微鏡)分析。使用SEμ顯微圖,可測量確認 基板上、不同位置處的特性蝕刻深度,且在結合蝕刻時間 時,可獲得蝕刻率與蝕刻率一致性的資訊。
因而’會延長重要的系統製造時間且消耗確認基板, 因此在几長的確認製程期間會導致較大的製造成本。再 者,製造基板與確認基板實質上可為不同的,因此,導致 ,統確認的錯誤結論。舉例來說,確認基板上之量測蝕刻 率不是必然會反映出製造晶圓上的實際蝕刻率。 工、【發明内容】 本發明提供用以決定—蝕刻製程期間之一蝕刻性質的 方法與系統’其中該方法會優勢地解決上面所指出的缺 本發明之 的電衆處理系統,其包含有:一處理室
总、Γ Γ w土,丁、肌六匕言有:一處理室;一診斷系統,其 ,連f至該,理室且係建構成來測量至少一終點信號;以 ":ί制器’其係連接至該診斷系統且係建構成從終Μ 與層後來現場決定蝕刻動作的一蝕刻率與一蝕刻率一 」,少其中之:者,#中,該厚度包含有—最小厚度、 厚度、一平均厚度與一厚度範圍至少其中之一者。
1240326 五、發明說明(3) 本發明之另一目的是提供一種用以決定一電 =之-基板上的層㈣之-㈣性質的現場決定糸 =包含有:設置該層之一厚度,#中該厚度包含有一 一最大厚度、一平均厚度與-厚度範圍至少1 者;钱刻該基板上的該層;使用連接至該理 糸統之一診斷系統來測量至少一終點信號,其中該至小一 2點信號包含有-終點反轉點;以及,從該厚度層對ς線 关反轉點期間之一時間與該蝕刻動作之一起始時間間二 差距之一比率來決定該蝕刻率。。
四、【實施方式】 根據本發明的實施例得知’在圖1中描述著一種 系統1,其包含有一電漿處理室1〇、連接至處理室:〇 ::診斷系統12,與連接至診斷系統12的一控制器“ J制器1 4係建構成來接收來自於診斷系統】2的至少一心 ^並從該至少—終點信號來確定諸如_率或姓刻率 二的:刻特性。在圖i中所述的實施例中,丨羊 用電漿來進行材料處理。期望來說, 理 乐、、死i會包含有一蝕刻室。
系統1根可Ύ中所述的本發明說明實施例得知,電漿處理 含有電聚處理室1〇、其上附加著欲進行處理之 鱼(例如半導體晶圓或液晶顯示面板)的基板支, :晶=^統舉例來說基板25可為—半導體基板、 液晶顯不。舉例來說電漿處理室丨〇係可建構成
第9頁 1240326 五、發明說明(4) 便於在鄰近於基板2^志^ + 齑俨汴入土 表面處之處理區15中產生電漿。經由 乳體/主入糸統(未圖示)夾 ^ 左田 物,並調整處理壓力。兴離子化氣體或氣體混合 用於調節直空泵夺统3η牛4來s兒,控制機構(未圖示)係可 私@ + 4^ ^ Γ 期望來說,電漿係用來建立特定 處移除材料。電聚ί理季二來幫基板25之露出表面 板、30 0毫#基板或更大系二 1係可建構成來處理2°。毫米基 H來說’可藉著槽溝閥(未圖示)與室餵食器(未圖 不)來讓基板25經由機械美祐楂、主么从七推j , 不園 田機槭基板傳送系統來傳送出入電漿處 ,/、之機械基板傳送系統處會由固設在基板支撐 =基板舉起針(未圖示)來進行接收並藉著其内固= =置來進仃機械化傳送。一旦從基板傳送系統接收到基板 25,則將其降低至基板支撐20的一個上表面處。 舉例來說,可經由一個靜電夾住系統來將基板託附加 ^基板支撐20。此外,舉例來說基板支撐2〇可更進一步包 3 f包含著會接收來自於基板支撐2〇處的熱並將熱傳送至 熱父換系統(未圖示)、或在加熱時會將熱從熱交換系統傳 送來之一再循環冷卻流的一冷卻系統。再者,舉例來說可 經由一背側氣體系統來將氣體輸送至基板25的背侧,以便 改善基板2 5與基板支樓2 0間的氣間隙熱導性。當溫度過高丨 或過低而需要基板的溫度控制時,則可使用這樣的一種系 統。在其它實施例中,則可包含有諸如防熱元件或電熱t 加熱器/冷卻器的加熱元件。 舉例來說,電漿處理室10可更進一步包含有由一風箱
1240326 五、發明說明(5) (未圖示)所圍繞的一垂直傳 係連接至基板支撐20與電聚處^未圖示),其中該風箱 垂直傳送裝置而不讓電漿處理〜至内:且係建構成密封住 例來說,風箱遮蔽(未圖示)传^的氣壓過低。另外,舉 建構成保護風箱不受處理電漿m板支撐2。、且係 透過中’如圖2所*,基板支撐2°可包含有 一 會連接至處理空間15中之處理電漿的
:電極。舉例來說,基板支揮20係可藉著從一無線電發電 由I阻抗匹配網路50傳送至基板支撐2〇之無線電力 而電氣偏壓在一無線電電壓下。無線電偏壓可用來加熱電 子,以便形成並維持電漿。在此構造中,系統可當作反應 性離子蝕刻(RIE )反應器般運作,其中室與上氣體注入電 極會當作基礎表面。無線電偏壓之典型頻率可介於1MHz至 100MHz ’較佳之情況為ΐ3·56ΜΗζ。電漿處理所用的無線電 系統是相關技術中所已知者。
又’於多重頻率下將無線電力施加至基板支撐電極。 此外’阻抗匹配網路5 〇用來藉著讓反射電力最化小的方 式、而增加至電漿處理室10内之電漿處的無線電力傳輸。 至於匹配網路拓譜(例如L類型、Τ類型等等)與自動控制方 法乃是相關技術中所已知者。 繼續參照圖2,舉例來說,可讓處理氣體經由氣體注 入系統(未圖示)而導入處理區1 5。舉例來說,處理氣體可
1240326 五、發明說明(6) 包含有諸如氧化物蝕刻應用之用的氬氣、CF4與氧氣或氬 氣、C4F8與氧氣的氣體混合物,或諸如氧氣/ 一氧化碳/氬 氣/c4f8、氧氣/ 一氧化碳/氩氣/C5F8、氧氣/ 一氧化碳/氬氣 /C4F6、氧氣/氬氣/C4F6、氧氣/氬氣/c5F8、氮氣/氫氣的其 他化學藥品與相關技術中的其他類似化學藥品。氣體注入 系統可包含有一喷水頭,其中處理氣體係從氣體輸送系統 (未圖示)、經由一氣體注入壓力通風系統(未圖示)被提 供、一系列擋板(未圖示)與多孔喷水頭氣體注入板(未圖 示)而至處理區15的。至於氣體注入乃是真空處理相關技 術中所已知者。 舉例來說,真空泵系統3 0可包含有具有每秒(與更大) 5000升之抽吸速能力的一渦輪分子真空泵(TMP),與用來 抑制室壓的閘門閥。在乾電漿蝕刻所用之習用電漿處理裝 置中’ 一般使用每秒1000至3000升的TMP。TMP對於低壓、 典型上來說是小於50毫托的處理是相當有用的。在較高壓 力下,TMP抽吸速度會顯著下降。而對於高壓處理(亦即大 於1 0 0毫托)來說,則可使用機械升壓泵與粗略泵。此外, 監控室壓(未圖示)之用的裝置係可連接至電漿處理室1〇。 舉例來說,壓力測量裝置可為MKS儀器公司(And〇ver,MA) 的商業化產品、Type 62 8B Baratron絕對電容氣壓計。 、控制器1 4包含有一微處理器、記憶體,與能夠產生出· =以與至電漿處理系統1之輪入溝通並加以啟動之控制信 ^丄同時監控至電聚處理系統!的之輸出的一數位1/〇崞。 ,控制器1 4係可連接至無線電產生器40、阻抗匹配網 1240326 五、發明說明(7)
路50、氣體注入系統(未圖示),真空泵系統3〇與背側氣體 輸送系統(未圖示)、基板/基板支撐溫度測量系統(未圖 示)與靜電爽住系統(未圖示),並可與它們交換資訊。舉 例來虎,圮丨思體中所儲存之程式係可根據所儲存之處理配 方而用來啟動電漿處理系統1的前述組件。控制器1 4之 一種案例為位在德州Austin之戴爾公司所生產的DELL PRECISION WORKSTATION 610TM 。
診斷系統1 2可包含有一光學診斷子系統。光學診斷子 系統可包含有諸如用來測量來自於電漿所放射之總光線強 度的(矽)光電二極體或光電倍增管(PMT)之一偵測器。診 斷系統lj可再包含有諸如一窄頻干擾濾片的一光學濾片。 在另一實施例中,診斷系統12可包含有一線性CCD(電荷耦 合裝置)、C I D (電荷注入裝置)陣列與諸如光柵或稜鏡的光 線分散裝置至少其中之一者。另外,診斷系統丨2可包含有 用來測量一既定波長處光線的一分光鏡(例如光柵/偵測器 系統),或用來測量光線光譜、諸如像美國專利第 5, 888, 337號所述之裝置的一分光儀(例如具有一旋轉光 柵)。此外’診斷系統1 2也可包含有與光學診斷系統與用 來控制光學診斷系統之操作的控制器丨4連接的一處理器。 舉例來說’診斷系統12可包含有來自於Peak Sens〇r I Systems或Verity儀器公司的一高解析度〇ES感測器。這樣 的0ES感測器具有橫越紫外光、可見光(VIS)與近紅外 光(NIR)光請的寬光譜帶。解析度為幾近14埃,亦即該感 測器能夠收集從2 4 0至1 〇 〇 〇 nm的5 5 5 0個波長。該感測器係
第13頁 1240326
五、發明說明(8) 配備依序整合著20 48個像幸綠糾「^11击以^>^ 光纖光學線性CCD陣列的南靈敏性縮影 =,儀#減經由I —與捆纟光學光纖所傳 輸出自光學光纖之光線係使用一固定光栅而J 列上。類似於上述的結構,放射穿過- 纖的輸入端之上。“特別i t:ί 於光學光 -谷自特別為一既定光譜範圍(UV、Vis與 各八:i合勺::分光儀會形成一處理室所用的感測器。 扭I,儀曰包3有一獨立的A/D轉換器。同時在最後時,
射光4 秒的間隔即可記錄下一全放 ㈣ί 沴斷系統12可包含一電子診斷系、统,而該電子蛉 =以包電含壓有探用針來難Λ漿處理系統1之電子性質: 中之一 I \ 奴針、一電力計與一光譜分析器至少其 形成電電漿處理系統經常使用無線電力來 即誘來讓無線電能經由一電子連接元件(亦 電壓探針之電子:ί等)而連接至電漿。而使用例如電流-線雷值二t 置係可於電子(無線電)電路内、諸如無 流之時何地方來實施的"匕外,諸如電壓或電· 散傅里葉系别I電子信號測量會容許將信號轉換成使用離 後,傅里葦# (假定一周期性信號)的頻率空間。之 可加以於-盥二4或對一時間變化信號、頻率光譜來說)係 现工/、刀析,以便描繪電漿處理系統1的狀態特
第14頁 1240326 五、發明說明(9) 徵。而從一電壓信號、電流信號、阻抗信號或其諧波信號 即可弄清楚終點信號。而一電壓-電流探針可為例如於 2001年1月8日所申請之延宕中美國專利申請案第 60/259, 862號、或於1995年11月14日發給Sematech公司之 美國專利案第5, 46 7, 0 1 3號中所詳細描述的裝置,在此包 各自全部内容以茲參考。
在又一實施例中,診斷系統1 2可包含有測量電漿處理 系統1外之放射無線電場有用的一寬頻無線電天線。而從 ’^放射彳a 5虎或50波彳曰號即可弄清楚終點信號。商業化可用 之寬頻無線電天線乃是諸如Antenna Research Model RAM-22 0 ( 0· 1MHz至300MHz)的寬頻天線。於2002年7月3日 所同時申請之延宕中美國專利申請第6〇/393,1〇1號、延宕 中美國專利申請案第60/393, 103號與延宕中美國專利申請 案第6 0 / 3 9 3,1 0 5號中有對於寬頻無線電天線之使用更詳細 的描述’在此包含上述文件全部内容以茲參考。 在又實施例中,從連接至一阻抗匹配網路、以便監 控該阻抗匹配網路中之電容器設定的一診斷系統12即可弄 清楚終點信號。而阻抗匹配_玖i从 _ 机^配、、罔路可為例如圖2至5中的阻抗 匹配網路5 0、圖4中的阻抗匹配絪% 7 j t r丄 _ ^ 0 , 仇匕配、,祠路74與圖5中的阻抗匹配 網路〇 4。 在說明實施例中,如圖3所干 人 L . ^ , 圃d所不’除了圖1至2所示的那 些兀件之外,電漿處理系統1可更 j又巴含有例如一靜 、或 氣:式旋轉直流磁場系統6〇,以便於潛在地增加 電漿後度與/或改善電漿處理均句性。再者,控制器"係
第15頁 1240326 五、發明說明(10) 連接至該旋轉磁場系統6 〇,以便 度。至於旋轉磁場之設計與安I J郎疑轉速度與場強 已知者。 、女裝乃疋該項技藝之相關人士 在說明實施例中,如圖4所示, 統1可更包含有例如一上電極7〇, A :、、之電漿處理系 從無線電產生器72連接穿過阻抗匹配網說可讓無線電力 施加至上電極之典型頻率可從1()^_ 、,周路74。將無線電力 ..ff ARnMH / +T^10MHz 至200MHz,而較佳之 率可從〇.麗2至3_,而較佳之情況為=
制器“係連接至無線電產生器72與阻抗匹配網二者,以便 於控制施加至上電極70的無線電力。至於上電極之設計與 安裝乃是該項技藝之相關人士已知者。 在說明實施例中’如圖5所示,圖1之電漿處理系統可 更包含有例如一誘導線圈80,就其來說可讓無線電力透過 無線電產生器8 2而連接穿過阻抗匹配網路8 4。無線電力係 誘導地從誘導線圈80、經由介電窗(未圖示)而連接至電漿 處理區4 5。將無線電力施加至誘導線圈8 〇之典型頻率可從 10MHz至100MHz,而較佳之情況為ΐ3·56ΜΗζ。同樣地,將 電力施加至夾盤電極之典型頻率可從〇· 1ΜΗζ至3〇龍2,而 較佳之情況為13· 56MHz。此外,可使用插槽式法拉第遮蔽 物(未圖示),以便減少誘導線圈8〇與電漿間的電容耦合。 再者,控制器1 4係連接至無線電產生器82與阻抗匹配網路 8 4,以便於控制施加至誘導線圈8 〇的電力。在又一實施例 中’與上述電壓器耦合電漿(TCP)反應器不同者,誘導線
第16頁 1240326
五、發明說明(π) 圈80可為與電漿處理區15連接的『盤狀』線圈或『煎餅 狀』線圈。至於誘導耦合電漿(ICP)源或變壓器柄合”電 (TCP)源之設計與安裝乃是該項技藝之相關人士已知者二 又,可使用電子回旋加速共振器(ECR)來形成電衆。 在又另一實施例中,可從He 1 icon波之發動來形成電聚。 在又另一實施例中,可由傳播表面波來形成電漿。至於」 述之電漿來源乃是該項技藝之相關人士已知者。 、 在下列的討論中,將呈現出確定用以電漿處理系統j 中之基板上一層蝕刻之蝕刻率與蝕刻率一致性的現場方 法,其中使用光學放射光譜儀(0ES)來作為一案例。然 而,所討論之方法係不因此解說呈現而受限於該範圍内。 再度參照圖1至5,診斷系統1 2可包含有用來測量從電 漿所放射之光線之輕射或光譜輻射的一光學診斷子系統。 舉例來說,圖6呈現出來自於處理空間1 5中電漿所放射出 之既定波長光線的終點信號丨00 ,與其第一次微分丨18。終 點發信號1 0 0可進一步包含有終點反轉點丨丨〇,其中終點信 號100中之一明顯變化會構成處理的一构成過程的終點。 舉例來說’在蝕刻反應期間所呈現、其濃度(亦即光譜輻 射)在〜點期間會衣退(如同圖6)或增加的相對應於特定化 學成分之光線放射係可選擇來作為監控之目的用。 馨籲 在本發明的一實施例中,包含著一厚度T之材料層的 餘^率 係可從厚度T對餘刻處理之起始處(亦即信號終 點100中的時間% = 〇處)與終點反轉點110期間之一時間t間· 的時間間隔之比率來決定的。在此情況下
1240326 五、發明說明(12) E三」_ (Η。)(1) 其中Ε為蝕刻率。 = 在本發明的另一實施例中,包含著一最小厚度'a、一 =大厚度Tmax與一平均厚度八_材料層的蝕刻率,其係可從 Y】、厚度對間始於蝕刻處理之起始處(亦即信號終點1 〇 0中 的^間% 〇處)、至終點反轉點11 0之起始時間11 2 (見圖6 ) 的時間間隔之比率來決定的。在此情況下 5= - (’112(2) ’ t。為蝕刻處理的起始時間,而tn2為終點 久褥點1 1 ϋ的起始時間。 5在本發明的另一實施例中,包含著一最水厘译τ _ :ί ί JT:X與—平均厚度1材料層的蝕刻率,ί Γ可從 的時^ :二始於蚀刻處理之起始處(亦即信號終點100中 扪牙间%-〇處)、至終點反轉點11〇之終 的時間問隐* I + 、止時間11 4 (見圖6) 扪吋間間隔之比率來決定的。在此情況下 H (3) 而t114為終點 其中E為蝕刻率,扒為蝕刻處理的起始 反轉點11 0的終止時間。 '曰 在本發明的另一實施例中,包含 a 平均厚度對開始於蝕刻處理之起始虛f _^剡率,其係可從 σ 、亦即信號終點1 0 0中
最大厚度Tmax與一平均厚度T„ean材料層 2厚、 於m丨索押一.,的蝕刻率,其係可從
第18頁
1240326 五、發明說明(13) 的時間tG = 〇處)、至相對應於終點反轉點丨丨〇中之反折點或 終點信號100之第一次微分1 18最大處(斜率為負)的終點反 轉點11 0之反折時間116(見圖6)的時間間隔之比率來決定 的。在此情況下 Ξ = 、(4) 其中E為蝕刻率,tG為蝕刻處理的起始時間,而tu6為如上 所述之終點反轉點1 1 〇的反折時間。
在本發明的另一實施例中,包含著一最小厚度Tmin、一 最☆厚度7_、一平均厚度T_n與一平均範圍DT材料的蝕 刻率’其係可從最大蝕刻率Emax、最小蝕刻率Emin、最大厚 度、厚度範圍與終點反轉點11 〇之時間距DT(如圖6所述的 1 2 0 )的比率來決定的。在此情況下 繼%^(Δ 1) /咖 Ε職 (5) 其中DE為餘刻率一致性。由於近似於Ε2,故可將方 程式(5 )簡化為 (6) △丑三 ^—(5Δί - ΛΓ) 在本發明的另一實施例中,包含著一最小厚度Tmin、-最大厚度Tmax與一平均厚度Tmean材料層的蝕刻率,其係可從 診斷系統12之兩個以上的信號、諸如圖7A與7B所示的終點 信號1 0 0 A與1 〇 〇 b來決定的。終點發信號1 〇 〇 A可例如相對應 於來自於化學成分、其濃度會在終點期間衰退的放射’而
第19頁 1240326 五、發明說明(14) 終點發信號1 0 0 B可例如相對應於來自於化學成分、其濃产 會在終點期間上升的放射。一個以上之比率信號係^接^ 從兩個以上的信號、諸如藉著由各瞬間時間時之終點信號 100A除以終點信號100B所確定的比率信號13〇 (圖7C)W〜 定的。此外,一個以上之差分信號係可從一個以上的比率 信號、諸如由比率信號1 30之第一次微分所得的差分信號 1 4 0 (圖7D)來決定的。舉例來說,第一微分係可使用第一 次方(在剷或往後)差分圖表或第二次方(中間)差分圖表來 估計的。如上所述,蝕刻率係可從平均厚度對開二於蝕 處理之起始處(亦即信號終點1〇(^、100B中的時間t "
處)、至相對應於比率信號130中之反折點(圖7C)0 G 號140之最大處142(斜率為負)(圖7D)的比率來、、办定刀: 此情況下 ,、疋的。在 E = (7) 其中E為餘刻率,t〇為姓刻處理的起始時間, 應於差分信號140中之負斜率最大處142的時14^,’、本相對 刻率一致性係可從如上所述的方程式(6)來決B定的。者,蝕 如上所述,於圖6所示之終點信號可包一 過濾)的終點信號。X,在其中信號對雜訊::始(未 些情況下,可能需要過滤終點信號為低的某, 滑。在這樣的情況下,•號過遽可包含有;咸平 均值與有限脈衝應變數施加至原始信號至少其運具)平 舉例來說,圖8A與8B呈現出典型原始終點信號丨〇 =、者。
1240326 五、發明說明(15) 1 0 1 β ’與使用—移動平均所相對應的修勻終點信號i 5 〇 A、 1 5 0 B。又,在獲得終點信號或比率信號的微分時,可明確 或隱含強制進行額外的過濾。舉例來說,可使用如上所述 的簡單差分圖表、簡單差分與平滑(亦即差分信號的移動 平均值)與Savitsky-Golay過遽法其中之一者來實施信號 差分。稍後,在代理人備忘錄第22B 1 60USUS6YA PR0V號、 命名為『終點的偵測方法與設備』的延宕中美國專利申請 案第6 0 /號中將提供更多細節,而該申請案係將全部包含 於此以茲參考。 圖9呈現出本發明一實施例中、用以確定電漿處理系 統中之基板上蝕刻層的蝕刻特性之現場方法。該方法係加 以說明於流程圖2〇〇中,開始於設置例如圖J至5所述之電 $處理系統中的基板上所蝕刻之厚度。而該厚度可例如包 含有為一最小厚度、一最大厚度、一平均厚度與一厚度範 圍至少其中之一者。在蝕刻該層前,一般已知有一層$】 的,度。在步驟22 0中,使用該項技藝之相關人士已知的 電裝乾蝕刻製程、並於蝕刻起始時間開始蝕刻該層。 旦在步驟2 30中’使用與處理室連接之一診斷系統來測 =至少一終點信號,其中使用處理室以便利於為電漿處理 f統規定的製程。診斷系統可包含有一光學診斷子系统 :電子診斷子系統至少其中之一者。舉例來說,光學吟鼢 子糸統可包含有一偵測器、一光學濾片、一光栅、—^ _ ,、一單光鏡與分光儀至少其中之一者。另外,舉例$ 說’電子診斷子系統可包含有一電壓探針、一電流探針
1240326 五、發明說明(16) 一 2二1析T、~外部無線電天線、一電力計盘電容5| < 定監控器至少其中夕 ^ 丨”尾谷态设 如上所述的終點反轉:者:$於至少:::點信號可包含有 始時間、-終止時^。此外,終點反轉點可包含有一起 少一終點信號可包;;一反折時間。另外’舉例來說,至 在步驟24〇中含#有從電聚所放射之光線的光譜輻射。 來银刻電漿處理系用至/ —終點信號與厚度來決定用 蝕刻率係可從最^厂之土板上層的蝕刻率。舉例來說, 之起始時間間的時ϋ對終點反轉點之起始時間與層蝕刻 又,餘刻率係可】】f =率來決定的(見方程式⑺)。 蝕刻之起始時門門^大厚度對終點反轉點之起始時間與層 (3))1,^=時^比率來決定的(見方程式 間與層餘刻之起妗眛Z從平均厚度對終點反轉點之反折時 式⑷)」;Γ_!間間的時間差比率來決定的(見方程 是-第-終點信ΐ與:有兩個終點信號’即 決定的。比率:信時之兩信號的比率來 轉點包含有-起始時點反轉點,其中終點反 外,蝕刻率係可從=止時間與一反折時間。此 法任-者的比率信Ϊ:;Ϊ:程式⑺至⑷或⑺中之* 流程圖2〇〇中所描述之 其^定終點反轉點的—時//f Μ包含有步驟250 ’ 點之時間時期係可從圖6抝。舉例來說,終點反轉 分、或從_中144所表:表:之終點信號的第-微 〜點仏號的比率信號第一 第22頁 1240326 五、發明說明(17) 微分來決定的。 在步驟2 6 0中,蝕刻率一致性係從步驟240中所決定的 蝕刻率、步驟2 5 0中所決定的終點反轉點之時間時期與蝕 刻層之厚度範圍來決定的。舉例來說,蝕刻率一致性係可 利用方程式(6 )來決定的。
雖然上面僅已經詳細描述本發明的確切示範性實施 例,但是該項技藝之相關人士將容易在未實質脫離本發明 之嶄新技術與優點下、理解示範性實施例中可能的修正 例。因此,所有這樣的修正例係意圖包含於本發明的範圍 内0
第23頁 1240326 圖式簡單說明 五、【圖式簡單說明】 從本發明之示範性實施例的詳細描述、伴隨附圖,則 將可更明顯且更容易理解本發明的這些與其他優點。 圖1是顯示出本發明一實施例的電漿處理系統之簡化 方塊圖, 圖2是顯示出本發明另一實施例的電漿處理系統之示 意圖; 圖3是顯示出本發明另一實施例的電漿處理系統之示 意圖;
圖4是顯示出本發明另一實施例的電漿處理系統之示 意圖; 圖5是顯示出本發明另一實施例的電漿處理系統之示 意圖; 圖6是說明本發明一實施例的一示範性終點信號; 圖7A-7D是說明顯示出本發明另一實施例的各種示範 性終點信號之一系列圖表; 圖8A — 8B是說明本發明另一實施例的一示範性原始與 過濾過之終點信號; 圖9是呈現出本發明一實施例、為電漿處理系統中之 一基板上的層蝕刻用之一蝕刻性質的現場決定方法。 元件符號說明: 1〜電漿處理系統 1 0〜電漿處理室
第24頁 1240326 圖式簡單說明 1 2〜診斷系統 1 4〜控制器 1 5、4 5〜處理區 2 5〜基板 20〜基板支撐 3 0〜真空泵系統 40、72、82〜無線電產生器 5 0、7 4、8 4〜阻抗匹配網路 6 0〜旋轉磁場系統 終點信號
7 0〜上電極 8 0〜誘導線圈 100 、 100A 、 100B 、 101A 、 101B〜 101A、101B〜原始終點信號 11 0〜終點反轉點 11 2〜終點反轉點之起始時間 11 4〜終點反轉點之終止時間 11 6〜終點反轉點之反折時間 11 8〜終點信號之第一次微分 120〜終點反轉點之時間距DT 1 3 0〜比率信號 1 4 0〜差分信號 142〜比率信號中之反折點或差分信號之最大處 150A、150B〜修勻終點信號
第25頁

Claims (1)

1240326 案號 921294U 六、申請專利範圍 7·如申請專利範圍第6項的電漿處理系統,其中,該终點 反轉點包含有一起始時間、一終止時間與一反折時間、。”、 8 ·如申請專利範圍第7項的電漿處理系統,其中,該蝕刻 率係從該層之該最小厚度對該終點反轉點之該起始 一比率來決定的。 n的 ^如申請專利範圍第7項的電聚處理系統,其中,該蝕 η係從該層之該最大厚度對該終點反轉點之該終止 一比率來決定的。 了间的 10·如申請專利範圍第7項的電漿處理系統,其巾,該 率係從該層之該平均厚度斜# & λ g ^ -比率來決定的反轉點之該反折時間的11·如申請專利範圍第8項的電漿處理系統,其中,該蝕刻 月 曰 修正― 率一致性△ E係從 來決定的,其中Tmax為該 :ΔΤ為該厚度範圍、該起始時間與:終止 τ間間的k間差’而Ε為該|虫刻率。 12·如申請專利範圍第工項的電聚處理系統,其中,—比率,號係從-第-終點信號對1二終點信號的—比率來決 定的。 、 1 3 ·如申明專利範圍第1 2項的電漿處理系統,其中,該比 率信號包含有一終點反轉點。 1 4·如申請專利範圍第1 3項的電漿處理系統,其中,該終 點反轉點包含有一起始時間、一終止時間與一反折時間。 1 5.如申請專利範圍第14項的電漿處理系統,其中,該蝕 第27頁 1240326 _案號92129414_年月曰 修正_ 六、申請專利範圍 刻率係從該層之該最小厚度對該比率信號中的該終點反轉 點之該起始時間的一比率來決定的。 1 6.如申請專利範圍第1 4項的電漿處理系統,其中,該蝕 刻率係從該層之該最大厚度對該比率信號中的該終點反轉 點之該終止時間的一比率來決定的。 1 7.如申請專利範圍第1 4項的電漿處理系統,其中,該蝕 刻率係從該層之該平均厚度對該比率信號中的.該終點反轉 點之該反折時間的一比率來決定的。 1 8.如申請專利範圍第1項的電漿處理系統,其中,該至少 一終點信號係有關於從該電漿處理系統來之放射光線的一 光譜輻射。 1 9.如申請專利範圍第1項的電漿處理系統,其中,該至少 一終點信號係加以過濾的。 20. —種電漿處理系統中之基板蝕刻之蝕刻性質的現場決 定方法,用以決定一電漿處理系統中之一基板上的層蝕刻 之一蝕刻性質,該方法包含以下步驟: 設置該層之一厚度,其中該厚度包含有一最小厚度、 一最大厚度、一平均厚度與一厚度範圍至少其中之一者; 蝕刻該基板上的該層; 使用連接至該電漿處理系統之一診斷系統來測量至少 一終點信號,其中該至少一終點信號包含有一終點反轉 點;以及, 從該厚度層對該終點反轉點期間之一時間與該蝕刻動 作之一起始時間間的一差距之一比率來決定該钮刻率。
第28頁 1240326
修正 •如申凊專利範圍第2 0項的電漿處理系統中之基板蝕刻 之性質的現場決定方法,其中,該診斷系統包含有一 光學診斷子系統與一電子診斷子系統至少其中之一者。 22.如申請專利範圍第21項的電漿處理系統中之基板蝕刻 $餘刻性質的現場決定方法,其中,該光學診斷子系統包 έ有 偵測器、一光學濾片、一光柵與一稜鏡至少其中之 一者。 、 2 3 ·如申5青專利範圍第21項的電漿處理系統中之基板蝕刻 之银刻性貪的現場決定方法,其中,該光學診斷子系統包
含有一分光儀與一單光鏡至少其中之一者。 24·如申請專利範圍第21項的電漿處理系統中之基板蝕刻 之I虫刻丨生吳的現場決定方法,其中,該電子診斷子系統包 含有一電壓探針、一電流探針、一光譜分析器、一外部無 線電天線、一電力計與一電容器設定監控器至少其中之一 者。 25.如申請專利範圍第2〇項的電漿處理系統中之基板蝕刻 之蝕刻性質的現場決定方法,其中,該終點反轉點包含有 一起始時間、一終止時間與一反折時間。
26·如申請專利範圍第25項的電漿處理系統中之基板蝕刻 之蝕刻性質的現場決定方法,其中,該厚度為該層的該最 小厚度’而該時間為該終點反轉點的該起始時間。 27.如申請專利範圍第25項的電漿處理系統中之基板蝕刻 ,蝕刻性質的現場決定方法,其中,該蝕刻率係^足該層之 該最大厚度對該至少一終點信號其中一者中的該終點反轉
第29頁 1240326 —-----El^_92129414 年月日 修正 六、申請專利範圍 ' ~ '— 點之該終止時間的一比率來決定的。 28·如申請專利範圍第25項的電漿處理系統中之基板蝕刻 ,餘刻性質的現場決定方法,其中,該蝕刻率係從該層之 該平均厚度對該至少一終點信號其中一者中的該終點反轉 點之該反折時間的一比率來決定的。 29·如申請專利範圍第2〇項的電漿處理系統中之基板蝕刻 之餘刻性質的現場決定方法,其中,該至 少一終點信號包含有兩個終點信號。 3〇·如申請專利範圍第29項的電漿處理系統中之基板蝕刻 j蝕刻性質的現場決定方法,其中,一比率信號係從一第 一終點信號對一第二終點信號的一比率來決定的。 31. 如申請專利範圍第30項的電漿處理系統中之基板蝕刻 之蝕刻性質的現場決定方法,其中,該比率信號包含有一 終點反轉點。 32. 如申請專利範圍第31項的電漿處理系統中之基板蝕刻 j蝕刻性質的現場決定方法,其中,該終點反轉點包含有 一起始時間、一終止時間與一反折時間。 33_如申請專利範圍第32項的電漿處理系統中之基板蝕刻 ,钮刻性質的現場決定方法,其中,該#刻率係從該層之 §亥最小厚度對該比率信號中的該終點反轉點之該起始時間 的一比率來決定的。 34·如申請專利範圍第32項的電漿處理系統中之基板蝕刻 之敍刻性質的現場決定方法,其中,該蝕刻率係從該層之 °亥最大厚度對該比率信號中的該終點反轉點之該終止時間
第30頁 1240326 曰 皇號 9212QAU 六、申請專利範圍 的一比率來決定的。 3二申Λ?範圍第32項的電衆處理系統中之基板敍刻 該平均厚::現場決定方法,其中,該蝕刻率係從該層之 2厚度對該比率信號中的該終點反轉點之該反折時 的—比率來& ^。 β反折時間 3:二申二”範圍第2°項的電聚處理系統中之基板蝕刻 有關p i場決定方法’其中,1亥至少 '终點信號係 有關於彳之该電漿處理系統來之放射光線的一光碰輕射。 申Λ專利範圍第20項的電E處理系統中之H敍刻 的現場決定方法,其…至少-終點信號係 專㈣圍第20項的電衆處理系統中之基板姓刻 為ίΐ! Γ見場決定方法’其中,胃方法更包含步驟: 3 q 1由^點信號之該終點反轉點決定一時間期間。 之蝕二Λ 範圍第38項的電衆處理系統中之基板蝕刻 ί=ϊ的現場決定方法,其中’該方法更包含步驟: 範園、該終點反轉點之該時間期間與該層之該厚度 摩巳圍來決疋一餘刻率一致性。 4:.::Λ專第31項的電衆處理系統中之基板㈣ ==的現場決定方法,其中,該方法更包含步驟: 為该比率化唬之該終點反轉點決定一時間期 41.如申請專利範圍第4〇項的電漿 0 ° 從該蝕刻率、該綠點反轉點之法更包3 V驟. 千I、點反轉點之3玄時間期間與該層之該厚度
第31頁 1240326
—_ 案號 9212Q4U 六、申請專利範圍 範圍來決定一姓刻率一致性。 42·如申請專利範圍第9項的電漿處理系統,其中,該餘刻 率一致性△ £係從來決定的,其中T 二=度、ΔΤ為該厚度範圍、Δΐ為該起始時間與該終止 「曰Ί間的時間差,而Ε為該蝕刻率。 43·如申請專利範圍第10項的電漿處理系統,童 ^、 ,遠名虫 刈率一致性△ Ε係從來決定的,其中τ 取大厗度、△ T為該厚度範圍、△ t為該起始時間與 時間間的時間差,而β為該蝕刻率。 、W终止
TW092129414A 2002-10-31 2003-10-23 Method and apparatus for determining an etch property using an endpoint signal TWI240326B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US42251102P 2002-10-31 2002-10-31

Publications (2)

Publication Number Publication Date
TW200414346A TW200414346A (en) 2004-08-01
TWI240326B true TWI240326B (en) 2005-09-21

Family

ID=32312519

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092129414A TWI240326B (en) 2002-10-31 2003-10-23 Method and apparatus for determining an etch property using an endpoint signal

Country Status (6)

Country Link
US (1) US8048326B2 (zh)
JP (1) JP4267575B2 (zh)
KR (1) KR101015730B1 (zh)
AU (1) AU2003279826A1 (zh)
TW (1) TWI240326B (zh)
WO (1) WO2004042788A2 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7430496B2 (en) * 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
KR100707808B1 (ko) * 2006-02-10 2007-04-17 주식회사 무진산업 플라즈마 에칭용 테스트지그
JP5198616B2 (ja) * 2011-03-28 2013-05-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN104736744B (zh) * 2012-10-17 2017-06-06 东京毅力科创株式会社 使用多变量分析的等离子体蚀刻终点检测
CN103440361B (zh) * 2013-07-19 2016-02-24 清华大学 一种等离子体刻蚀工艺中刻蚀产额的建模方法
KR102507814B1 (ko) 2015-04-23 2023-03-07 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정 종료점에 대한 인-시튜 식각률 결정
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
KR20180073700A (ko) 2015-11-16 2018-07-02 도쿄엘렉트론가부시키가이샤 진보된 광학 센서 및 플라즈마 챔버용 방법
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
WO2018004649A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Systems, methods and devices for etching control
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
WO2018094219A1 (en) 2016-11-18 2018-05-24 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
US10446453B2 (en) 2017-03-17 2019-10-15 Tokyo Electron Limited Surface modification control for etch metric enhancement
JP2022533246A (ja) 2019-05-23 2022-07-21 東京エレクトロン株式会社 ハイパースペクトルイメージングを使用する半導体プロセスの光学的診断
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
KR20230092176A (ko) * 2021-12-17 2023-06-26 삼성전자주식회사 플라즈마 공정 챔버의 화학종을 진단하는 진단 장치, 그것을 포함하는 화학종 진단 시스템 및 그것의 동작 방법

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4847792A (en) * 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US5337144A (en) * 1990-06-19 1994-08-09 Applied Materials, Inc. Etch rate monitor using collimated light and method of using same
US5198072A (en) * 1990-07-06 1993-03-30 Vlsi Technology, Inc. Method and apparatus for detecting imminent end-point when etching dielectric layers in a plasma etch system
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5582746A (en) * 1992-12-04 1996-12-10 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5573624A (en) * 1992-12-04 1996-11-12 International Business Machines Corporation Chemical etch monitor for measuring film etching uniformity during a chemical etching process
US5372673A (en) * 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5489361A (en) * 1994-06-30 1996-02-06 International Business Machines Corporation Measuring film etching uniformity during a chemical etching process
US5501766A (en) * 1994-06-30 1996-03-26 International Business Machines Corporation Minimizing overetch during a chemical etching process
US5445705A (en) * 1994-06-30 1995-08-29 International Business Machines Corporation Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
JPH08232087A (ja) * 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd エッチング終点検出方法及びエッチング装置
EP0735565B1 (en) * 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
JP3193265B2 (ja) * 1995-05-20 2001-07-30 東京エレクトロン株式会社 プラズマエッチング装置
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5928532A (en) * 1996-11-11 1999-07-27 Tokyo Electron Limited Method of detecting end point of plasma processing and apparatus for the same
US5694207A (en) * 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5972796A (en) * 1996-12-12 1999-10-26 Texas Instruments Incorporated In-situ barc and nitride etch process
US6104487A (en) * 1996-12-20 2000-08-15 Texas Instruments Incorporated Plasma etching with fast endpoint detector
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6054333A (en) * 1997-10-14 2000-04-25 University Of Houston Real time etch measurements and control using isotopes
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6383402B1 (en) * 1998-04-23 2002-05-07 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6419801B1 (en) * 1998-04-23 2002-07-16 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP3383236B2 (ja) * 1998-12-01 2003-03-04 株式会社日立製作所 エッチング終点判定方法及びエッチング終点判定装置
JP3887238B2 (ja) 1998-12-01 2007-02-28 株式会社日立製作所 絶縁膜のエッチング方法
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6650426B1 (en) * 1999-07-12 2003-11-18 Sc Technology, Inc. Endpoint determination for recess etching to a precise depth
US6564114B1 (en) * 1999-09-08 2003-05-13 Advanced Micro Devices, Inc. Determining endpoint in etching processes using real-time principal components analysis of optical emission spectra
US6582618B1 (en) * 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
TW524888B (en) * 2000-02-01 2003-03-21 Winbond Electronics Corp Optical temperature measurement as an in-situ monitor of etch rate
JP4754757B2 (ja) * 2000-03-30 2011-08-24 東京エレクトロン株式会社 基板のプラズマ処理を調節するための方法、プラズマ処理システム、及び、電極組体
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
EP1143222A3 (en) * 2000-04-06 2002-01-02 Applied Materials, Inc. Method and apparatus for detecting the thickness of copper oxide
US6855567B1 (en) * 2000-05-31 2005-02-15 Lam Research Corporation Etch endpoint detection
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6745095B1 (en) * 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
US6831742B1 (en) * 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
JP2002170812A (ja) * 2000-12-04 2002-06-14 Matsushita Electric Ind Co Ltd プラズマエッチングの終点検出方法および装置、並びにプラズマエッチング装置
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
US6673199B1 (en) * 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
CN100381799C (zh) * 2001-03-23 2008-04-16 东京电子株式会社 利用偏最小二乘法探测终点的方法和设备
IE20010288A1 (en) * 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US6903826B2 (en) * 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US6888639B2 (en) * 2001-09-24 2005-05-03 Applied Materials, Inc. In-situ film thickness measurement using spectral interference at grazing incidence
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US20030119215A1 (en) * 2001-12-21 2003-06-26 Petrucci Joseph Louis Method and system for determining a performance of plasma etch equipment
AU2003220019A1 (en) * 2002-03-20 2003-10-08 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6979578B2 (en) * 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US6784110B2 (en) * 2002-10-01 2004-08-31 Jianping Wen Method of etching shaped features on a substrate
US6919279B1 (en) * 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
TWI246725B (en) * 2002-10-31 2006-01-01 Tokyo Electron Ltd Method and apparatus for detecting endpoint
US6859765B2 (en) * 2002-12-13 2005-02-22 Lam Research Corporation Method and apparatus for slope to threshold conversion for process state monitoring and endpoint detection
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
JP4349848B2 (ja) * 2003-06-12 2009-10-21 パナソニック株式会社 終点検出方法および終点検出装置

Also Published As

Publication number Publication date
KR101015730B1 (ko) 2011-02-22
AU2003279826A8 (en) 2004-06-07
US20060048891A1 (en) 2006-03-09
AU2003279826A1 (en) 2004-06-07
WO2004042788A3 (en) 2004-07-15
US8048326B2 (en) 2011-11-01
JP2006505137A (ja) 2006-02-09
WO2004042788A2 (en) 2004-05-21
JP4267575B2 (ja) 2009-05-27
TW200414346A (en) 2004-08-01
KR20050063800A (ko) 2005-06-28

Similar Documents

Publication Publication Date Title
TWI240326B (en) Method and apparatus for determining an etch property using an endpoint signal
TW200405766A (en) Method and system for data handling, storage and manipulation
TW498475B (en) Detection of process endpoint through monitoring fluctuation of output data
TW466535B (en) Plasma processing method and apparatus with control of RF bias
TWI290809B (en) Procedure and device for the production of a plasma
KR101220073B1 (ko) 기판 상의 실리콘층을 에칭하는 방법, 기판 상의 실리콘층을 에칭하기 위한 플라즈마 처리 시스템 및 컴퓨터 판독가능한 매체
TWI285403B (en) Low-pressure removal of photoresist and etch residue
JP2011249841A (ja) エンドポイントを検出するための方法及び装置
JP5468113B2 (ja) シリコンに対する誘電材料の選択エッチング方法及びシステム
TW200540942A (en) Method and system of dry cleaning a processing chamber
JP2006508524A (ja) 電気的特性を利用して、プラズマ反応炉内の膜の状態を判断するシステムおよび方法
TW200426649A (en) A process system health index and method of using the same
KR20070057983A (ko) 임피던스를 측정하여 플라즈마 처리 시스템에서의프로세스를 모니터링하는 방법 및 장치
KR20070083803A (ko) 자체 바이어스 전압을 측정하여 플라즈마 처리시스템에서의 프로세스를 모니터링하는 방법 및 장치
KR20070068420A (ko) 플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의프로세스를 모니터링하는 방법 및 장치
TW200404330A (en) Method and system for controlling a process using material processing tool and performance data
TWI609606B (zh) 用於功率控制模式之腔室匹配
KR20070020226A (ko) V-i프로브 진단을 이용한 플라즈마 에칭 종료점 검출방법
JP2007502547A (ja) High−k誘電材料をエッチングする方法とシステム。
TWI280617B (en) Method and system of determining chamber seasoning condition by optical emission
US8464741B2 (en) Flow control method for multizone gas distribution
JP2004055324A (ja) プラズマ密度情報測定方法およびその装置、並びにプラズマ密度情報監視方法およびその装置、並びにプラズマ処理方法およびその装置
TWI390627B (zh) 利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統
CN117123565A (zh) 一种石墨舟干法清洗设备及清洗方法
TW200529320A (en) Method and apparatus for etching an organic layer

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees