KR20070068420A - 플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의프로세스를 모니터링하는 방법 및 장치 - Google Patents

플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의프로세스를 모니터링하는 방법 및 장치 Download PDF

Info

Publication number
KR20070068420A
KR20070068420A KR1020077009424A KR20077009424A KR20070068420A KR 20070068420 A KR20070068420 A KR 20070068420A KR 1020077009424 A KR1020077009424 A KR 1020077009424A KR 20077009424 A KR20077009424 A KR 20077009424A KR 20070068420 A KR20070068420 A KR 20070068420A
Authority
KR
South Korea
Prior art keywords
plasma
frequency
substrate
value
plasma processing
Prior art date
Application number
KR1020077009424A
Other languages
English (en)
Inventor
치아 청 청
티모시 제이 기니
라오 아나프라가다
수바시 데시무크
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070068420A publication Critical patent/KR20070068420A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 처리 챔버를 갖는 플라즈마 처리 시스템에서 프로세스를 인시츄 모니터링하는 방법이 개시된다. 본 방법은 기판을 플라즈마 처리 챔버에 위치시키는 단계를 포함한다. 또한, 본 방법은 기판이 플라즈마 처리 챔버 내에 배치되면서 플라즈마 처리 챔버 내의 플라즈마를 가격하는 단계를 포함한다. 본 방법은, 플라즈마가 가격된 후 존재하는 측정된 플라즈마 주파수를 획득하는 단계를 더 포함하며, 측정된 플라즈마 주파수값은 플라즈마 부재시의 제 1 값 및 제 1 값과는 상이한 플라즈마 존재시의 제 2 값을 적어도 갖는다. 또한, 본 방법은, 측정된 플라즈마 주파수값이 미리 정의된 플라즈마 주파수값 범위의 외부에 존재하면, 측정된 플라즈마 주파수값을 프로세스의 속성에 상관시키는 단계를 포함한다.
플라즈마 처리 시스템

Description

플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의 프로세스를 모니터링하는 방법 및 장치{METHODS AND APPARATUS FOR MONITORING A PROCESS IN A PLASMA PROCESSING SYSTEM BY MEASURING A PLASMA FREQUENCY}
배경
본 발명은 일반적으로 기판 제조 기술에 관한 것이고, 더 상세하게는, 플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의 프로세스를 모니터링하는 방법 및 장치에 관한 것이다.
예를 들어, 반도체 기판과 같은 기판 또는 평판 패널 디스플레이 제조에 사용되는 유리 패널의 처리에서는, 흔히 플라즈마가 이용된다. 예를 들어, 기판 처리의 일부로서, 기판은, 각각 집적회로가 될 복수의 다이 또는 직사각형 영역으로 분할된다. 그 후, 기판은, 전기 콤포넌트를 형성하기 위해 재료가 선택적으로 제거되고 (에칭 단계) 적층되는 (적층 단계) 일련의 단계에서 처리된다.
예시적인 플라즈마 처리에서, 기판은 에칭되기 전에 경화 에멀션의 박막 (예를 들어, 포토레지스트 마스크) 으로 코팅된다. 그 후, 경화 에멀션의 영역은 선택적으로 제거되어 하부층의 콤포넌트가 노출되게 한다. 그 후, 기판은, 플라즈마 처리 챔버에서 척 또는 페데스탈로 불리는 모노폴라 또는 바이폴라 전극을 포함하는 기판 지지 구조 상에 배치된다. 그 후, 적절한 에천트 소스가 챔버에 유입되고 플라즈마를 형성하도록 가격되어, 기판의 노출 영역을 에칭한다.
도 1 을 참조하면, 용량 결합 플라즈마 처리 시스템의 개략도가 도시되어 있다. 일반적으로, 용량 결합 플라즈마 처리 시스템은 단일 RF 전원 또는 2 개의 분리된 RF 전원으로 구성될 수도 있다. 소스 RF 생성기 (134) 에 의해 생성된 소스 RF 는 통상적으로 플라즈마를 생성하고 용량 결합을 통해 플라즈마 밀도를 제어하는데 사용된다. 한편, 바이어스 RF 생성기 (138) 에 의해 생성된 바이어스 RF 는 통상적으로 DC 바이어스 및 이온 폭발 에너지를 제어하는데 사용된다. RF 전원의 임피던스를 플라즈마 (110) 의 임피던스에 정합시키도록 시도하는 정합 네트워크 (136) 가 소스 RF 생성기 (134) 및 바이어스 RF 생성기 (138) 에 추가적으로 결합된다. 또한, 정합 네트워크 (136) 는, 플라즈마를 처리 조건에 더 최적화하기 위해 생성된 플라즈마 주파수를 수정하는 능력, 및 플라즈마 (110) 에 전달되는 전류의 임피던스와 전압을 측정할 수 있는 V/I 프로브 (미도시) 를 포함할 수도 있다.
일반적으로, 적절한 가스의 세트가 가스 분배 시스템 (122) 으로부터 상단 전극 (104) 의 입구를 통해 챔버 (102) 로 유입된다. 이러한 플라즈마 처리 가스는, 전극으로도 기능하는 정전 척 (116) 상의 에지 링 (115) 에 의해 위치되는, 반도체 기판 또는 유리 패널과 같은 기판 (114) 의 노출 영역을 처리 (예를 들어, 에칭 또는 적층) 하기 위해, 후속적으로 이온화되어 플라즈마 (110) 를 형성할 수도 있다.
통상적으로, 플라즈마가 발화되면 열 평형을 달성하기 위해 냉각 시스템 (140) 이 정적 척에 결합된다. 냉각 시스템 자체는 캐비티를 통해 척 내부로 냉각제를 펌프하는 냉각기를 포함하고, 헬륨 가스는 펌프 (111) 에 의해 척과 기판 사이에 (예들 들어, He 흐름) 펌프된다. 헬륨 가스는 생성된 열을 제거할 뿐만 아니라 냉각 시스템이 열 발산을 신속하게 제어하게 한다. 즉, 헬륨 압력을 증가시키면 후속적으로 열 전달 속도가 증가된다. 또한 대부분의 플라즈마 처리 시스템은 동작 소프트웨어 프로그램을 포함하는 정교한 컴퓨터에 의해 제어된다. 통상의 동작 환경에서는, 특정 플라즈마 처리 시스템 및 특정 레시피를 위해 제조 파라미터들 (예를 들어, 전압, 가스 흐름 혼합비, 가스 유량, 압력 등) 이 구성된다.
듀얼 다마신과 같은 통상의 기판 제조 방식에서는, 비어 홀을 충전하는 도전 플러그에 의해 유전층이 전기적으로 접속된다. 일반적으로, 개구부가 유전층에 형성되고, 통상적으로 TaN 또는 TiN 배리어를 따라 정렬되고, 그 후, 2 세트의 도전 패턴 사이의 전기적 접촉을 허용하는 도전재 (예를 들어, 알루미늄 (Al), 구리 (Cu) 등) 로 충전된다. 이것은, 소스/드레인 영역과 같은 기판상의 2 개의 활성 영역간의 전기 접촉을 확립한다. 유전층 표면 상의 여분의 도전재는 통상적으로 화학적 기계적 연마 (CMP) 에 의해 제거된다. 그 후, 질화 규소의 블랭킷 층이 적층되어 구리를 덮는다.
그러나, 이러한 플라즈마 프로세스 및 다른 플라즈마 프로세스에서는, 프로세스 조건이, 확립된 파라미터를 언제 벗어날 지를 정확하게 결정하는 것은 어렵다. 더 상세하게는, 디바이스 치수가 감소되고 더 향상된 낮은 k 재료가 사용됨에 따라, 균일한 에칭 레이트, 개선된 수율 등을 유지하기 위해 실질적으로 안정 된 프로세스 조건에 대한 요건은 더 엄격해진다.
더 상세하게는, 오염이 실질적인 문제점을 발생시키는 경향이 있다. 통상적으로 오염의 정도는 특정 플라즈마 프로세스 (예를 들어, 화학물질, 전력 및 온도) 및 챔버의 초기 표면 조건에 의존한다. 적층을 완전하게 제거하는 것은 시간이 소모될 수도 있기 때문에, 플라즈마 처리 시스템 챔버는 실질적으로 입자 오염 레벨이 허용될 수 없는 레벨에 도달한 경우, 소모성 구조 (예를 들어, 에지 링 등) 또는 스케줄링된 예방 보수 (PM; preventive maintenance) 의 부품을 대체하기 위해 플라즈마 처리 시스템이 개방되어야 하는 경우에만 세정된다.
유사하게, 하드웨어 악화 또한 문제를 발생시킨다. 플라즈마 챔버 콤포넌트가 플라즈마에 노출됨에 따라, 콤포넌트 자체가 손상되고, 기계적 특성 및 전기적 특성이 변화되고, 또한 오염을 생성할 수도 있다. 실제로, WAC (Waferless Auto Clean) 동안의 정전 척 (척) 에서와 같이, 세정 프로세스 자체가 콤포넌트를 손상시킬 수도 있다.
그러나, 일반적으로, 최초의 초기 프로세싱 및 후속적으로 부분적인 제조 기판의 테스트가 없으면, 플라즈마 프로세스가 확립된 파라미터에서 벗어났는지 여부를 인시츄로 결정하는 효과적인 방법은 없다. 즉, 기판의 배치 (batch) 가 처리된 후 샘플 기판이 배치로부터 제거되고 테스트된다. 테스트에서 기판이 확립된 사양을 충족하지 못하는 것으로 결정되면, 기판의 전체 배치가 파괴되는 것이 요구될 수도 있다.
툴의 동작을 충분히 캡쳐하기 위해 플라즈마 처리 시스템의 단순화된 실험적 모델을 생성하는 것이 하나의 해결책일 수도 있다. 그러나, 실험적 모델을 생성하는 것은 문제가 될 수도 있다. 예를 들어, 단순화된 실험적 모델을 위한 파라미터를 추출하기 위해, 변형된 비동작적 플라즈마 챔버가 분석될 수도 있다. 또 다른 기술에서는, 네트워크 분석기를 사용하여 플라즈마 처리 시스템의 개별적 콤포넌트가 개별적으로 측정될 수도 있다.
그러나, 플라즈마 프로세스의 반복 자체가 플라즈마 처리 시스템 콤포넌트의 전기적 특성에 영향을 미칠 수도 있기 때문에, 대략적으로 상관된 (따라서, 약한 예측성의) 모델을 획득하는 것조차 어렵다. 단순화된 실험적 모델의 생성은 드물게 행해질 수도 있고, 숙련가에 의해서만 행해질 수도 있다.
전술한 관점에서, 플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의 프로세스를 모니터링하는 방법 및 장치가 요구된다.
요약
일 실시형태에서, 본 발명은 플라즈마 처리 시스템에서 플라즈마 처리 챔버를 갖는 플라즈마 처리 시스템에서의 프로세스를 인시츄 모니터링하는 방법에 관한 것이다. 본 방법은 플라즈마 처리 챔버에서 기판을 위치시키는 단계를 포함한다. 또한, 본 방법은 기판이 플라즈마 처리 챔버 내에 배치되면서 플라즈마 처리 챔버 내의 플라즈마를 가격하는 단계를 포함한다. 본 방법은 플라즈마가 가격된 후 존재하는 측정된 플라즈마 주파수를 획득하는 단계를 더 포함하며, 측정된 플라즈마 주파수는 플라즈마 부재시의 제 1 값을 갖고, 제 1 값과는 상이한 플라즈마 존재시의 제 2 값을 적어도 갖는다. 또한, 본 방법은 측정된 플라즈마 주파 수값이 미리 정의된 플라즈마 주파수값 범위 밖이면 측정된 플라즈마 주파수값을 프로세스의 속성과 상관시키는 단계를 포함한다.
일 실시형태에서, 본 발명은 플라즈마 처리 시스템에서 플라즈마 처리 챔버를 갖는 플라즈마 처리 시스템에서의 프로세스를 인시츄 모니터링하는 장치에 관한 것이다. 본 장치는 플라즈마 처리 챔버에서 기판을 위치시키는 수단을 포함한다. 또한, 본 장치는, 기판이 플라즈마 처리 챔버 내에 배치되면서 플라즈마 처리 챔버 내의 플라즈마를 가격하는 수단을 포함한다. 본 발명은 플라즈마가 가격된 후 존재하는 측정된 플라즈마 주파수를 획득하는 수단을 더 포함하며, 측정된 플라즈마 주파수는 플라즈마 부재시의 제 1 값을 갖고, 제 1 값과는 상이한 플라즈마 존재시의 제 2 값을 적어도 갖는다. 또한, 본 장치는 측정된 플라즈마 주파수값이 미리 정의된 플라즈마 주파수값 범위 밖이면 측정된 플라즈마 주파수값을 프로세스의 속성과 상관시키는 수단을 포함한다.
본 발명의 이러한 특성 및 다른 특성을 다음의 상세한 설명 및 첨부한 도면에서 더 상세히 설명한다.
도면의 간단한 설명
본 발명은 첨부한 도면에서 한정이 아닌 예시의 방식으로 설명되며, 유사한 도면 부호는 유사한 엘리먼트를 나타낸다.
도 1 은 용량 결합 플라즈마 처리 시스템의 개략도이다.
도 2 는 본 발명의 일 실시형태에 따른 특정한 동일 플라즈마 처리 시스템에서 일 세트의 블랭킷 산화 에칭의 단순화된 통계적 프로세스 제어도이다.
도 3 은 본 발명의 일 실시형태에 따른 후면측 He 흐름도가 추가된, 도 2 의 개략도이다.
도 4 는 본 발명의 일 실시형태에 따른 V/I 프로브에서 27 MHz 에 대해 측정된 임피던스가 추가된, 도 2 의 개략도이다.
도 5 는 본 발명의 일 실시형태에 따른 V/I 프로브에서 2 MHz 에 대해 측정된 임피던스가 추가된, 도 2 의 개략도이다.
도 6 은 본 발명의 일 실시형태에 따른 V/I 프로브에서 27 MHz 에 대해 측정된 주파수가 추가된, 도 2 의 개략도이다.
도 7 은 본 발명의 일 실시형태에 따른 V/I 프로브에서 측정된 임피던스 위상각이 추가된, 도 2 의 개략도이다.
도 8 은 본 발명의 일 실시형태에 따른 프로세스의 인시츄 모니터링에 대한 방법의 개략도이다.
바람직한 실시형태의 상세한 설명
이하, 첨부한 도면에서 도시한 바와 같은 몇몇 바람직한 실시형태를 참조하여 본 발명을 상세히 설명한다. 다음의 설명에서는, 본 발명의 철저한 이해를 제공하기 위해 수치화된 특정 세부사항들을 설명한다. 그러나, 본 발명은 이러한 특정 세부사항의 일부 또는 전부가 없어도 실시될 수도 있음은 당업자에게 자명할 것이다. 다른 예에서는, 본 발명을 불필요하게 모호하게 하지 않도록 공지의 프로세스 단계 및/또는 구조는 상세히 설명하지 않았다.
이론에 한정되지 않기를 기대하면서, 본 발명자는, 실질적으로 측정이 용이 한 플라즈마 파라미터 편위 (excursion) 는 실질적으로 측정이 어려운 기판 속성 편위에 관련될 수 있다고 확신한다. 일반적으로, 편위는 확립된 통계적 범위 또는 값의 범위의 외부에 있는 데이터 포인트를 나타낸다. 즉, 편위는 통계적 제어 한계의 상한보다 높은 데이터 포인트 또는 통계적 제어 한계의 하한보다 낮은 데이터 포인트일 수도 있다. 플라즈마 프로세스에서, 검출되지 않거나 방해받지 않는 임의의 편위는 상당한 양의 기판 재료를 위험하게 할 수도 있다.
예를 들어, 정규의 동작 중에, 플라즈마 파라미터는 특정 범위 또는 값 범위 (즉, 각각의 플라즈마 주파수에 대한 일 세트의 임피던스, 각각의 플라즈마 주파수에 대한 일 세트의 위상각, 각각의 플라즈마 주파수에 대한 특정 주파수 범위, 자체 바이어스 전압 등) 내에 잔류하는 것이 기대된다. 이 범위는 일부 타겟 또는 베이스 라인의 3 표준편차 (즉 3σ) 이다.
통상적으로 표준편차 (σ) 는 분산의 제곱근이다. 표준편차는 가장 통상적으로 사용되는 확산의 측정값이다. 일반적으로, 정규 분포의 평균 및 표준편차가 공지되면, 임의의 소정 스코어 (즉, 데이터 포인트 등) 와 관련된 백분위 랭크를 연산할 수 있다. 정규 분포에서, 스코어의 약 68% 는 평균으로부터 1 표준편차 내에 존재하고, 스코어의 약 95% 는 평균으로부터 2 표준편차 내에 존재하고, 스코어의 약 99% 는 평균으로부터 3 표준편차 내에 존재한다.
Figure 112007031382019-PCT00001
식 (1)
여기서, X 는 특정 스코어이고, μ 는 평균이고, N 은 스코어의 수이다.
그러나, 플라즈마 처리 레시피는 플라즈마 파라미터에 대해 최적화되고, 따라서 플라즈마 파라미터에 매우 민감해지는 경향이 있다. 따라서, 플라즈마 처리 시스템에서의 소정의 문제에 대해, 기판 속성 편위 (즉, 부적합한 에칭 레이트 등) 는 플라즈마 파라미터 편위 (즉, 특정 주파수에 대해 3σ 보다 큰 임피던스값 등) 에 상관될 수 있다. 즉, 특정 문제는 플라즈마 및 기판 모두에 일 세트의 편위를 발생시키는 경향이 있다. 통상의 플라즈마 처리 문제 (및 가능한 프로세스 편위) 는 챔버 오염, 플라즈마의 구조적 손상 및 악화, 가스 압력 누설, 가스 흐름 혼합 문제, 사양을 벗어난 챔버 온도, 열악한 RF 케이블, 부적합하게 접속된 케이블 등을 포함한다.
일 실시형태에서는, 특정 주파수에서 RF 전원의 임피던스에서의 편위와 기판 속성 편위 (예를 들어, 부적합한 포토레지스트 에칭 레이트 등) 간의 상관이 결정될 수 있다.
또 다른 실시형태에서는, 주파수 동조 플라즈마 시스템에서의 주파수의 편위와 기판 속성 편위 (예를 들어, 부적합한 포토레지스트 에칭 레이트 등) 간의 상관이 결정될 수 있다. 일반적으로, 주파수 동조 플라즈마 시스템은 프로세스 동안 반사된 전력을 최소화하기 위해 플라즈마를 생성하는데 사용된 주파수 세트를 변경할 수 있다. 그 결과, 주파수는 플라즈마 임피던스에서의 변화에 응답하여 변화한다.
또 다른 실시형태에서는, 특정 주파수에서 RF 전원의 위상각의 편위와 기판 속성 편위 (예를 들어, 부적합한 포토레지스트 에칭 레이트 등) 간의 상관이 결정 될 수 있다.
또 다른 실시형태에서는, 자체 바이어스 전압에서의 편위와 기판 속성 편위 (예들 들어, 부적합한 포토레지스트 에칭 레이트 등) 간의 상관이 결정될 수 있다.
일반적으로, 충분한 에너지의 플라즈마 이온이 기판에 충돌하도록 기판의 바로 전면 (예를 들어, 기판과 플라즈마의 사이) 에 전기장이 생성되어야 한다. 통상적으로 자체 바이어스 전압으로 공지된 플라즈마 방전 전압간의 전위차가 크면 클수록, 기판이 플라즈마 이온을 흡인하는 경향은 더 커진다. 그러나, 플라즈마 챔버에는 플라즈마 방전과 다른 비-타겟 표면 (즉, 챔버 벽, 상부 전극 등) 사이에도 전압 전위차가 존재할 수도 있으며, 이는 기판으로부터 플라즈마 이온을 벗어나게 할 수도 있기 때문에, 자체 바이어스 전압는 이러한 표면에 대해 실질적으로 큰 전위차를 가져야 한다. 후속적으로, 플라즈마 및 기판에 영향을 미치는 문제는 자체 바이어스 전압에 영향을 미치는 경향이 있다.
전술한 바와 같이, 플라즈마 처리 시스템은 몇몇 타입의 RF 전원에 의해 전력이 공급된다. 통상적으로, 플라즈마를 생성하고 플라즈마 밀도를 제어하는데 사용되는 소스 RF 생성기, 및 플라즈마 DC 바이어스 및 이온 충돌 에너지를 제어하는데 사용되는 바이어스 RF 생성기가 존재한다. 통상적으로 이러한 RF 소스들은 RF 전원의 임피던스를 플라즈마의 임피던스에 정합시키기 위해 정합 네트워크를 통해 플라즈마에 차례로 결합된다.
또한, 정합 네트워크는, 전압 (V), 전류 (I), 플라즈마의 전압 (V) 과 전류 (I) 간의 위상각 (θ), 임피던스 (Z), 전달 전력, 순방향 전력, 반사 전력, 리액티 브 전력, 반사 계수 등을 측정하는 V/I 프로브를 포함할 수도 있다. 또한, 정합 네트워크는 플라즈마를 프로세스 조건에 더 최적화시키기 위해 생성된 플라즈마 주파수를 확립된 범위값 내로 변형할 수도 있다. 전술한 바와 같이, 플라즈마를 생성하는데 사용된 주파수의 일 세트를 변형할 수 있는 플라즈마 처리 시스템을 통상적으로 주파수 동조 플라즈마 시스템이라 한다.
전달 전력은 일반적으로,
Power = V × I × cos(θ) 식 (2)
로 유도될 수 있다.
복소수인 임피던스는 일반적으로,
Z = V0/I0 = R + jX 식 (3)
로 유도될 수 있으며, 여기서 V0 는 기본(피크 전압)의 전압이고, I0 는 기본(피크 전류)의 전류이고, R 은 실수 저항값이고, j 는 -1 의 제곱근 (복소수의 허수부) 이고, X 는 복소 리액턴스이다. 복소 리액턴스는, ω 로 표시되는 각주파수를 갖는 생성 신호 각각의 AC 사이클로 전류 및 전압이 진동할 때 전자 콤포넌트가 에너지를 저장하고 릴리스하는 한도의 표현이다.
ω 는 전압원에 의해 생성된 신호의 각주파수이고,
ω = 2π (주파수) 식 (4)
로 표현된다.
플라즈마 임피던스의 위상각은,
위상각 (θ) = tan-1 (X/R) 식 (5)
로 표현되며, R = Zcos(θ) 이고, X = Zsin(θ) 이다.
도 2 를 참조하면, 본 발명의 일 실시형태에 따라, 몇 주 동안 특정 동일 플라즈마 처리 시스템에서 일 세트의 블랭킷 산화물 에칭의 단순화된 통계적 프로세스 제어도가 도시되어 있다. 일반적으로, 플라즈마 처리 시스템의 품질을 요건에 대한 적합성이라 한다. 일반적으로 적합성은, 기판이 타겟, 공차 등과 같은 레시피에서의 미리 확립된 요건 또는 사양을 충족하는 정도를 나타낸다.
또한, 임의의 소정의 플라즈마 프로세스는 분산으로도 공지된 어느 정도의 불확실성을 포함할 수도 있다. 일반적으로, 분산의 감소는 그에 대응하는 품질에서의 증가에 직접 관련된다. 몇몇 분산의 원인은 전형적인 것 또는 허용가능한 것으로 고려되고, 처리를 필수적으로 요구하지는 않는다. 예를 들어, 상이한 플라즈마 처리 시스템에서 동일한 프로세스를 실행함으로써 제조된 기판에는 미세한 차이가 발생할 수 있다. 즉, 하나의 플라즈마 처리 시스템을 다른 시스템에 정합시키려는 시도에서, 편차는 거의 확실하게 발생한다. 분산의 다른 원인은 통상적인 것이 아니거나 특별하다. 이러한 원인들은 프로세스에서 기대되는 부분이 아니며 따라서 어떠한 타입의 보정 작업을 요구할 수도 있다. 즉, 이들은 통상적인 분산의 경계를 초과한다. 예를 들어, 플라즈마 챔버에서의 수분은 기판을 파괴할 수 있다.
이 도면에서, 목표는 약 110.52 nm/분의 소망하는 평균 에칭 레이트이고, 공 차로는 약 120.12 nm/분의 제어 한계 상한 내의 에칭 레이트 (ER UCL; etch rate within an upper control limit) 및 약 100.91 nm/분의 제어 한계 하한 내의 에칭 레이트 (ER LCL; etch rate within an lower control limit) 를 유지한다. 이러한 특정한 세트의 에칭은, 다른 플라즈마 처리 시스템이 사용될 수도 있지만, Lam Research ExelanTM 2300 듀얼 주파수 플라즈마 처리 시스템에서 수행된다. 프로세스 파라미터는 다음과 같다.
압력 : 70 밀리-torr
전력 (2 MHz) : 1000.0 Watts
전력 (27 MHz) : 2000.0 Watts
가스 혼합 : 5 SCCM CH2F2, 6 SCCM C4F8, 180 SCCM N2 & 200 SCCM Ar
온도 : TP 에서 80 ℃ & ESC 에서 20 ℃
프로세스 시간 : 60 초
CW : 37
플롯 202 는 몇 주의 과정 동안 분당 나노미터 (nm/분) 에서 블랭킷 산화물의 에칭 레이트를 반영한다. 이 도면을 분석하면, 2 개의 편위 포인트: 4/6/2004 에 수행된 204, 및 4/9/2004 에 수행된 206 이 명백해질 수도 있다. 전술한 바와 같이, 편위는, 확립된 통계 범위 또는 값 범위의 외부에 존재하는 데이터 포인트를 나타내고, 다수의 인자 (즉, 챔버 오염, 플라즈마의 구조적 손상 및 악화, 가스 압력 누수, 가스 흐름의 혼합 문제, 사양을 벗어난 챔버 온도, 열악한 RF 케이블, 부적합하게 접속된 케이블, 후면의 He 흐름 등) 에 의해 발생될 수도 있다.
도 3 을 참조하면, 본 발명의 일 실시형태에 따른 후면의 He 흐름도가 부가된, 도 2 의 개략도가 도시되어 있다. 전술한 바와 같이, 플롯 202 는 몇 주의 과정 동안 분당 나노미터 (nm/분) 에서 블랭킷 산화물의 에칭 레이트를 반영한다. 유사하게, 플롯 208 은 각각의 에칭 동안 대응하여 측정된 후면측 He 흐름을 반영한다.
4/6/2004 에 나타난 바와 같이, 에칭 플롯 202 및 He 흐름도의 플롯 208 모두는 204 에서 편위를 나타낸다. 즉, He 흐름이 약 33.5 SCCM 으로 감소됨에 따라, 에칭 레이트 또한 실질적으로 약 33.4 nm/분으로 감소하고, 100.91 nm/분의 제어 한계 하한 (LCL) 인 3σ 를 실질적으로 벗어난다.
에칭 레이트 및 He 흐름 모두가 동일한 포인트에서 편위를 반영하기 때문에, 이것은 상관을 의미할 수도 있다. 따라서, He 흐름은 4/6/2004 에서의 에칭 레이트 편위의 실질적인 원인일 수도 있다. 반대로, 206 에서 약 33.5 nm/분의 4/9/2004 에서의 감소된 에칭 플롯 202 편위는 플롯 204 에서와 같이 감소된 He 흐름에 강하게 상관되지 않는 것으로 보이기 때문에, 감소된 He 흐름은 4/9/2004 에서의 에칭 레이트 편위의 실질적 원인이 아닐 것이다.
도 4 를 참조하면, 본 발명의 일 실시형태에 따른 V/I 프로브에서 27 MHz 에 대해 측정된 임피던스가 추가된, 도 2 의 개략도가 도시되어 있다. 전술한 바와 같이, 플롯 202 는 몇 주의 과정 동안 분당 나노미터 (nm/분) 에서의 블랭킷 산 화물의 에칭 레이트를 반영한다. 또한, 플롯 402 는 27 MHz 에 대해 대응하는 측정된 임피던스를 반영한다.
전술한 바와 같이, 소망하는 목표 에칭 레이트는 약 110.52 nm/분이며, 제어 한계 상한의 에칭 레이트 (ER UCL) 는 약 120.12 nm/분이고, 제어 한계 하한의 에칭 레이트 (ER LCL) 는 약 100.91 nm/분이다. 소망하는 목표 임피던스는 약 3.88 옴이며, 제어 한계 상한의 임피던스 (Z UCL) 는 약 4.02 옴이고, 제어 한계 하한의 임피던스 (Z LCL) 는 약 3.75 옴이다. 에칭 플롯 202 및 27 MHz 에 대한 측정된 임피던스 402 모두는 4/6/2004 에서의 204, 및 4/9/2004 에서의 206a 및 206b 모두의 주위에서 편위를 나타낸다. 따라서, 측정된 임피던스의 편위는 (Z UCL 를 초과하든 Z LCL 미만이든) E/R LCL (즉, 속성 편위) 미만의 에칭 레이트에서의 실질적 감소에 상관되는 것으로 나타난다.
이론에 한정되지 않기를 기대하면서, 본 발명자는, 실질적으로 플라즈마 임피던스를 변경시킬 수도 있는 인자가 에칭 레이트와 같은 기판 속성에서의 실질적 변경을 발생시킬 수도 있다고 확신한다. 이러한 인자는 챔버 재료 (예를 들어, 전극, 제한 링 등) 의 악화, 가스 흐름의 편위, 가스 압력 또는 온도, 기판 타입의 변화, 척 표면에서의 변화, RF 생성기에서의 문제, RF 접속, 열악한 RF 케이블 등을 포함할 수도 있다.
도 5 를 참조하면, 본 발명의 일 실시형태에 따른 V/I 프로브에서 2 MHz 에 대한 측정된 임피던스가 부가된, 도 2 의 개략도가 도시되어 있다. 전술한 바와 같이, 플롯 202 는 몇 주의 과정 동안 분당 나노미터 (nm/분) 에서의 블랭킷 산 화물의 에칭 레이트를 반영한다. 또한, 플롯 502 는 27 MHz 에 대해 대응하는 측정된 임피던스를 반영한다.
전술할 바와 같이, 소망하는 목표 에칭 레이트는 약 110.52 nm/분이며, 제어 한계 상한의 에칭 레이트 (ER UCL) 는 약 120.12 nm/분이고, 제어 한계 하한의 에칭 레이트 (ER LCL) 는 약 100.91 nm/분이다. 소망하는 목표 임피던스는 약 145.73 옴이며, 제어 한계 상한의 임피던스는 약 149.16 옴이고, 제어 한계 하한의 임피던스는 약 142.29 옴이다.
에칭 플롯 202 및 2 MHz 에 대해 측정된 임피던스 402 모두는 4/6/2004 에서의 204a 및 204b, 및 4/9/2004 에서의 206 모두 주위에 편위를 나타낸다. 도 5 에서와 같이, 측정된 임피던스에서의 편위는 (Z UCL 을 초과하든 Z LCL 미만이든) E/R LCL (즉, 속성 편위) 미만의 에칭 레이트에서의 실질적 감소에 상관되는 것으로 나타난다.
도 6 을 참조하면, 본 발명의 일 실시형태에 따른 V/I 프로브에서의 27 MHz 에 대한 측정된 주파수가 부가된, 도 2 의 개략도가 도시되어 있다. 전술한 바와 같이, 주파수 동조 플라즈마 시스템은 프로세스 동안 반사된 전력을 최소화하기 위해 플라즈마를 생성하는데 사용된 일 세트의 주파수를 변경할 수 있다. 그 결과, 주파수는 플라즈마 임피던스에서의 변화에 응답하여 변화한다.
전술한 바와 같이, 플롯 202 는 몇 주의 과정 동안 분당 나노미터 (nm/분) 에서의 블랭킷 산화물의 에칭 레이트를 반영한다. 또한, 플롯 602 는 27 MHz 에 대대 대응하는 측정된 주파수를 반영한다. 전술한 바와 같이, 소망하는 목 표 에칭 레이트는 약 110.52 nm/분이며, 제어 한계 상한의 에칭 레이트 (ER UCL) 는 약 120.12 nm/분이고, 제어 한계 하한의 에칭 레이트 (ER LCL) 는 약 100.91 nm/분이다. 27 MHz 에 대한 소망하는 목표 주파수는 약 27.47680 MHz 이며, 제어 한계 상한의 주파수 (FREQ UCL) 는 약 27.52331 MHz 이고, 제어 한계 하한의 주파수 (FREQ LCL) 는 약 27.43029 MHz 이다.
플롯 202 및 27 MHz 에 대한 측정된 주파수 602 는 4/6/2004 에서의 포인트 204a 및 204b, 및 4/9/2004 주위의 포인트 206 모두의 주위에서 편위를 나타낸다. 도시된 도면에서, 편위는 플롯 평균의 3 표준편차 (3σ) 를 초과하는 포인트로서 정의된다. 따라서, 측정된 주파수에서의 편위는 (FREQ UCL 을 초과하든 FREQ LCL 미만이든) E/R LCL 미만의 에칭 레이트 (즉, 속성 편위) 에서의 실질적 감소에 상관되는 것으로 나타난다.
도 7 을 참조하면, 본 발명의 일 실시형태에 따른 V/I 프로브에서 측정된 임피던스 위상각이 부가된, 도 2 의 개략도가 도시되어 있다. 전술한 바와 같이, 플롯 202 는 몇 주의 과정 동안 분당 나노미터 (nm/분) 에서의 블랭킷 산화물의 에칭 레이트를 반영한다. 또한, 플롯 702 는 임피던스에 대해 대응하는 측정된 위상각을 반영한다.
전술한 바와 같이, 소망하는 목표 에칭 레이트는 약 110.52 nm/분이며, 제어 한계 상한의 에칭 레이트 (ER LUL) 는 120.12 nm/분이고, 제어 한계 하한의 에칭 레이트 (ER LCL) 는 100.91 nm/분이다. 측정된 임피던스 위상각의 소망하는 목표값은 -59.67°이며, 제어 한계 상한의 각 (ANGLE UCL) 은 약 -58.17°이고, 제어 한계 하한의 각 (ANGLE LCL) 은 약 -61.16°이다.
에칭 플롯 202 및 측정된 위상각 702 는 4/6/2004 에서의 포인트 204, 및 4/9/2004 주위의 포인트 206a 및 206b 모두 주위에서 편위를 나타낸다. 도면에서, 편위는 플롯 평균의 3 표준편차 (3σ) 를 초과하는 지점으로서 정의된다. 따라서, 측정된 위상각에서의 편위는 (ANGLE UCL 을 초과하든 ANGLE LCL 미만이든) E/R LCL (즉, 속성 편위) 미만의 에칭 레이트에서 실질적 감소에 상관하는 것으로 나타난다.
도 8 을 참조하면, 본 발명의 일 실시형태에 따른 플라즈마 처리 챔버를 갖는 플라즈마 처리 시스템에서의 프로세스를 인시츄 모니터링하는 방법의 개략도가 도시되어 있다. 우선, 단계 802 에서 기판은 플라즈마 처리 기판에 위치된다. 다음으로, 단계 804 에서, 기판이 플라즈마 처리 챔버 내에 배치되면서 플라즈마 처리 챔버 내에서 플라즈마가 가격된다. 그 후 단계 806 에서, 플라즈마가 가격된 후 존재하는 측정된 플라즈마 주파수가 획득되며, 측정된 플라즈마 주파수는, 플라즈마 부재시의 제 1 값, 및 제 1 값과는 상이한 플라즈마 존재시의 제 2 값을 적어도 갖는다. 단계 808 에서, 측정된 플라즈마 주파수값이 미리 정의된 플라즈마 주파수값 범위를 벗어나면, 단계 810 에서, 측정된 플라즈마 주파수값이 프로세스의 속성과 상관된다. 그렇지 않으면, 단계 812 에서, 측정된 자체 바이어스 값이 프로세스의 속성과 상관되지 않는다.
본 발명을 다양한 바람직한 실시형태의 면에서 설명하였으나, 본 발명의 사상 내의 변형물, 대체물 및 균등물이 존재한다. 예를 들어, 본 발명은 Lam Research 의 플라즈마 처리 시스템 (예를 들어, ExelanTM, ExelanTM HP, ExelanTM HPT, 2300TM, VersysTM Star 등) 에 관련하여 설명했지만, 다른 (예를 들어, 용량 결합, 유도 결합, 대기성 등) 플라즈마 프로세싱 시스템이 사용될 수도 있다. 또한, 본 발명은 다양한 직경 (예를 들어, 200 mm, 300 mm 등) 의 기판에 사용될 수도 있다. 또한, 본 발명의 방법을 구현하는 다양한 대체적 방식이 존재함을 인식해야 한다.
본 발명의 이점은 플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의 프로세스를 모니터링하는 방법 및 장치를 포함한다. 추가적 이점으로는, 진단 또는 모니터링 목적으로 사용될 수 있는 실질적으로 신뢰할 수 있는 신호의 사용이 포함된다.
개시된 예시적인 실시형태 및 최상의 모드를 가지며, 다음의 청구항에 의해 정의되는 본 발명의 목적 및 사상을 벗어나지 않으면서 개시된 실시형태에 대한 변형예 및 변경예가 실시될 수도 있다.

Claims (44)

  1. 플라즈마 처리 챔버를 갖는 플라즈마 처리 시스템에서의 프로세스를 인시츄 모니터링하는 방법으로서,
    상기 플라즈마 처리 챔버에 기판을 위치시키는 단계;
    상기 기판을 상기 플라즈마 처리 챔버 내에 배치하면서 상기 플라즈마 처리 챔버 내에서 플라즈마를 가격하는 단계;
    상기 플라즈마가 가격된 후 존재하는 측정된 플라즈마 주파수를 획득하는 단계로서, 상기 측정된 플라즈마 주파수는 상기 플라즈마 부재시의 제 1 값, 및 상기 제 1 값과는 상이한 상기 플라즈마 존재시의 제 2 값을 적어도 갖는, 상기 플라즈마 주파수를 획득하는 단계;
    상기 측정된 플라즈마 주파수값이 미리 정의된 플라즈마 주파수값 범위를 벗어나면, 상기 측정된 플라즈마 주파수값을 상기 프로세스의 속성에 상관시키는 단계를 포함하는, 인시츄 모니터링 방법.
  2. 제 1 항에 있어서,
    상기 측정된 플라즈마 주파수값을 획득하는 단계는 특정 RF 주파수에서 수행되는, 인시츄 모니터링 방법.
  3. 제 2 항에 있어서,
    상기 특정 RF 주파수는 약 2 MHz 인, 인시츄 모니터링 방법.
  4. 제 2 항에 있어서,
    상기 특정 RF 주파수는 약 27 MHz 인, 인시츄 모니터링 방법.
  5. 제 2 항에 있어서,
    상기 특정 RF 주파수는 약 13.56 MHz 인, 인시츄 모니터링 방법.
  6. 제 2 항에 있어서,
    상기 플라즈마 처리 시스템은 V/I 프로브를 갖는 RF 생성기를 포함하고, 상기 측정된 플라즈마 주파수값을 획득하는 단계는 상기 V/I 프로브를 사용하여 수행되는, 인시츄 모니터링 방법.
  7. 제 6 항에 있어서,
    상기 측정된 플라즈마 주파수값은 위상각 측정의 값을 나타내는, 인시츄 모니터링 방법.
  8. 제 6 항에 있어서,
    상기 측정된 플라즈마 주파수값은 진폭 측정의 값을 나타내는, 인시츄 모니터링 방법.
  9. 제 1 항에 있어서,
    상기 미리 정의된 플라즈마 주파수값의 범위는 플라즈마 주파수의 제어 한계 하한을 포함하는, 인시츄 모니터링 방법.
  10. 제 9 항에 있어서,
    상기 플라즈마 주파수의 제어 한계 하한은 미리 정의된 플라즈마 주파수 목표값의 3σ 이내인, 인시츄 모니터링 방법.
  11. 제 1 항에 있어서,
    상기 미리 정의된 플라즈마 주파수값의 범위는 플라즈마 주파수의 제어 한계 상한을 포함하는, 인시츄 모니터링 방법.
  12. 제 11 항에 있어서,
    상기 플라즈마 주파수의 제어 한계 상한은 미리 정의된 플라즈마 주파수 목표값의 3σ 이내인, 인시츄 모니터링 방법.
  13. 제 1 항에 있어서,
    상기 미리 정의된 플라즈마 주파수값 범위는 플라즈마 주파수의 제어 한계 하한 및 플라즈마 주파수의 제어 한계 상한을 포함하는, 인시츄 모니터링 방법.
  14. 제 1 항에 있어서,
    상기 기판은 반도체 웨이퍼인, 인시츄 모니터링 방법.
  15. 제 1 항에 있어서,
    상기 기판은 유리 패널인, 인시츄 모니터링 방법.
  16. 제 1 항에 있어서,
    상기 기판의 속성은 상기 기판을 에칭하는 동안의 에칭 레이트를 나타내는, 인시츄 모니터링 방법.
  17. 제 1 항에 있어서,
    상기 기판의 속성은 상기 기판을 에칭하는 동안의 선택성 레이트를 나타내는, 인시츄 모니터링 방법.
  18. 제 1 항에 있어서,
    상기 기판의 속성은 상기 기판을 에칭하는 동안의 에칭 균일성 측정값을 나타내는, 인시츄 모니터링 방법.
  19. 제 1 항에 있어서,
    상기 플라즈마 처리 시스템은 용량 결합 플라즈마 처리 시스템인, 인시츄 모니터링 방법.
  20. 제 1 항에 있어서,
    상기 플라즈마 처리 시스템은 유도 결합 플라즈마 처리 시스템인, 인시츄 모니터링 방법.
  21. 제 1 항에 있어서,
    상기 플라즈마 처리 시스템은 대기성 플라즈마 처리 시스템인, 인시츄 모니터링 방법.
  22. 제 1 항에 있어서,
    상기 플라즈마 처리 시스템은 주파수 동조 결합 플라즈마 처리 시스템인, 인시츄 모니터링 방법.
  23. 플라즈마 처리 챔버를 갖는 플라즈마 처리 시스템에서의 프로세스를 인시츄 모니터링하는 장치로서,
    상기 플라즈마 처리 챔버에 기판을 위치시키는 수단;
    상기 기판을 상기 플라즈마 처리 챔버 내에 배치하면서 상기 플라즈마 처리 챔버 내에서 플라즈마를 가격하는 수단;
    상기 플라즈마가 가격된 후 존재하는 측정된 플라즈마 주파수를 획득하는 수단으로서, 상기 측정된 플라즈마 주파수는 상기 플라즈마 부재시의 제 1 값, 및 상기 제 1 값과는 상이한 상기 플라즈마 존재시의 제 2 값을 적어도 갖는, 상기 플라즈마 주파수를 획득하는 수단;
    상기 측정된 플라즈마 주파수값이 미리 정의된 플라즈마 주파수값 범위를 벗어나면, 상기 측정된 플라즈마 주파수값을 상기 프로세스의 속성에 상관시키는 수단을 포함하는, 인시츄 모니터링 장치.
  24. 제 23 항에 있어서,
    상기 측정된 플라즈마 주파수값을 획득하는 수단은 특정 RF 주파수에서 수행되는, 인시츄 모니터링 장치.
  25. 제 24 항에 있어서,
    상기 특정 RF 주파수는 약 2 MHz 인, 인시츄 모니터링 장치.
  26. 제 24 항에 있어서,
    상기 특정 RF 주파수는 약 27 MHz 인, 인시츄 모니터링 장치.
  27. 제 24 항에 있어서,
    상기 특정 RF 주파수는 약 13.56 MHz 인, 인시츄 모니터링 장치.
  28. 제 24 항에 있어서,
    상기 플라즈마 처리 시스템은 V/I 프로브를 갖는 RF 생성기를 포함하고, 상기 측정된 플라즈마 주파수값을 획득하는 수단은 상기 V/I 프로브를 사용하는 것을 포함하는, 인시츄 모니터링 장치.
  29. 제 28 항에 있어서,
    상기 측정된 플라즈마 주파수값은 위상각 측정의 값을 나타내는, 인시츄 모니터링 장치.
  30. 제 28 항에 있어서,
    상기 측정된 플라즈마 주파수값은 진폭 측정의 값을 나타내는, 인시츄 모니터링 장치.
  31. 제 23 항에 있어서,
    상기 미리 정의된 플라즈마 주파수값 범위는 플라즈마 주파수의 제어 한계 하한을 포함하는, 인시츄 모니터링 장치.
  32. 제 31 항에 있어서,
    상기 플라즈마 주파수의 제어 한계 하한은 미리 정의된 플라즈마 주파수 목 표값의 3σ 이내인, 인시츄 모니터링 장치.
  33. 제 23 항에 있어서,
    상기 미리 정의된 플라즈마 주파수값 범위는 플라즈마 주파수의 제어 한계 상한을 포함하는, 인시츄 모니터링 장치.
  34. 제 33 항에 있어서,
    상기 플라즈마 주파수의 제어 한계 상한은 미리 정의된 플라즈마 주파수 목표값의 3σ 이내인, 인시츄 모니터링 장치.
  35. 제 23 항에 있어서,
    상기 미리 정의된 플라즈마 주파수값 범위는 플라즈마 주파수의 제어 한계 하한 및 플라즈마 주파수의 제어 한계 상한을 포함하는, 인시츄 모니터링 장치.
  36. 제 23 항에 있어서,
    상기 기판은 반도체 웨이퍼인, 인시츄 모니터링 장치.
  37. 제 23 항에 있어서,
    상기 기판은 유리 패널인, 인시츄 모니터링 장치.
  38. 제 23 항에 있어서,
    상기 기판의 속성은 상기 기판을 에칭하는 동안의 에칭 레이트를 나타내는, 인시츄 모니터링 장치.
  39. 제 23 항에 있어서,
    상기 기판의 속성은 상기 기판을 에칭하는 동안의 선택도 레이트를 나타내는, 인시츄 모니터링 장치.
  40. 제 23 항에 있어서,
    상기 기판의 속성은 상기 기판을 에칭하는 동안의 에칭 균일성 측정을 나타내는, 인시츄 모니터링 장치.
  41. 제 23 항에 있어서,
    상기 플라즈마 처리 시스템은 용량 결합 플라즈마 처리 시스템인, 인시츄 모니터링 장치.
  42. 제 23 항에 있어서,
    상기 플라즈마 처리 시스템은 유도 결합 플라즈마 처리 시스템인, 인시츄 모니터링 장치.
  43. 제 23 항에 있어서,
    상기 플라즈마 처리 시스템은 대기성 플라즈마 처리 시스템인, 인시츄 모니터링 장치.
  44. 제 23 항에 있어서,
    상기 플라즈마 처리 시스템은 주파수 동조 결합 플라즈마 처리 시스템인, 인시츄 모니터링 장치.
KR1020077009424A 2004-09-27 2005-09-23 플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의프로세스를 모니터링하는 방법 및 장치 KR20070068420A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/952,562 US20060065632A1 (en) 2004-09-27 2004-09-27 Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US10/952,562 2004-09-27

Publications (1)

Publication Number Publication Date
KR20070068420A true KR20070068420A (ko) 2007-06-29

Family

ID=36097838

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077009424A KR20070068420A (ko) 2004-09-27 2005-09-23 플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의프로세스를 모니터링하는 방법 및 장치

Country Status (6)

Country Link
US (1) US20060065632A1 (ko)
JP (1) JP2008515199A (ko)
KR (1) KR20070068420A (ko)
CN (1) CN101088149A (ko)
TW (1) TW200624600A (ko)
WO (1) WO2006041656A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100784824B1 (ko) * 2005-11-04 2007-12-14 한국표준과학연구원 플라즈마 진단장치 및 진단방법
KR20150013094A (ko) * 2013-07-26 2015-02-04 램 리써치 코포레이션 인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 다중 매개 변수를 갖는 그 사용
KR20180027338A (ko) * 2016-09-06 2018-03-14 도쿄엘렉트론가부시키가이샤 이상 검지 방법 및 반도체 제조 장치

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4416569B2 (ja) * 2004-05-24 2010-02-17 キヤノン株式会社 堆積膜形成方法および堆積膜形成装置
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7902991B2 (en) * 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
CN102721670B (zh) * 2012-06-28 2014-06-04 南京邮电大学 一种半导体等离子体频率测量方法
JP6113450B2 (ja) * 2012-09-07 2017-04-12 株式会社ダイヘン インピーダンス調整装置
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
KR102021961B1 (ko) * 2014-01-13 2019-11-04 삼성전자주식회사 반도체 제조설비의 관리방법
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP7263676B2 (ja) * 2018-04-13 2023-04-25 東京エレクトロン株式会社 プロセスプラズマにおけるイオンエネルギー分布を制御するための方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US6063234A (en) * 1997-09-10 2000-05-16 Lam Research Corporation Temperature sensing system for use in a radio frequency environment
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
JP3977114B2 (ja) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
US20040027209A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Fixed matching network with increased match range capabilities

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100784824B1 (ko) * 2005-11-04 2007-12-14 한국표준과학연구원 플라즈마 진단장치 및 진단방법
KR20150013094A (ko) * 2013-07-26 2015-02-04 램 리써치 코포레이션 인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 다중 매개 변수를 갖는 그 사용
KR20180027338A (ko) * 2016-09-06 2018-03-14 도쿄엘렉트론가부시키가이샤 이상 검지 방법 및 반도체 제조 장치

Also Published As

Publication number Publication date
WO2006041656B1 (en) 2007-08-09
US20060065632A1 (en) 2006-03-30
CN101088149A (zh) 2007-12-12
WO2006041656A3 (en) 2007-06-14
JP2008515199A (ja) 2008-05-08
TW200624600A (en) 2006-07-16
WO2006041656A2 (en) 2006-04-20

Similar Documents

Publication Publication Date Title
KR101164828B1 (ko) 자기 바이어스 전압을 측정하여 플라즈마 프로세싱 시스템에서의 프로세스를 모니터링하는 방법 및 장치
KR20070068420A (ko) 플라즈마 주파수를 측정하여 플라즈마 처리 시스템에서의프로세스를 모니터링하는 방법 및 장치
KR20070057983A (ko) 임피던스를 측정하여 플라즈마 처리 시스템에서의프로세스를 모니터링하는 방법 및 장치
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
TWI768395B (zh) 電漿處理裝置及電漿處理方法
US7700494B2 (en) Low-pressure removal of photoresist and etch residue
US7430496B2 (en) Method and apparatus for using a pressure control system to monitor a plasma processing system
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US8263496B1 (en) Etching method for preparing a stepped structure
TW200830454A (en) Apparatus for substrate processing and methods therefor
WO2005098091A2 (en) A method of plasma etch endpoint detection using a v-i probe diagnostics
US9147556B2 (en) Plasma processing method and plasma processing apparatus
US20110061811A1 (en) Plasma processing apparatus
WO2008049024A1 (en) Methods and apparatus for tuning a set of plasma processing steps
JP3362093B2 (ja) エッチングダメージの除去方法
TW202333188A (zh) 控制程式、控制方法及電漿處理裝置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid