JP2005228727A - プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置 - Google Patents

プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置 Download PDF

Info

Publication number
JP2005228727A
JP2005228727A JP2004117817A JP2004117817A JP2005228727A JP 2005228727 A JP2005228727 A JP 2005228727A JP 2004117817 A JP2004117817 A JP 2004117817A JP 2004117817 A JP2004117817 A JP 2004117817A JP 2005228727 A JP2005228727 A JP 2005228727A
Authority
JP
Japan
Prior art keywords
plasma
probe
chamber
frequency
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004117817A
Other languages
English (en)
Other versions
JP5404984B2 (ja
Inventor
Naoki Matsumoto
直樹 松本
Tatsuo Matsudo
龍夫 松土
Yohei Yamazawa
陽平 山澤
Sumie Segawa
澄江 瀬川
Chishio Koshimizu
地塩 輿水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2004117817A priority Critical patent/JP5404984B2/ja
Priority to TW100130427A priority patent/TWI468669B/zh
Priority to KR1020040028211A priority patent/KR100586386B1/ko
Priority to TW100130426A priority patent/TWI458396B/zh
Priority to TW093111489A priority patent/TWI392401B/zh
Priority to CNB2004100347085A priority patent/CN100520382C/zh
Priority to US10/831,757 priority patent/US7339656B2/en
Priority to CN2009101427982A priority patent/CN101587156B/zh
Priority to CN2010106175904A priority patent/CN102183509B/zh
Publication of JP2005228727A publication Critical patent/JP2005228727A/ja
Priority to KR1020050125316A priority patent/KR100586387B1/ko
Priority to US11/566,340 priority patent/US7532322B2/en
Priority to US11/742,688 priority patent/US7582182B2/en
Priority to US11/742,643 priority patent/US7462293B2/en
Application granted granted Critical
Publication of JP5404984B2 publication Critical patent/JP5404984B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/66Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence
    • G01N21/68Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence using high frequency electric fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

【課題】 低電子密度条件や高圧力条件の下でもプラズマ中の電子密度を正確に測定すること。
【解決手段】 このプラズマ電子密度測定装置は、測定部54にベクトル式のネットワークアナライザ68を備える。このネットワークアナライザ68で複素数表示の反射係数を測定して、その虚数部の周波数特性を取得し、計測制御部74において複素反射係数の虚数部がゼロクロスするポイントの共振周波数を読み取って、共振周波数から電子密度の測定値を算出する。

【選択図】 図1

Description

本発明は、プラズマ処理装置等におけるチャンバ内のプラズマをモニタリングする技術に係り、特にプラズマ中の電子密度やプラズマからの発光を計測するプラズマモニタリング方法および装置に関する。
半導体デバイスやFPD(Flat Panel Display)の製造プロセスにおけるエッチング、堆積、酸化、スパッタリング等の処理では、処理ガスに比較的低温で良好な反応を行わせるためにプラズマが多く利用されている。一般に、プラズマ処理装置にあっては、高い歩留まりを得るために基板の被処理面にわたって均一にプラズマ処理を施す必要があり、そのためには処理空間にプラズマ密度すなわち電子密度が均一な状態で分布するようにプラズマを生成する必要がある。このことから、プラズマ処理装置の設計段階あるいは立ち上げ段階で、チャンバ内の処理空間にどのような電子密度の分布でプラズマが生成するのかを把握するためにプラズマ中の電子密度を正確に測定できる技術が必要不可欠となっている。
近年、この種のモニタリング技術としてプラズマ吸収プローブ(PAP:Plasma Absorption Probe)法が注目されている。このモニタリング法は、アンテナプローブを絶縁管で被覆することにより、ラングミュアプローブ法のようにプラズマ電位を乱したり、チャンバ内に金属汚染をもたらすことがないため、反応性ガスのプラズマでも計測できる。また、ギガヘルツ帯域の計測であるため、絶縁管表面に誘導性の堆積膜が付いても影響を受けにくく、堆積性ガスのプラズマでも計測できるなどのメリットがある。
従来のプラズマ吸収プローブ法は(たとえば特許文献1,2,3参照)は、図50に示すように、チャンバ200の側壁に設けた貫通孔200aに先端の閉じた絶縁管202を摺動可能に取り付け、先端部の芯線を数mm程度露出させたプローブ部204aを有する同軸ケーブル204を絶縁管202の中に挿入し、同軸ケーブル204の他端をスカラ式のネットワークアナライザ206に接続する。チャンバ200内には、プラズマ発生機構としてたとえば高周波電源(図示せず)に接続されている平行平板型の上部電極208および下部電極210が配置され、減圧下で両電極208,210間のギャップ空間に処理ガスが供給されることにより該処理ガスのプラズマpzが生成される。図示の例では、下部電極210の上に被処理基板Wが載置される。チャンバ側壁に設けられる絶縁管取付用の貫通孔200aには、絶縁管202を支持し、かつ真空封止するOリング212が取り付けられる。
スカラネットワークアナライザ206は、たとえば数百MHzから数GHzの帯域で周波数掃引しながら各周波数について微小電力の電磁波信号(入射波)を同軸ケーブル204のプローブ部204aへ送ってチャンバ内のプラズマpzに向けて放射し、プラズマpzから反射してきた電磁波(反射波)のパワー量と入射波のパワー量との比からスカラ表示の反射係数を求め、その周波数特性を取得する。より詳細には、プローブ部204aの位置を所望の測定位置に合わせ、先ずプラズマ生成用の高周波電源をオフにするとともに処理ガスの供給を止め、チャンバ200内にプラズマpzが存在しない状態の下でネットワークアナライザ206により反射係数の周波数特性Γ(f)(S11パラメータ)を取得し、この測定データをメモリに記憶する。次いで、高周波電源をオンにするとともに処理ガスを供給して、チャンバ200内にプラズマpzが生成されている状態の下でスカラネットワークアナライザ206により反射係数Γ(pf)の周波数特性を取得する。そして、両反射係数の比Γ(pf)/Γ(f)の周波数特性において波形が極小(最小ピーク)になるところの周波数をプラズマ吸収周波数とする。さらに、このプラズマ吸収周波数がプラズマ中の電子振動数fp(=1/2π*√(e2*Ne/me*ε0)と等しいとみなして、次式(1)より電子密度Neを算出する。
e=me*ε0*(1+εr)*(2πfp/e)2
=0.012*(1+εr)*fp 2 [m-3] ‥‥‥(1)
ここで、meは電子密度、ε0は真空誘電率、εrは絶縁管の比誘電率、eは素電荷である。
プラズマpz中の電子密度の空間分布を調べるには、絶縁管202を軸方向(長手方向)に押すか引いたりしてプローブ部204aを複数の測定位置に順次移動させ、上記のように各測定位置毎にプラズマ生成のオン/オフを切り換えてその都度スカラネットワークアナライザ206により反射係数の周波数特性Γ(f),Γ(pf)を取得し、プラズマ吸収周波数ないし電子密度の演算を行う。通常は、チャンバ200の径方向でプローブ部204aの位置つまり測定位置を所望のピッチでステップ移動させ、各測定位置で求めた電子密度の測定値をグラフ上にプロットする。
また、従来より、プラズマプロセッシングの装置開発やプロセス開発あるいは実プロセスにおいて、プロセスチャンバ内のプラズマ発光を計測するモニタリング技術が用いられている。従来のプラズマ発光計測法は、プロセスチャンバの側壁に取り付けた窓を通してチャンバ内のプラズマ発光を計測する。典型的には、窓から外に出たプラズマ光を分光器または光フィルタに通して特定波長のスペクトルを取り出し、取り出したスペクトルの強度や変化等を計測するようにしている(たとえば特許文献4参照)。
特開2000−100598号公報 特開2000−100599号公報 特開2001−196199号公報 特開平10−270417号公報
しかしながら、上記のようなプラズマ吸収プローブ法では、反射係数の周波数特性における波形のプロファイルによってプラズマ吸収周波数の測定値が大きく左右され、電子密度の測定値にばらつきが出やすいという問題がある。すなわち、反射係数の周波数特性において吸収ピーク(最小ピーク)が先端の尖った角状の波形で表われるときはピーク点の周波数つまりプラズマ吸収周波数を正確に測定できるが、吸収ピーク(最小ピーク)が先端の丸まったブロードの波形で表われるときはピーク点が不明確で、測定値に誤差が生じやすい。そのようなブロードの吸収ピーク波形は、典型的には測定点のプラズマ密度(電子密度)が低いときに表われる。また、高圧力条件では、プラズマ中のガス分子衝突による信号電力吸収が無視できなくなって、ノイズが増大し、これによって電子振動による正味の電力吸収が見えづらくなり、S/Nが低下する。
また、上記のような従来のプラズマ吸収プローブ法では、測定位置を変える度毎にプラズマ生成のオン/オフを繰り返すため、1つの測定位置毎に数分の測定時間を要する。しかも、測定位置を変えるのに絶縁管202を摺動させる方式であるため、次の測定位置に移るまでのプローブ部202aの移動ないし位置合わせにも相当の時間を要する。このため、測定ポイントを10箇所程度に選んだ場合でも全測定時間は数10分以上かかる。測定ポイントのステップ距離または間隔を短くしてプラズマ電子密度の空間分布を詳細に評価しようとすると、多数(たとえば100以上)の測定ポイントを必要とするため、全測定時間は優に数時間を超えてしまう。さらに、プラズマ・プロセスの入力パラメータ(RF電力、圧力、ガス種、電極間距離、電極構造、チャンバ構造・材質等)に対するプラズマ電子密度の依存性または相関性を詳細に評価する場合には、非常に膨大な測定時間がかかってしまう。この問題は、大口径チャンバを有する300mm径ウエハやFPD用の処理装置で特に深刻である。
また、上記のような従来のプラズマ発光計測法によれば、チャンバ内のプラズマ発光をチャンバ側壁の窓を通して平均値としてしか計測できず、チャンバ内の空間分布として計測することはできない。このため、被処理基板におけるプロセス結果の面内分布とプラズマ発光の空間分布との相関性を調べるようなことはできない。
本発明は、かかる従来技術の問題点に鑑みてなされたもので、任意のプラズマ条件の下で、特に低電子密度条件や高圧力条件の下でも、プラズマ中の電子密度を高精度に測定できるようにしたプラズマモニタリング方法、プラズマモニタリング装置およびプラズマ処理装置を提供することを目的とする。
本発明の別の目的は、プラズマ中の電子密度を効率よく短時間で測定できるようにしたプラズマモニタリング方法およびプラズマモニタリング装置を提供することにある。
本発明の別の目的は、測定位置の再現性を保証し、プローブ構造の安定性および耐久性を向上させ、プラズマに与える影響を安定化させ、S/N特性を改善し、プラズマ中の電子密度について安定かつ高精度の測定を可能とするプラズマモニタリング方法およびプラズマモニタリング装置を提供することにある。
本発明の別の目的は、大気中または測定器へのRFノイズのリークを効果的に防止して、人体や測定機器の安全性を確保するプラズマモニタリング方法およびプラズマモニタリング装置を提供することにある。
本発明の別の目的は、プラズマを乱すことなくチャンバ内の空間分布としてプラズマ発光を計測できるようにした信頼性の高いプラズマモニタリング方法およびプラズマモニタリング装置を提供することにある。
本発明の別の目的は、実プロセス中でもチャンバ内の空間分布としてプラズマ発光を計測できるようにしたプラズマモニタリング方法およびプラズマモニタリング装置を提供することにある。
本発明の他の目的は、基板の被処理面に対してプラズマ密度の均一性ひいてはプラズマ処理の均一性を保証できるプラズマ処理装置を提供することにある。
上記の目的を達成するために、本発明の第1の観点によるプラズマモニタリング方法は、所定の空間内に存在するプラズマの中またはその付近に設定した所望のモニタ位置にアンテナプローブを配置する工程と、前記アンテナプローブより周波数可変の電磁波を放射して前記プラズマに入射させる工程と、前記プラズマから前記アンテナプローブに反射してきた電磁波を受信する工程と、前記入射波と前記反射波とから複素数表示の反射係数を測定し、その複素反射係数の虚数部を取得する工程と、前記電磁波の周波数を掃引して前記複素反射係数の虚数部の値がゼロになる共振周波数を測定する工程と、前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する工程とを有する。
また、本発明の第1の観点によるプラズマモニタリング装置は、プラズマの生成または導入の可能なチャンバの壁または室内に配置されるアンテナプローブと、周波数を掃引しながら、各周波数の電磁波を前記アンテナプローブに送って前記プラズマに向けて放射させ、前記プラズマから前記アンテナプローブを介して反射してくる反射波を受信して、複数数表示の反射係数を測定するベクトル式の反射係数測定部と、前記反射係数測定部で取得される前記複素反射係数の虚数部がゼロの値をとる共振周波数の測定値を求める共振周波数測定部と、前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する電子密度演算部とを有する。
本発明では、ベクトル式の反射係数測定部を用いて、複素数表示の反射係数を測定し、複素反射係数の虚数部を取得する。そして、共振周波数測定部で複素反射係数の虚数部がゼロになる共振周波数を測定し、共振周波数の測定値を基に電子密度演算部でプラズマ中の電子密度を算出する。本発明においては、電磁波に対するプラズマリアクタンスの信号伝送特性を複素反射係数の虚数部を通じてモニタリングし、複素反射係数の虚数部がゼロになるときの周波数をプラズマリアクタンスが直列共振状態になってランダウ・ダンピングが生ずる共振周波数とみなして、この共振周波数の測定値から電子密度の測定値を求める。
好適な一態様によれば、反射係数測定部により電磁波の周波数を掃引して複素反射係数の虚数部について周波数特性を取得し、この周波数特性を基に共振周波数測定部で複素反射係数の虚数部の符号が負(−)から正(+)に変わるポイントまたは正(+)から負(−)に変わるポイントの周波数を共振周波数として割り出す。
また、好適な一態様によれば、上記被モニタ空間内にプラズマが存在しない状態の下で、電磁波の周波数を掃引して複素反射係数の虚数部について第1の周波数特性を取得し、上記被モニタ空間内にプラズマが存在する状態の下で、電磁波の周波数を掃引して複素反射係数の虚数部について第2の周波数特性を取得し、第1の周波数特性と第2の周波数特性とから正規の周波数特性を求める。この方式によれば、測定ポイントの数に関係なくプラズマON/OFFの切換時間を1回で済ませ、全体の測定時間を大幅に短縮することができる。
本発明のプラズマ処理装置は、被処理体を収容するチャンバと、前記チャンバ内に所定のガスを供給するガス供給部と、前記チャンバ内で前記ガスを放電させて前記被処理体に所望の処理を施すためのプラズマを生成するプラズマ発生部と、前記チャンバ内を減圧して所望の圧力に維持するための排気部と、本発明によるプラズマモニタリング装置とを有する。
かかる構成においては、本発明のプラズマモニタリング装置を用いることで、チャンバ内のプラズマ密度の状態ひいてはプロズマプロセッシングの状況を正確にモニタリングし、プラズマ処理の品質を向上させることができる。
本発明のプラズマ処理装置において、好適な一態様によれば、プラズマ電子密度測定装置より得られる電子密度の測定値に基づいてチャンバ内のプラズマ処理の状態をモニタリングするモニタ部が設けられる。より好ましくは、電子密度の測定値が所定の範囲内に維持されるように、プラズマ処理を左右するプロセスパラメータの中の少なくとも1つを制御するプロセス制御部が備えられてよい。
また、好ましい一態様として、チャンバのクリーニングまたは部品交換後のプロセス条件に対して、プラズマモニタリング装置より得られる電子密度の測定値の経時的な変化の特性に基づいてシーズニングを完了させるシーズニング制御部が備えられる。好ましい一態様によれば、シーズニング制御部が、チャンバに入れ替わり搬入されてプラズマ処理を受ける各々のダミー基板についてプラズマ処理の期間中に時間的に変化する電子密度の測定値の代表点を求め、相前後するダミー基板の間で代表点が実質的な定常値に落ち着いたところでシーズニングを完了させ、チャンバに搬入する基板をダミー基板から正規の被処理基板に切り換える。
また、好ましい一態様として、プラズマ電子密度測定装置のアンテナプローブをチャンバの壁に取り付ける構成、プラズマを生成するための電極に取り付ける構成、あるいは被処理体を載置するための載置台に取り付ける構成が採ることができる。
また、好ましい一態様として、異なる場所に配置されている複数のアンテナプローブの中からいずれか1つを選択して前記反射係数測定部に電気的に接続するためのセレクタスイッチを備えてよい。このセレクタスイッチにより複数のアンテナプローブを時分割方式で順次反射係数測定部に電気的に接続することにより、複数モニタ位置の同時測定を1台の計測器で効率よく実現することができる。
本発明の第2の観点によるプラズマモニタリング方法は、所定の空間内に存在するプラズマの中またはその付近に設定した所望のモニタ位置にアンテナプローブを配置する工程と、前記アンテナプローブより周波数可変の電磁波を放射して前記プラズマに入射させる工程と、前記プラズマから前記アンテナプローブに反射してきた電磁波を受信する工程と、前記入射波と前記反射波との位相差を測定する工程と、前記電磁波の周波数を掃引して前記位相差がゼロになる共振周波数を測定する工程と、前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する工程とを有する。
また、本発明の第2の観点によるプラズマモニタリング装置は、プラズマの生成または導入の可能なチャンバの壁または室内に配置されるアンテナプローブと、周波数を掃引しながら、各周波数の電磁波を前記アンテナプローブに送って前記プラズマに向けて放射させ、前記プラズマから前記アンテナプローブを介して反射してくる反射波を受信して、入射波と反射波の位相差を測定する位相差測定部と、前記位相差測定部で取得される前記位相差がゼロになる共振周波数の測定値を求める共振周波数測定部と、前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する電子密度演算部とを有する。
上記第2の観点によるプラズマモニタリング方法または装置においては、位相差測定部で測定される入射波と反射波の位相差の符合は複素反射係数の虚数部の符合に対応し、位相差がゼロになる周波数は複素反射係数の虚数部がゼロになる周波数つまり共振周波数である。したがって、位相差から割り出した共振周波数からも精度の高い電子密度の測定値を求めることができる。
本発明の第3の観点によるプラズマモニタリング方法は、プラズマの生成または導入の可能なチャンバの室内に絶縁管を挿入して取り付ける工程と、先端部の芯線を露出させたプローブ部を有する同軸ケーブルを前記絶縁管の管内に挿入する工程と、前記チャンバ内にプラズマが存在しない状態の下で、前記絶縁管内の前記プローブ部より放出される電磁波の反射係数について第1の周波数特性を取得する工程と、前記チャンバ内にプラズマが存在する状態の下で、前記絶縁管内の前記プローブ部より放出される電磁波の反射係数について第2の周波数特性を取得する工程と、前記第1の周波数特性と前記第2の周波数特性とからプラズマ吸収周波数の測定値を求める工程とを有する。
本発明の第3の観点によるプラズマモニタリング装置は、プラズマの生成または導入の可能なチャンバの室内に挿入して取り付けられる絶縁管と、先端部の芯線を露出させたプローブ部を有し、前記絶縁管の一方の端より管内に挿入される同軸ケーブルと、前記絶縁管に対して前記同軸ケーブルを軸方向に移動させるアクチエータと、周波数を掃引しながら、各周波数の電磁波信号を前記同軸ケーブルのプローブ部に一定電力で送って周囲の空間に放出させ、前記プローブ部を介して反射してくる信号のレベルから各周波数毎の反射係数を測定して、反射係数の周波数特性を求めるスカラー式の反射係数測定部と、前記プローブ部の位置によって与えられる所望の測定位置について、前記チャンバ内にプラズマが存在しない状態の下で前記反射係数測定部より得られる第1の周波数特性と、前記チャンバ内にプラズマが存在する状態の下で前記反射係数測定部より得られる第2の周波数特性とからプラズマ吸収周波数の測定値を求める測定演算部とを有する。
上記第3の観点によるプラズマモニタリング方法または装置においても、チャンバ内にプラズマが存在していない状態(OFF状態)での反射係数の測定とプラズマが存在または生成している状態(ON状態)での反射係数の測定とをそれぞれ一括して行うことにより、測定ポイントの数に関係なくプラズマON/OFFの切換時間を1回で済ませ、全体の測定時間を短縮することができる。
上記第3の観点の好適な一態様によれば、チャンバ内にプラズマが存在しない状態の下で、同軸ケーブルを絶縁管に対して軸方向に移動させて、複数の測定位置について第1の周波数特性をそれぞれ取得し、チャンバ内にプラズマが存在する状態の下で同軸ケーブルを絶縁管に対して軸方向に移動させて、それら複数の測定位置について第2の周波数特性をそれぞれ取得し、それら複数の測定位置について第1の周波数特性と前記第2の周波数特性とからプラズマ吸収周波数の測定値を求める。この場合、好ましくは、プローブ部を複数の測定位置に順次位置合わせし、各測定位置毎にプローブ部より放出される電磁波の反射係数について第1または第2の周波数特性を取得してよい。この態様においては、プラズマOFF状態もしくはプラズマON状態の下でプローブ部を短いタクト時間で次々と各測定位置に位置合わせし、短時間のうちに全測定位置について反射係数の測定データを取得することができる。好ましくは、アクチエータを使用し、同軸ケーブルを絶縁管から引き抜く方向に移動させて、プローブ部をそれら複数の測定位置に順次位置合わせするようにしてよい。
本発明の好ましい一態様によれば、プローブ部付きの同軸ケーブルを収容する絶縁管がチャンバの側壁に設けられた第1の支持部と第2の支持部との間に架け渡される。この場合、好ましくは、第1および第2の支持部の少なくとも一方が貫通孔によって形成されてよい。また、Oリングによって絶縁管を貫通孔に気密に固定取付してよい。
このような架橋型の絶縁管取付け構造によれば、絶縁管はチャンバの壁面の2箇所(第1および第2の支持部)で支持されるため、プローブ位置合わせの操作でぶれたり自重でたわんだりすることがない。これにより、プローブ部を所望の測定位置に迅速かつ正確に位置合わせできるとともに、一定のライン上に位置させることができ、測定位置の再現性を保証できる。また、プローブ位置合わせに際しては、チャンバに固定された絶縁管に対して同軸ケーブルを軸方向に移動させればよく、絶縁管が支持部と擦りあうことがないため、支持部が損傷劣化するおそれはない。したがって、プローブ機構の安定性が向上し、消耗品コストも改善する。さらに、プローブ機構のプラズマに与える影響(擾乱)は測定位置に関係なく一定であるため、測定精度の信頼性も高い。また、同軸ケーブルのプローブ部から見た絶縁管はどの測定位置でも一定または一様の同軸管構造であり、プローブ部から発振される電磁波とプラズマとの結合は一定しているので、ノイズが発生し難く、精度および再現性の高い計測を保証できる。もっとも、チャンバ内に絶縁管を実質的に1箇所の支持部だけで取り付ける片持ち梁式の絶縁管取付け構造も可能である。
本発明において、好ましくは、同軸ケーブルの外部導体がチャンバを介してグランド電位に電気的に接続されてよい。装置的には、一端部がチャンバのグランド電位部分に接続され、他端部が同軸ケーブルの外部導体と接続するアース用導体を設けてよい。かかる方法または構成によれば、大気や測定器へのRFノイズのリークを効果的に防止して、人体や計測機器の安全性を確保するとともに、ガス検知機等の周囲の電子機器の誤動作を回避できる。
また、好ましくは、チャンバのグランド電位部分から延びるアース用導体と同軸ケーブルの外部導体とが接触する位置よりもプローブ部側の位置でノイズ信号(典型的には外部導体を伝播する定在波に起因するノイズ信号)を電磁誘導を通じて電磁波吸収体に吸収させるようにしてよい。電磁波吸収体の好適な形態は、同軸ケーブルに軸方向に沿って装着される1個または複数個のビーズ形フェライト部材である。かかる方法または構成によれば、たとえ同軸ケーブルの外部導体(グランド部)に定在波ノイズ等のノイズが発生したとしても、電磁波吸収体によりノイズを効果的に吸収して除去することができる。
また、好ましくは、絶縁管の他方の端に形成した開口を介して絶縁管の中に冷却用のガスを流すようにしてよい。装置的には、絶縁管の他方の端を開口して、この開口に冷却用のガスを流すための冷却機構を接続してよい。かかる方法または構成によれば、絶縁管内の同軸ケーブルを効果的に冷却できるため、プローブ部回りの熱膨張や熱損傷を防止し、耐久性を向上させることができる。
本発明の第4の観点によるプラズマモニタリング方法は、プラズマの生成または導入の可能なチャンバの室内に透明な絶縁管を挿入して取り付ける工程と、先端に受光面を有するロッド状の光伝送プローブを前記絶縁管の一方の端より管内に挿入する工程と、前記チャンバ内のプラズマより発せられる光を前記絶縁管を通して前記プローブの受光面に入射させ、前記プローブの他端面より放射された光に基づいて前記プラズマからの発光を計測する工程とを有する。
また、本発明の第4の観点によるプラズマモニタリング装置は、プラズマの生成または導入の可能なチャンバの室内に挿入して取り付けられる透明な絶縁管と、先端に受光面を有し、前記絶縁管の一方の端より管内に挿入されるロッド状の光伝送プローブと、前記プローブの他端面より放射された光に基づいて前記プラズマからの発光を計測する計測部とを有する。
本発明のプラズマ発光モニタリング法では、チャンバ内に絶縁管を挿入し、この絶縁管の中でロッド状の光伝送プローブを軸方向に移動させ、軸方向における任意の測定位置でプローブ先端の受光面にてプラズマからの発光を採光し、採光したプラズマ光を計測部に伝送し、計測部で各測定位置のプラズマ発光について所定の特性または属性(たとえば所定波長またはスペクトルの強度)の測定値を求める。ここで、絶縁管およびプローブは非金属であり、チャンバ内のプラズマ領域の中に挿入されても,プラズマを擾乱するおそれはなく、プラズマ発光について信頼性および測定精度の高い空間分布計測を行うことができる。
本発明の好適な一形態として、プローブを絶縁管の中で軸方向(好ましくはチャンバ径方向)に移動させ、軸方向における空間分布としてプラズマ光を計測してよい。この場合、プローブの軸方向をチャンバの径方向に一致させてよい。あるいは、プローブを絶縁管と一体に高さ方向に移動させ、高さ方向における空間分布としてプラズマ光を計測することもできる。
本発明において、プローブは石英またはサファイアからなるものでよいが、側方からの迷光を抑制するためには、石英またはサファイアからなるコアと、該コアの周面を取り囲むクラッドとを有する二重構造が好ましく、遮光性の被膜を有する構成も好ましい。また、プローブが、一体に束ねられた複数本の光ファイバと、それらの光ファイバの周囲を取り囲むたとえばポリイミドからなる耐熱性の非金属部材とを有する構成も好ましい。
また、プローブの採光機能、特に指向性を高めるために、好ましくは、プローブの先端部で所望の方角からのプラズマ光をミラーに入射させ、このミラーで反射したプラズマ光をプローブの受光面に入射させてよい。さらに好ましくは、プローブの先端部に受光面とミラーとを包囲する遮光部材を取り付け、所望の方角からのプラズマ光を遮光部材に形成された窓を通してミラーに入射させるようにしてよい。ミラーの反射面は、紫外線かに赤外線まで一定の高い反射率を有するアルミニウムで構成するのが好ましい。
また、前方からの不所望な光がプローブの採光部に入射してもバンドルファイバの開口数より大きな角度でバンドルファイバに入射するように、プローブの受光面の法線が軸方向に対して窓側に所定の角度だけ傾くように、プローブの一端部を斜めにカットするのが好ましい。
本発明において、透明絶縁管の材質は波長透過性がよく耐熱性および耐腐食性にすぐれた石英またはサファイアが好ましい。また、プローブの走査を安定かつ高速に行うために、チャンバの側壁に相対向して設けた第1の支持部と第2の支持部との間に絶縁管を実質的に架け渡す構成が好ましい。
本発明の第5の観点によるプラズマ発光計測方法は、プラズマの生成または導入の可能なチャンバの側壁に開閉可能な開口部を設け、前記開口部を開状態にして、先端に受光面を有するロッド状の光伝送プローブを減圧空間の中で前記開口部より前記チャンバ内に挿入し、前記プローブの他端面より放射された光に基づいて前記プラズマからの発光を計測する。
また、本発明の第5の観点によるプラズマ発光計測装置は、プラズマの生成または導入の可能なチャンバの側壁に設けられた開閉可能な開口部と、先端に受光面を有し、減圧空間の中で開状態の前記開口部より前記チャンバ内に挿入されるロッド状の光伝送プローブと、前記プローブの他端面より放射された光に基づいて前記プラズマからの発光を計測する計測部とを有する。
この方式では、チャンバ側壁の開口部を開状態にして、プローブを該開口部からチャンバ内に挿入して軸方向(好ましくはチャンバ径方向)に移動させ、プローブ先端の受光面にて採光したプラズマ光を計測部に伝送し、計測部で各測定位置のプラズマ発光について所定の特性または属性(たとえば所定波長またはスペクトルの強度)の測定値を求める。好ましくは、プローブの移動距離に対するプラズマ光の変化分を求め、プローブの軸方向におけるチャンバ内の空間分布としてプラズマ光を計測してよい。プローブは、石英またはサファイアからなるコアと、このコアの周面を取り囲むクラッドとを有するものが好ましい。
また、チャンバの外でプローブの回りに減圧空間を形成するために、好ましくは、チャンバの径方向に伸縮可能なベローズを設け、このベローズの内部空間を排気部によって排気するようにしてよい。また、プローブをチャンバ内のプラズマ領域に曝す際に反応生成物(デポ)が付着しないように、チャンバの外でプローブを適当な温度に加熱しておくのが好ましい。
この方式も、プローブが非金属なのでプラズマを乱さないだけでなく、短時間で高速にプローブ走査を行えるので、プロセス結果に与える影響もほとんどなく、プロセス開発はもちろん実プロセスにも適用できる。
本発明のプラズマ発光モニタリング法では、プローブを軸方向に移動させるにはアクチエータを用いのが好ましく、アクチエータの直進駆動力により安定かつ高速のプローブ走査を行うことができる。また、本発明の計測処理において、好ましくは、プローブの他端面より放射された光から分光部(分光器または光フィルタ)で所定波長のスペクトルを取り出し、該スペクトルの強度を測定してよい。また、プローブの他端面より放射された光をバンドルファイバを通して計測部に与えるのが好ましく、これによって恰もバンドルファイバの受光面をチャンバ内に入れた場合と同等または等価な指向性でプラズマ発光を採光することができる。
本発明によれば、上記のような構成および作用により、任意のプラズマ条件の下で、特に低電子密度条件や高圧力条件の下でも、プラズマ中の電子密度を正確かつ高精度に測定することができる。また、信頼性の高い電子密度測定値に基づいてプラズマ密度の均一性やプラズマ処理の品質を向上させることができる。また、プラズマ共振周波数や電子密度を効率よく短時間で測定することも可能である。また、測定位置の再現性を保証し、プローブ構造の安定性および耐久性を向上させ、プラズマに与える影響を安定化させ、あるいは無くし、S/N特性を改善し、プラズマ共振周波数や電子密度について安定かつ高精度な測定を可能とする。また、プラズマを乱すことなくチャンバ内の空間分布としてプラズマ発光を高い信頼性および精度で計測することができる。さらには、基板の被処理面に対してプラズマ密度の均一性ひいてはプラズマ処理の均一性を保証し、歩留まりを向上させることができる。
以下、図1〜図49を参照して本発明の好適な実施形態を説明する。
実施形態1
図1〜図18につき本発明の第1の実施形態を説明する。図1および図2に、第1の実施形態によるプラズマ電子密度測定方法および装置を適用したプラズマ処理装置の構成を示す。このプラズマ処理装置は、容量結合型の平行平板プラズマ処理装置である。
このプラズマ処理装置のチャンバ10は、たとえばアルミニウムからなり、円筒形状の密閉可能な処理室として構成されている。チャンバ10の底部には絶縁板12を介してたとえばアルミニウムからなる支持台14が配置され、この支持台14の上にたとえばアルミニウムからなるサセプタ16が設けられる。サセプタ16は下部電極を構成し、この上に被処理基板たとえば半導体ウエハWが載置される。
サセプタ16には、イオン引き込み用の高周波電力を供給する高周波電源18が図示しない整合器を介して電気的に接続されるとともに、後述する上部電極側からの高周波を通すためのハイパスフィルタ(HPF)22が電気的に接続されている。サセプタ16の上面には、たとえば静電吸着力で基板Wを固定保持するための静電チャック(図示せず)が被着されていてよい。また、サセプタ16または支持台14の内部に温度制御用の冷却または加熱手段(図示せず)が設けられてよい。
サセプタ16の上方には、このサセプタと平行に対向して上部電極24が設けられている。この上部電極24は、円筒状の絶縁材25を介してチャンバ10に支持されており、多数のガス吐出孔26を有するたとえばアルミナなどのセラミックからなる下面の電極板28と、この電極板28を支持する導電材料たとえば表面がアルマイト処理されたアルミニウムからなる電極支持体30とを有する。電極板28と電極支持体30との内側にはバッファ室が形成され、このバッファ室の上面中心部にガス導入口32が設けられている。ガス導入口32には処理ガス供給部34からのガス供給配管36が接続されている。上部電極24には、プラズマ生成用の高周波電力を供給する高周波電源38が図示しない整合器を介して電気的に接続されるとともに、サセプタ(下部電極)16側からの高周波を通すためのローパスフィルタ(LPF)42が電気的に接続されている。
チャンバ10の底部には排気口44が設けられ、この排気口44に排気管を介して排気装置46が接続されている。この排気装置46は、ターボ分子ポンプなどの真空ポンプを有しており、チャンバ10内の処理空間を所望の真空度まで減圧できるようになっている。また、チャンバ10の側壁には基板Wを出し入れするための開閉機構たとえばゲートバルブ付きの基板出入り口(図示せず)が設けられている。チャンバ10はアース線などで接地されている。
このプラズマ処理装置において、サセプタ16上の基板Wにプラズマ処理を施すときは、主制御部20の制御の下で、処理ガス供給部34より所望の処理ガスを所定の流量でチャンバ10内に導入し、排気装置46によりチャンバ10内の圧力を設定値にする。さらに、高周波電源18より所定周波数(たとえば2MHz)の高周波および高周波電源38より所定周波数(たとえば60MHz)の高周波をそれぞれ所定のパワーでサセプタ(下部電極)16、上部電極24に印加する。上部電極24の多孔付き電極板またはシャワーヘッド28より吐出された処理ガスは電極間のグロー放電中でプラズマ化し、このプラズマPZ中のラジカルやイオンなどによって基板Wがプラズマ処理される。なお、サセプタ(下部電極)16と上部電極24との距離間隔は、たとえば10〜60mm程度に設定される。
この実施形態におけるプラズマ電子密度測定装置は、チャンバ10に固定取付される円筒状の絶縁管50と、先端部の芯線を露出させたプローブ部(アンテナプローブ)52aを有し、絶縁管50の一方の端(図1の左端)より管内に摺動可能に挿入される同軸ケーブル52と、チャンバ10内に生成されるプラズマPZについて同軸ケーブル52を介してプラズマ共振周波数や電子密度を測定する測定部54と、同軸ケーブル52を軸方向に移動させるためのリニアアクチエータ56とを有する。
絶縁管50は、たとえば石英管からなり、チャンバ10の外径寸法よりも幾らか長く、まっすぐ(直線状)に形成されており、両端が開口している。図1に示すように、サセプタ(下部電極)16と上部電極24との中間の高さ位置でチャンバ10の側壁には相対向する一対の貫通孔10aが支持部または計測ポートとして形成され、絶縁管50はこれらの貫通孔10aを貫通してチャンバ10の室内にほぼ水平に架け渡される。各貫通孔10aには、絶縁管50を気密に、つまり真空封止して固定するためのOリング58が取り付けられている。
同軸ケーブル52は、図2に示すように、たとえばアルミニウムからなる芯線(内部導体)52aと、ステンレスパイプからなる外部導体52bと、テフロン(登録商標)からなる絶縁材52cとを有する剛体のセミリジッドケーブルからなり、先端部の芯線52aを数mm程度露出させてプローブ部としている。同軸ケーブル52の基端部側はSMAプラグ60の形態で測定部54に接続されている(図1)。また、同軸ケーブル52の絶縁管50からはみ出た部分の外部導体(GND部)52bはアース用導体62を介してグランド電位のチャンバ10の側壁に電気的に接続される。
アース用導体62は、図2に示すように、たとえば絶縁管50を取付固定するための導電性のフランジ部材64に基端部が固着され、先端部が同軸ケーブル52の外部導体52bに摺動可能に接触する複数個の導電性バネ部材からなり、それらの導電性バネ部材が上下または左右あるいは四方から同軸ケーブル52を一定位置で弾撥的に挟持するような構成であってよい。あるいは、バネ部材に代えて導電線を使用し、同軸ケーブル52の外部導体52bに導電線の先端をクリップ等で接続する構成であってもよい。いずれにせよ、アース用導体62をいったん開放(リリース)した状態で絶縁管50の中に同軸ケーブル52を挿入し、同軸ケーブル52を絶縁管50から引き抜く方向に移動させることでプローブ部52aを所望の位置(測定位置)に位置合わせするのが好ましい。
アース用導体62に近接してその内側つまりプローブ部52a側の位置には、同軸ケーブル52の外部導体52b上に発生する定在波のノイズ信号を吸収するための電磁波吸収体が設けられる。この実施形態では、図2に明示するように、絶縁性の円筒状保持体65に同軸ケーブル52を通し、保持体65の内側に軸方向に沿って直列に1個または複数個のビーズ形フェライト部材66を取り付けている。
図1において、測定部54は、計測器本体であるベクトルネットワークアナライザ68と、SMAインタフェース用のRFリミッタ70およびハイパスフィルタ(HPF)72と、計測用の制御および演算処理を行う計測制御部74とを有している。ベクトルネットワークアナライザ68および計測制御部74の構成および作用は後で詳しく説明する。
リニアアクチエータ56は、同軸ケーブル52の基端部に結合されたスライダ部76と、このスライダ部76を同軸ケーブル52の軸方向に直進移動させるためのボールねじ機構78とを有する。ボールねじ機構78の駆動源にはたとえばサーボモータ(図示せず)が用いられ、スライダ部76を可動範囲内で任意の位置に位置決めできるようになっている。
絶縁管50の他端(図1の右端)には冷却ガス供給部80からの配管82が接続されている。冷却ガス供給部80は、たとえば送風機またはポンプを有し、冷却用のガスたとえばエアーを配管82を介して絶縁管50に流し込む。絶縁管50の右端より導入されたエアーは反対側(左側)に向って管内を流れ、アース用導体62の隙間から大気へ抜ける。このように、絶縁管50の中をエアーが軸方向に貫通して流れることによって、同軸ケーブル52、特にプローブ部52a回りが効果的に冷却されるようになっている。より好ましくは、冷却ガス供給部80が温調された冷却ガスを供給するようにしてもよい。あるいは、冷却ガス供給部80をエアー吸引型に構成して、絶縁管50の中を左側から右側にエアーを流すようにすることも可能である。
図3に、測定部54におけるベクトルネットワークアナライザ68および計測制御部74の要部の構成を示す。
ベクトルネットワークアナライザ68は、複素数表示の反射係数(複素反射係数)を測定するための信号送受信および信号処理を行う反射係数測定部84と、暫定的な反射係数測定値のデータ(周波数特性)を一時的に蓄積するためのバッファメモリ86と、正式(最終結果)の反射係数測定値Γのデータ(周波数特性)を実数部Γrと虚数部Γiとに分けて蓄積する実数部メモリ88,虚数部メモリ90とを有している。反射係数測定部84は、周波数掃引方式の高周波電源、入射波検出用および反射波検出用の方向性結合器、複素反射係数測定回路等を含んでいる。複素反射係数測定回路は、たとえば振幅比測定回路および位相差測定回路等で構成されてよい。
スカラ式のネットワークアナライザが入射波および反射波のそれぞれのパワー(スカラ量)の比からスカラー表示で反射係数の測定値を取得するのに対して、この実施形態におけるベクトル式のネットワークアナライザ68は入射波および反射波のそれぞれの電圧Vin,Vreまたは電流Iin,Ire(ベクトル量)の比(Vre/Vin,Ire/Iin)から複数数表示で反射係数Γ(Γr+jΓi)の測定値を取得する。ここで、虚数部Γiは周波数に応じて正または負の符号をとる。
計測制御部74は、ベクトルネットワークアナライザ68の虚数部メモリ90から複素反射係数虚数部Γiの測定値データ(周波数特性)を取り込んでゼロクロス点の周波数を共振周波数fpとして読み取る共振周波数読取部92と、この共振周波数読取部92で割り出された共振周波数fpに基づいて所定の演算式から電子密度Neの測定値を求める電子密度演算部94と、電子密度測定値Neのデータを出力する出力部96と、計測のシーケンスを制御するシーケンス制御部98とを有している。
ここで、この実施形態のプラズマ電子密度測定装置においてチャンバ10内のプラズマPZについて径方向における任意の位置の電子密度を測定するための方法を説明する。
この実施形態では、測定部54の計数制御部74(特にシーケンス制御部98)の制御の下で次のようにしてプラズマ電子密度測定を行う。先ず、リニアアクチエータ56により同軸ケーブル52を絶縁管50内で軸方向(好ましくは引き抜く方向)に移動させてプローブ部52aを所望の測定位置hkに位置合わせする。
次に、ベクトルネットワークアナライザ68が、RFリミッタ70、HPF72、同軸ケーブル52およびプローブ部52aを介して当該測定位置hkにおける複素反射係数Γの測定値(周波数特性)を取得する。この際、基準化のために、第1の計測工程として、図4に示すように、チャンバ10内にプラズマPZが存在していないプラズマOFF状態の下で複素反射係数Γの周波数特性(第1の周波数特性Γ(f))を取得する。その後、第2の計測工程として、図5に示すように、チャンバ10内にプラズマPZが存在しているプラズマON状態の下で複素反射係数Γの周波数特性(第2の周波数特性Γ(pf))を取得する。
第1および第2の各測定工程において、ネットワークアナライザ68の反射計数測定部84は、たとえば数百MHzから数GHzの帯域で周波数掃引しながら各周波数についてたとえば1mW程度の電磁波信号(入射波)を同軸ケーブル52のプローブ部52aへ送って周囲の空間に(主としてプローブ部52aから見て半径方向に)放出させその付近のプラズマPZに入射させる。そして、プラズマPZからプローブ部52aに返ってきた電磁波つまり反射波を受信し、方向性結合器を介して入射波と反射波とを複素反射係数測定回路で突き合わせ、複素数表示の反射係数Γ(Γr+jΓi)の測定値を取得する。
次いで、第1の測定工程で取得された第1の周波数特性Γk(f)と第2の測定工程で取得された第2の周波数特性Γk(pf)とから所定の演算たとえば割算Γk(pf)/Γk(f)によって正規の、つまり基準化した周波数特性を求める。こうして、複素数表示の反射係数Γ(Γr+jΓi)の測定値(周波数特性)データのうち、実数部Γrを実数部メモリ88に格納し、虚数部Γiを虚数部メモリ90に格納する。この実施形態では、虚数部メモリ90に格納する複素反射係数の虚数部Γiの測定値(周波数特性)データを有意義に用いる。
図6に、この実施形態で得られる複素反射係数Γ(Γr+jΓi)の絶対値|Γ|、実数部Γrおよび虚数部Γiの周波数特性の一例(実験データ)を示す。この実験データは、プラズマクリーニング条件において、チャンバ10内の圧力を15mTorr、高周波電源18による下部RF電力(2MHz)を200W、高周波電源38による上部RF電力(60MHz)を1500W、処理ガスをO2(200sccm)とし、R=0mm(チャンバ中心点)の測定位置で取得したものである。図6において、反射係数Γの絶対値|Γ|は、スカラ式のネットワークアナライザで得られるスカラ表示の反射係数に相当するものであり、殆ど実数部Γrと等しい値をとり、虚数部Γiの値を実質的に反映しない。
複素反射係数Γの絶対値|Γ|の周波数特性に注目するとすれば、|Γ|の最小ピークが電子振動による電力吸収の最大ピークに対応し、最小(吸収)ピークをとるときの周波数つまりプラズマ吸収周波数を電子振動数に対応するものとみなすことになる。しかしながら、|Γ|の最小ピーク波形がブロードになったりノイズ成分が増えると、プラズマ吸収周波数を正確に割り出すのは難しく、測定誤差を生じやすい。これに対して、本発明は、反射係数Γの虚数部Γiの波形(周波数特性)に着目し、後述するようにΓiがゼロクロスするときの周波数をプラズマ共振周波数とし、このプラズマ共振周波数を電子振動数に対応するものとみなして電子密度の測定値に換算するようにしている。
計数制御部74では、共振周波数読取部92が、ベクトルネットワークアナライザ68の虚数部メモリ90から複素反射係数虚数部Γiの測定値データ(周波数特性)を取り込み、ゼロクロス点ZCの周波数を読み取る。上記のように、複素反射係数の虚数部Γiは周波数に応じて正または負の符号をとる。通常、周波数掃引による虚数部Γiの周波数特性においては、図6に示すように、ゼロクロス点ZCが1箇所に表われ、ゼロクロス点ZCよりも低い周波数領域ではΓiは負の値をとり、ゼロクロス点ZCよりも高い周波数領域でΓiは正の値をとる。周波数を上げる掃引方向でみると、Γiの値がゼロクロス点ZCで負から正に変わる。反対に、周波数を下げる掃引方向でみると、Γiの値がゼロクロス点ZCで正から負に変わる。このようにゼロクロス点ZCの周波数はΓiの符号が反転するポイントの周波数であり、周波数特性の波形プロファイルに関係なく簡単かつ正確に割り出せる。本発明では、ゼロクロス点ZCの周波数を共振周波数fpと定義する。
ここで、本発明における電子密度測定方法の基本原理を説明する。同軸ケーブル52のプローブ部52aは、絶縁管50を介してチャンバ10内のプラズマPZと電気的に接続する。当該測定位置hkにおけるプラズマPZの複素インピーダンスZpをR+jXとし、絶縁管50のインピーダンスを無視すると、複素反射係数Γ(Γr+jΓi)はインピーダンス面から次の式(2)で表される。
Γ(Γr+jΓi)=(Zp−50)/(Zp+50)
={(R+jX)−50}/{(R+jX)+50}
={(R−50)+jX}/{(R+50)+jX} ‥‥(2)
上記の式(1)における右辺の定数“50(Ω)”は同軸ケーブル52の特性インピーダンスである。上記の式(1)を有理化すると、下記の式(3)が得られる。
Γ(Γr+jΓi)=(AB+X2)/(B2+X2)+j100X/(B2+X2) ‥‥(3)
ただし、A=R−50、B=R+50である。
プローブ部52aからみたプラズマPZは、絶縁管50の表面に沿って形成される容量性負荷のイオンシースと、その内奥に広がる誘導性負荷のバルクプラズマとで構成されている。イオンシースは容量性のリアクタンスxCを有し、バルクプラズマは誘導性のリアクタンスxLを有しており、両者はプローブ部52aと基準電位(グランド電位)との間で直列回路を形成している。シース容量リアクタンスxCがバルク誘導リアクタンスxLよりも大きいときは、合成リアクタンスXが負で、これに対応して複素反射係数Γの虚数部Γiの値が負になる。また、シース容量リアクタンスxCよりもバルク誘導リアクタンスxLの方が大きいときは、合成リアクタンスXが正で、これに対応して複素反射係数虚数部Γiの値が正になる。シース容量リアクタンスxCとバルク誘導リアクタンスxLとが等しいときは、合成リアクタンスXがゼロで、直列共振になる。このとき、複素反射係数虚数部Γiの値もゼロになる。直列共振状態では、プラズマリアクタンスXによる信号電力伝送が極大化し、プローブ部52aからの入射波のエネルギーがいわゆるランダウ・ダンピングのメカニズムでプラズマ中の電子に伝えられる。つまり、直列共振状態になるときの電磁波の周波数つまり共振周波数が電子振動数と符合またはマッチングする。本発明では、複素反射係数の虚数部Γiの周波数特性においてゼロクロス点の周波数をプラズマのシース容量とバルクインダクタンスとが直列共振する周波数fpとみなし、この共振周波数fpの測定値から電子密度の測定値を求めるようにしている。なお、実際には、ベクトルネットワークアナライザ68で上記のように反射係数の基準化処理(Γk(pf)/Γk(f))が行われるため、上記の式(3)は変形されたものになるが、基本的には上記のような理論があてはまる。
計数制御部74において、共振周波数読取部92で得られた共振周波数fpの測定値は電子密度演算部94に与えられる。上記のように共振周波数fpはプラズマ中の電子振動数(1/2π*√(e2*Ne/me*ε0)と等しいとみなせるので、電子密度演算部94は下記の式(4)を演算して電子密度Neを算出することができる。
e=me*ε0*(1+εr)*(2πfp/e)2
=5.96E10(fp2[m-3] ‥‥‥(4)
ただし、meは電子密度、ε0は真空誘電率、εrは絶縁管の比誘電率、eは素電荷である。また、fpの単位はGHzであり、E10は1010を意味する。
図7Aおよび図7Bに、本発明のプラズマ共振プローブ法によって得られる電子密度測定感度の一例(実験データ)を示す。この実験データは、プラズマクリーニング条件において、チャンバ10内の圧力を15mTorr、下部RF電力(2MHz)を200W、処理ガスをO2(200sccm)とし、上部RF電力(60MHz)を1500Wを中心に微小変化させたときのR=80mm(チャンバ中心点から径方向80mm)の測定位置(図7A)およびR=220mm(チャンバ中心点から径方向220mm:側壁より20mm)の測定位置(図7B)におけるプラズマON開始直後の電子密度Neの時間的変動を示したものである。
図示のように、上部RF電力を中心値の1500Wから±30W(2%)変化させると、R=80mmの測定位置(図7A)では、電子密度Neが約±0.1E+10(E+10=1010)だけ変化することが読み取れる。一方、R=220mmの測定位置(図7B)では、電子密度Neが約±0.02E+10だけ変化することが読み取れる。一般に、プラズマ生成用のRF電力をプロセスパラメータとした場合、これを2%変化させたときの電子密度の変化がモニタリングできれば仕様上十分とされており、本発明のプラズマ電子密度測定法はこの要求条件を優にクリアすることができる。しかも、プラズマ密度の低いチャンバ側壁近傍の測定位置でも電子密度Neを高精度に測定できる点は注目すべき利点である。
図8に、測定精度を比較するために、R=80mmの測定位置において本発明のプラズマ共振プローブ法で得られる電子密度Neの測定値データをプラズマ吸収プローブ法(PAP)によって得られる電子密度Neの測定値データと対比して示す。プロセス条件は図7の実験例と同じである(ただし、上部RF電力は1500W)。図8から明らかなように、プラズマ吸収プローブ法で得られる電子密度の変動(波形)は、測定値のばらつきが大きく、ギザギザの曲線で表われる。これに対して、本発明で得られる電子密度の変動(波形)は、測定値のばらつきが小さく、ギザギザのない滑らかな曲線で表われる。
本発明の別の利点は、高圧力条件の下でもプラズマ中の電子密度Neを正確に測定できる点である。図9、図10、図11に、チャンバ内の圧力を15mTorr、800mTorr、1600mTorrの3段階に選んだときの複素反射係数の周波数特性(実験データ)を示す。図中、Γiは本発明によって取得される複素反射係数Γの虚数部であり、|Γ|は複素反射係数Γの絶対値でありプラズマ吸収プローブ法で取得されるスカラ表示の反射係数に相当するものである。この実験では、マグネトロンで発生した高周波数(2.45GHz)のマイクロ波を導波管を通してチャンバの天井面の石英窓からチャンバ内に照射してプラズマを生成するプラズマCVD(Chemical Vapor Deposition)用のマイクロ波型プラズマ処理装置を用いた。主なプロセス条件として、ガスはAr(400sccm)で、マイクロ波のパワーを1000Wとした。
プラズマ吸収プローブ法による反射係数周波数特性に相当する複素反射係数絶対値|Γ|の周波数特性についてみると、15mTorrの圧力条件(図9)では、最小(吸収)ピークが尖った角状の波形で表われ、ピーク点に対応する周波数(吸収周波数)を正確に読み取ることができる。しかし、800mTorrの圧力条件(図10)では、最小(吸収)ピーク波形が丸みを帯びてブロードになり、ピーク点がはっきりしなくなるとともに、最小(吸収)ピーク波形と紛らわしいノイズ成分が増える。そして、1600mTorrの圧力条件(図11)では、この傾向が一層顕著になり、吸収周波数を正確に割り出すことは非常に難しくなる。これは、圧力が高くなると、プラズマ中で電子と粒子(特に中性分子、原子)間の衝突が増大して、その分の(実抵抗による)電力吸収が増えるためである。このように、吸収周波数の測定精度が低いと、電子密度の測定精度も低くなる。
これに対して、本発明のプラズマ共振プローブ法による反射係数周波数特性つまり複素反射係数虚数部Γiの周波数特性においては、15mTorr(図9)ではもちろんのこと、800mTorr(図10)や1600mTorr(図11)でもΓiの値がゼロになるポイント(ゼロクロス点)は明確であり、共振周波数fpを簡単に、しかも正確に読み取ることができる。
因みに、15mTorrの圧力条件(図9)において、複素反射係数虚数部Γiのゼロクロス点から割り出される共振周波数fpは8.19×1011であり、絶対値|Γ|の最小ピークから割り出される吸収周波数も約8.19×1011である。800mTorrの圧力条件(図10)では、共振周波数fpは3.89×1011で、吸収周波数は3.73×1011付近の値である。また、1600mTorrの圧力条件(図11)では、共振周波数fpは4.22×1011で、吸収周波数は3.81×1011付近の値である。
また、圧力が15mTorrよりもずっと低いときは、ガス分子が少なくなって、電子密度も低くなる。電子密度が低いと、プラズマからの反射波の信号強度が低くなって、S/Nが低下し、周波数特性はブロード傾向になる。そうなると、プラズマ吸収プローブ法では吸収周波数を正確に読み取るのが難しくなる。これに対して、本発明のプラズマ共振プローブ法によれば、周波数特性の波形如何に関係なく複素反射係数虚数部Γiのゼロクロス点から共振周波数fpを正確に読み取ることができる。
図12に、本発明のプラズマ共振プローブ法により2000mTorrの高圧力条件下で得られた電子密度分布特性の一例(実験データ)を示す。この実験は、プラズマCVD用の容量結合型プラズマ処理装置において、サセプタ温度を600゜Cとし、上部(対向)電極に450kHz、800Wの高周波を印加し、プラズマ生成ガスにAr/H2ガス(1600/1000sccm)を用いた。図12に示すように、2000mTorrの高圧力条件下でも径方向の各位置における電子密度Neを高い精度で測定できることが確認された。
なお、本発明のプラズマ共振プローブ法では、図4および図5に示すように、絶縁管50内でプローブ部52aの位置つまり測定位置hを径方向においてスキャニング移動させ、各測定位置(h1,h2,‥‥,hn)で求めた電子密度Neの測定値をグラフ上にプロットすることで、チャンバ10内の径方向におけるプラズマ電子密度Neの空間分布特性を取得することもできる。
本発明の好適な実施形態として、径方向の全測定ポイント(h1,h2,‥‥,hn)について上記第1および第2の計測工程をそれぞれ一括実施することができる。より詳細には、第1の計測工程については、図4に示すように、チャンバ10内にプラズマPZが存在していない状態の下で、予め設定した径方向の測定位置h1,h2,‥‥,hk,‥‥,hnにつき順番に複素反射係数Γの周波数特性(第1の周波数特性Γ1(f),Γ2(f),‥‥,Γk(f),‥‥Γn(f))を取得する。この際、リニアアクチエータ56により同軸ケーブル52を絶縁管50から引き抜く方向に間欠的に移動させてプローブ部52aを図の右端(始端)の測定位置h1から左端(終端)の測定位置hnまで順次ステップ移動させる。
また、第2の計測工程については、図5に示すように、チャンバ10内にプラズマPZが発生している状態の下で、上記第1の計測工程のときと同一の測定位置h1,h2,‥‥,hk,‥‥,hn-1,hnにつき順番に複素反射係数Γの周波数特性(第2の周波数特性Γ1(pf),Γ2(pf),‥‥,Γk(pf),‥‥Γn(pf))を取得する。このときも、リニアアクチエータ56により同軸ケーブル52を絶縁管50から引き抜く方向に間欠的に移動させてプローブ部52aを図の右端(始端)の測定位置h1から左端(終端)の測定位置hnまで順次ステップ移動させる。
上記のように第1および第2の周波数特性(Γ(f),Γ(pf))を一括取得した後も、後続の各信号処理において、つまり周波数特性の基準化(Γ(pf)/Γ(f))、虚数部Γiの抽出、共振周波数fpの割り出し、電子密度Neの演算等において全測定位置(h1,h2,‥‥,hn)分の一括処理を行う。
このように、全測定位置h1〜hnについてプラズマOFF状態での反射係数測定とプラズマON状態での反射係数測定とをそれぞれ一括して行う方式によれば、測定ポイントの数に関係なくON/OFF切換時間は1回分で済むため、全体の測定効率は高く、一測定位置当たりの測定時間を数秒以内に短縮できる。この点、従来のプラズマ吸収プローブ法においては、測定位置を変える度毎にプラズマのオン/オフを繰り返すため、1つの測定位置毎に数分の測定時間を要していた。このような測定効率ないし測定時間の差は、測定ポイントの数を増やすほど(特に大口径チャンバで)顕著になる。
また、この実施形態では、チャンバ10の側壁の相対向する位置に設けた一対の支持部(貫通孔10a,10a)の間に絶縁管50を水平に架け渡し、かつOリング58で気密に固定している。そして、このように水平に固定された架橋型の絶縁管50の中で同軸ケーブル52を軸方向に移動させてプローブ部52aの位置合わせを行う。このことにより、プローブ部52aを所望の測定位置へ迅速かつ正確に位置合わせできるとともに、プローブ部52aを常に一定の水平線上に位置させることができ、測定位置の再現性を保証できる。
また、絶縁管50がOリング58と擦りあうことがないため、Oリング58が損傷劣化するおそれはなく、プローブ機構の安定性が向上するとともに消耗品コスト性(COC)も向上する。そのうえ、プローブ機構のプラズマに与える影響(擾乱)は測定位置に関係なく一定であり、しかも擾乱時間(測定時間)が非常に短いため、測定精度の信頼性も向上する。
また、同軸ケーブル52のプローブ部52aから見た絶縁管50はどの測定位置でも一定または一様の同軸管構造であり、プローブ部52aから発振される電磁波とプラズマとの結合は一定しているので、ノイズが発生し難く、精度および再現性の高い計測を行うことができる。さらに、同軸ケーブル52に電磁波吸収体としてビーズ形フェライト部材66を嵌装しているので、たとえ同軸ケーブル52の外部導体(GND部)52bに定在波ノイズが発生したとしても、ビーズ形フェライト部材66により定在波ノイズを効果的に吸収して十全に除去できる。
また、このプラズマ電子密度測定装置では、同軸ケーブル52の外部導体(GND部)52bをアース用導体62およびチャンバ10を介して接地している。このチャンバ10を利用したRFシールド機能により、大気や測定回路54へのRFノイズのリークを効果的に防止して、人体や計測機器の安全性を確保するとともに、ガス検知機等の周囲の電子機器の誤動作を回避できる。
なお、このRFシールド機能によれば、同軸ケーブル52の外部導体(GND部)52b上にはアース用導体62との接続位置または短絡点Aよりも内側(プローブ部52a側)でノイズ信号が伝播するため、定在波ノイズ吸収用のビーズ形フェライト部材66も短絡点Aより内側に配置するのが好ましく、さらに好ましくはこの実施形態のように定在波ノイズの波腹部である短絡点Aに可及的に近接させる配置構成としてよい。
さらに、この実施形態では、絶縁管50の先端側つまりプローブ部52aの対向側を開口して、この開口部より冷却ガス供給部80からのエアーを流し込んで同軸ケーブル52を効果的に冷却するため、プローブ部52a回りの熱膨張や熱損傷を防止し、耐久性を向上させることができる。
この実施形態のプラズマ電子密度測定方法および装置は、大口径チャンバの300mm装置やFPD装置でも信頼性の高いプラズマ電子密度測定を容易かつ効率的に短時間で行うことができる。
さらに、本発明は、上記のようにプラズマ密度の低い場所でも電子密度を正確に測定できるため、プラズマに擾乱を与えない測定位置でのモニタリングを可能とする。図13に、無擾乱のプラズマモニタリングを可能とする本発明の一実施例を示す。図中、図1の各部と実質的に同様の構成または機能を有する部分には同一の符号を附している。
図13において、この実施例のプラズマ電子密度測定装置では、チャンバ10の側壁、上部電極24中心部および下部電極16周辺部の3箇所にプローブユニット100,102,104をエンベット(埋設)式で取り付けている。これらプローブユニットのいずれもプラズマ領域の周辺に位置し、プラズマPZを擾乱せずに付近の電子密度を測定することができる。
図14Aおよび図14Bに、側壁エンベットのプローブユニット100の構成例を示す。図14Aの構成は、上記実施形態(図1)における絶縁管50の先端部を閉塞してチャンバ10の側壁からプラズマ領域に向けて僅かに突出するように取り付けたものである。前方(プラズマ領域)方向への電波放射の指向性を高めるために、プローブ部52aの先端を絶縁管50の先端部に付けるのが好ましい。
図14Bの構成は、絶縁体からなる筒状のハウジング106をチャンバ10の側壁の内面に対して面一または凹むように取り付けたものである。このハウジング106の先端面には、誘電率の大きい材質たとえばサファイアからなる板厚の小さい窓部材108を設けるのが測定感度を向上させるうえで好ましい。また、図示のように、プローブ部52aの先端をL形に折り曲げる構成によって、プローブ部52aにインピーダンスの不連続点を形成し、そこから電波を効果的に前方へ放射することができる。
前方指向性を高めるために、他にも、図15の(B)に示すようにプローブ部52aの先端にたとえば円盤状の容量性カップリング部材110を取り付ける構成や、図15の(D)に示すようにプローブ部52aの先端にたとえば十字形の誘導性アンテナ部材112を取り付ける構成も可能である。なお、図15の(A)のプローブ構造は図14Aのプローブユニット100で採用されているものであり、図15の(C)のプローブ構造は図14Bのプローブユニット100で採用されているものである。電極回りのプローブユニット102,104も上記プローブユニット100と同様の構造および機能を有するものであってよい。
図13において、各プローブユニット100,102,104はセレクタスイッチ114を介して共通のネットワークアナライザ68に接続可能となっている。プラズマ処理の最中に、計測制御部74の制御の下でセレクタスイッチ114を時分割方式で各プローブユニット100,102,104に切り替えることにより、チャンバ10内のプラズマ密度について複数モニタ位置からの同時測定を1台の測定部54で効率よく行うことができる。また、プロセス中にチャンバ10内のプラズマPZに擾乱を与えることなくその周辺でプラズマ電子密度の変動ひいてはプロセスの実状況を簡便にモニタリングできる。測定部54からモニタ情報を主制御部20へ与えて、測定結果を現時のプロセス条件または次回のプロセス条件にフィードバックさせることも可能である。プラズマプロセッシングにおける代表的なプロセスパラメータは、圧力、RFパワー、ガス流量、温度などである。
図16に示す実施例は、図13の実施例を複数台のプラズマ処理装置に発展させたものである。図示のように、2台(3台以上も可能)のプラズマ処理装置にそれぞれ取り付けられた組込み型プローブユニット116,118を、セレクタスイッチ114により時分割で同時に共通のベクトルネットワークアナライザ68に接続することができる。このシステムでも、計測部54から各処理装置毎のモニタ情報を各装置のプロセス制御部20に与え、各処理装置毎にプロセス制御部20が測定結果を現時のプロセス条件または次回のプロセス条件にフィードバックさせることができる。また、これら複数台のプラズマ処理装置が同一機種のものである場合は、装置間機差の有無も正確に判断することができる。
また、図13の実施例を適用できるアプリケーションの1つにシーズリングがある。当業者には周知のように、シーズニングは、チャンバのクリーニングまたは部品交換後に、チャンバの室内をプロセス条件の雰囲気に安定させるため、ダミーウエハを用いてプラズマエッチングのサイクル(パイロット運転)を適当な回数繰り返す工程である。通常、クリーニングまたは部品交換の直後は、プラズマ空間からチャンバ内壁への堆積物の付着がチャンバ内壁からプラズマ空間への堆積物の放出よりも勝り、プロセスが安定しない。プラズマ処理のサイクルを何回か繰り返すうちに、チャンバ内壁での堆積物の付着と放出とが均衡してプロセスが安定してくる。
従来は、標準レシピの条件下でプロセスサイクル毎にエッチングレートをモニタリングし、エッチングレートが定常化するまで要したダミーウエハの枚数(あるいはパイロットサイクル数、パイロット運転時間等)をシーズニング条件として確定し、そのシーズニング条件を固定化して全てのプロセスレシピに適用していた。しかしながら、当然のことであるが、固定シーズニング条件は全てのプロセスレシピに妥当するものではなく、過剰であったり、不足であったりする場合があり、問題となる。すなわち、シーズニング条件が過剰の場合は、無駄なエッチングサイクルを伴ない、スループットの低下を来す。シーズニング条件が不足の場合は、不安定なプロセスを正規のウエハに施してしまい、歩留まりの低下を招く。また、プロセスエンジニアまたはオペレータの経験や勘に基づいてシーズニング条件を設定することも行われているが、やはり確実性や汎用性が低く、上記と同様の問題があった。本発明によれば、以下に説明するように、個々のプロセスレシピに対して適応型のシーズニング制御を行い、スループット向上と歩留まり向上のトレードオフを解決することができる。
本発明のプラズマ共振プローブ法によれば、上記のように、プラズマ密度の低い場所でも電子密度を正確に測定できるため、たとえばチャンバ10の側壁にプローブユニット100を取り付けて、プラズマに擾乱を与えずに実プロセス中の電子密度をモニタリングすることができる。ここで、実プロセス、たとえばプラズマエッチングにおいては、チャンバのクリーニングまたは部品交換を行った後は、最初のエッチングサイクル(1枚目のウエハ)でエッチングレートが最も高く、エッチングサイクルを繰り返す度にエッチングレートが漸次的に減少し、あるサイクル以降はエッチングレートが安定化する。図17に、シーズニングのエッチングサイクルにおいてウエハ上の各位置でエッチングレートが漸次的に減少して安定化する様子の一例を示す。図示の例はシリコン酸化膜エッチングであり、主なエッチング条件は下記のとおりである。
ウエハ口径:200mm
ガス圧力:15mTorr
上部及び下部電極間距離:25mm
エッチングガス:C58/O2/Ar=15/380/19sccm
RF電力:上部/下部=2170/1550W
図17に示すように、エッチングレート(E/R)は、ウエハ1枚目(No.1)と3枚目(No.3)との間で変化量(減少量)が相当大きく、3枚目(No.3)と5枚目(No.5)との間でもまだ相当の変化量(減少量)があるのに対し、5枚目(No.5)から7枚目(No.7)にかけては変化量(減少量)が非常に小さくなっている。この例の場合は、5枚目(No.5)当たりでシーズニングが完了したものとみることができる。なお、ウエハ面内では、ウエハ中心部でエッチングレート(E/R)の変化量が最も顕著であるが、ウエハエッジ付近でも有意の変化量を読み取れる。
図18に、上記の例で1枚目(No.1)のウエハから7枚目(No.3)のウエハにかけてエッチングレート(E/R)のウエハ面内平均値(Ave. E/R)が漸次的に減少して安定化する様子と、各エッチングサイクルにおける電子密度Neの時間的変動とを示す。ここで、電子密度Neは本発明のプラズマ共振プローブ法によりチャンバ側壁付近(側壁より10mmの位置)でモニタリングされたものであり、各エッチングサイクル(エッチング時間TA=60秒)中に12秒間隔で15個の測定データがプロットされている。なお、エッチングレート平均値(Ave. E/R)は1枚目(No.1)のウエハで得られたものを基準値として規格化(a.u)されており、電子密度Neは1枚目(No.1)のウエハで得られたものの平均値を基準値として規格化(a.u)されている。
図18に示すように、シーズニングにおいてはエッチングサイクルに応じたエッチングレート(E/R)の変化と電子密度Neの変化との間に相関関係があることがわかる。すなわち、エッチングサイクルの回数が1,2,3,‥とカウントアップするにつれて、エッチングレート平均値(Ave. E/R)が漸次的に減少するのと呼応(連動)して各エッチングサイクルにおける電子密度Neの最大値(サイクル開始時の値)、最小値(サイクル終了時の値)および平均値も漸次的に減少し、エッチングレート平均値(Ave. E/R)が安定化してくると電子密度Neの最大値、最小値および平均値も安定化してくる。
本発明によれば、チャンバ10でクリーニングまたは部品交換を行った後は、チャンバ10に入れ替わり搬入されてプラズマエッチングを受ける各々のダミーウエハについてエッチングサイクル中に時間的に変化する電子密度Neの測定値の代表点(最大値、最小値または平均値等)をチャンバ10の壁近傍で実プロセスに影響を与えずに高精度でモニタリングし、相前後するダミーウエハの間で代表点が実質的な定常値に落ち着いたところでシーズニングを完了させ、チャンバ10に搬入する被処理基板をダミーウエハから正規のウエハに切り換えることができる。
上記した実施例では、測定部54のベクトルネットワークアナライザ68において複素反射係数Γから虚数部Γiの周波数特性を取得して、虚数部Γiのゼロクロス点から共振周波数fpを読み取った。一変形例として、ベクトルネットワークアナライザ68において入射波と反射波との位相差を測定し、この位相差の周波数特性においてゼロクロス点の周波数を共振周波数fpとする方式も可能である。すなわち、ベクトルネットワークアナライザ68で測定される入射波と反射波の位相差の符合は複素反射係数Γの虚数部Γiの符合に対応し、位相差がゼロになる周波数は複素反射係数Γの虚数部Γiがゼロになる周波数つまり共振周波数fpである。したがって、位相差から割り出した共振周波数fpからも精度の高い電子密度の測定値を求めることができる。
また、上記した実施例では、絶縁管50の中で同軸ケーブル52のプローブ部52aを各測定位置hiに間欠的なステップ移動で順次位置合わせした。しかし、たとえばリニアアクチエータ54にロータリエンコーダまたはリニアエンコーダ等の位置センサを取り付けて、スライダ部76ないしプローブ部52aの現在位置を検出することにより、同軸ケーブル52を等速度で連続的に軸方向に移動させながら、プローブ部52aが各測定位置hkを通過する時にネットワークアナライザ68を起動させて反射係数の周波数特性を取得する方法も可能である。また、チャンバ10内の測定位置hkを1箇所だけとすることももちろん可能である。
また、上記した実施例では、同軸ケーブル52のプローブ部52aを収容する絶縁管50を、チャンバ10の側壁の相対向する位置に設けた一対の支持部(貫通孔10a,10a)の間に水平に架け渡した。しかし、チャンバ10内に管先端部を浮かせた状態で絶縁管50を支持または取付する片持ち梁り方式にも、本発明のプラズマ共振プローブ法を適用することができる。上記実施例におけるアクチエータ56は、電気モータの回転駆動力をボールねじ機構によって直線的な駆動力に変換する方式であった。しかしながら、本発明で用いるアクチエータは、そのようなモータ式に限るものではなく、空気圧式や磁気式などの任意の駆動方式が可能である。
実施形態2
次に、図19〜図31につき本発明のプラズマ吸収プローブ法(PAP)に係る実施形態を説明する。図19に、この第2の実施形態によるプラズマ電子密度測定方法および装置を適用したプラズマ処理装置の構成を示す。図中、図1のプラズマ処理装置およびプラズマモニタリング装置におけるものと同様の構成または機能を有する部分は、同一の符号を附して、その詳細な説明を省略する。
この第2の実施形態では、プラズマ電子密度測定装置の測定部54が、プラズマ吸収プローブ法(PAP)のためのスカラネットワークアナライザ120と計測制御部122とを備えている。
スカラネットワークアナライザ120は、たとえば数百MHzから数GHzの帯域で周波数掃引しながら各周波数について微小電力の電磁波信号(入射波)を同軸ケーブル52のプローブ部52aへ送ってチャンバ10内のプラズマPZに向けて放射し、プラズマPZから反射してきた電磁波(反射波)のパワー量と入射波のパワー量との比からスカラ表示の反射係数を求め、その周波数特性を取得する。計測制御部122は、計測用の制御および演算処理を行い、特にスカラネットワークアナライザ120で取得されるスカラ表示の反射係数の周波数特性を取り込んで、その周波数特性において波形の最小ピークまたは吸収ピークを割り出し、その吸収ピークに対応する周波数つまりプラズマ吸収周波数を求めるようになっている。
ここで、図20〜図22につき、この実施形態のプラズマモニタリング装置においてプラズマ吸収周波数および電子密度を測定するための方法を説明する。図20に示すように、この実施形態におけるプラズマモニタリングは、設定、一括測定および一括データ処理の3段階の工程に大別される。
設定工程(ステップS1)では、計測制御部74において、モニタリングに関係するパラメータ(たとえばRF電力、圧力、ガス種、電極間距離、電極構造等)や測定位置等が設定入力される。測定位置は、各位置のデータが直接設定入力されてもよく、あるいは原点位置と測定ポイント数やピッチ(測定位置間隔)等の設定入力値から演算で導出されてもよい。
一括測定の工程は、チャンバ10内にプラズマPZが存在していないプラズマOFF状態の下で全ての測定位置につき反射係数の周波数特性(第1の周波数特性)を一括取得する第1の一括測定工程(ステップS2)と、チャンバ10内にプラズマPZが存在しているプラズマON状態の下で全ての測定位置につき反射係数の周波数特性(第2の周波数特性)を一括取得する第2の一括測定工程(ステップS3)とからなる。
図21に、第1の一括測定工程(ステップS2)における詳細な手順を示す。この第1の一括測定工程では、最初にチャンバ10内にプラズマPZが存在していないことを確認する(ステップA1,A2)。装置的には、高周波電源18,38が高周波電力の出力を止め、処理ガス供給部34が処理ガスの供給を止めることで、プラズマPZの無い状態つまりプラズマOFF状態とすることができる。なお、チャンバ10内の圧力は所定の真空度に保っておく。
こうして、チャンバ10内にプラズマPZが存在していない状態の下で、予め設定された径方向の測定位置h1,h2,‥‥,hi,‥‥,hn-1,hnにつき順番に反射係数の周波数特性(第1の周波数特性)を取得する。より詳細には、第1の実施形態の場合(図1)と同様に、プローブ部52aを目的の測定位置hiに位置合わせし(ステップA3)、スカラネットワークアナライザ120よりたとえば数百MHzから数GHzの帯域で周波数掃引しながらたとえば1mW程度の電磁波信号(検査電波または入射波)を同軸ケーブル52のプローブ部52aへ送って周囲の空間に(主としてプローブ部52aから見て半径方向に)放出させ、スカラネットワークアナライザ120に返ってきた反射信号のパワー量と入射波のパワー量との比からスカラ表示の反射率または反射係数を求め、スカラネットワークアナライザ120で取得ないし表示される反射係数の周波数特性Γi(f)(S11パラメータ)を計測制御部74内のメモリ74aに記憶する(ステップA4,A5)。次いで、プローブ部52aを次の測定位置hi+1へ移動させ(ステップA6→A7→A8→A3)、上記と同様の信号処理により反射係数の周波数特性Γi+1(f)(S11パラメータ)を取得し(ステップA4)、その取得した周波数特性のデータを測定データとして計測制御部74内のメモリ74aに記憶する(ステップA5)。上記のような一連の工程(ステップA3,A4,A5)を全ての測定位置h1,h2,‥‥,hi,‥‥,hn-1,hnについて繰り返す(ステップA6,A7,A8)。
この実施形態においても、図4に示すように、リニアアクチエータ56により同軸ケーブル52を絶縁管50から引き抜く方向に間欠的に移動させてプローブ部52aを図の右端(始端)の測定位置h1から左端(終端)の測定位置hnまで順次ステップ移動させることにより、上記一連の工程(A3,A4,A5)を効率良く短時間(数秒以内のタクト時間)で実施することができる。
図22に、第2の一括測定工程(ステップS3)における詳細な手順を示す。この第2の一括測定工程では、先ずチャンバ10内に所望のプラズマPZを生成させる(ステップB1)。装置的には、高周波電源18,38が予め設定されたRFパワーで高周波電力を両電極16,24にそれぞれ印加し、処理ガス供給部34がチャンバ10内に所望の処理ガスを供給することで、プラズマPZが存在する状態つまりプラズマON状態にすることができる。
こうして、チャンバ10内にプラズマPZが発生している状態の下で、上記第1の一括測定工程のときと同一の測定位置h1,h2,‥‥,hi,‥‥,hn-1,hnにつき順番に反射係数の周波数特性(第2の周波数特性)を取得する。より詳細には、上記と同様の手順および信号処理により、リニアアクチエータ56において同軸ケーブル52のプローブ部52aを各測定位置hiに順次位置合わせし(ステップB2)、各測定位置hiにつきスカラネットワークアナライザ120において反射係数の周波数特性Γi(pf)を取得し(ステップB3)、その取得した周波数特性のデータを測定データとして計測制御部74内のメモリ74aに記憶する(ステップB4)。このような一連の工程(ステップB2,B3,B4)を全ての測定位置h1,h2,‥‥,hi,‥‥,hn-1,hnについて繰り返す(ステップB5,B6,B7)。
この第2の一括測定工程においても、図5に示すように、リニアアクチエータ56により同軸ケーブル52を絶縁管50から引き抜く方向に間欠的に移動させてプローブ部52aを図の右端(始端)の測定位置h1から左端(終端)の測定位置hnまで順次ステップ移動させることにより、上記一連の工程(B2,B3,B4)を効率良く数秒以内のタクト時間で実施することができる。
なお、同軸ケーブル52においては、外部導体52bがステンレスパイプで剛性に優れているため、絶縁管50から引き抜かれる方向に移動するに際に安定した直線性を維持できるとともに、プラズマPZの高温雰囲気内でも絶縁材52cの熱膨張ないし破裂を防止することができる。
図20において、一括データ処理の工程は、全ての測定位置h1,h2,‥‥,hi,‥‥,hn-1,hnについて、上記一括測定工程で得られた反射係数の第1の周波数特性Γ(f)と第2の周波数特性Γ(pf)とから所定の演算(たとえば割算あるいは減算等)によってプラズマ吸収周波数を一括して割り出す第1のデータ処理工程(ステップS4)と、プラズマ吸収周波数の測定値を基に上式(1)の演算によりプラズマ電子密度を一括して算出する第2のデータ処理工程(ステップS5)とを含む。
より詳細には、第1のデータ処理工程(ステップS4)では、各々の測定位置h1,h2,‥‥,hi,‥‥,hn-1,hnについて第1の周波数特性Γi(f)と第2の周波数特性Γi(pf)との比Γi(pf)/Γi(f)を演算する。この比Γi(pf)/Γi(f)は真空状態を基準としたプラズマによるエネルギー吸収の周波数特性を意味する。厳密にはプローブ部52aから放出された電磁波が周りの絶縁管50の表面を伝播し、その表面波の周波数がプラズマの電子振動数fpと一致したときに電磁波吸収が起こって反射率が極端に低くなる。したがって、比Γi(pf)/Γi(f)が極小ピークになるところの周波数を割り出すことで、これをプラズマ吸収周波数の測定値とすることができる。
第2のデータ処理工程(ステップS5)では、各々の測定位置h1,h2,‥‥,hi,‥‥,hn-1,hnについてプラズマ吸収周波数の測定値を基に上式(1)を演算して電子密度Neの測定値を求める。各測定位置に対応させて電子密度Neの測定値をグラフ上にプロットすることで、プラズマPZの径方向における電子密度Neの空間分布を調べることもできる。
図23に、この実施形態のプラズマモニタリング装置において得られる電子密度Neの空間分布特性の一例(実施例)を比較例と対比して示す。ここで、比較例は、図19の装置構成の下で、各々の測定位置hi毎にプラズマOFF状態とプラズマON状態とを切り換えて反射波の第1の周波数特性Γi(f)と第2の周波数特性Γi(pf)とを取得する方式によって得られる電子密度Neの空間分布特性である。
図示のように、実施例と比較例とで電子密度Neの空間分布特性(測定値)にさほどの違いはない。しかし、比較例は、各測定位置hi毎にプラズマOFF状態とプラズマON状態とを切り換えてその都度反射係数の測定を行う方式であり、測定ポイントの数に比例したON/OFF切換時間を費やすため、全体の測定効率が低く、一測定位置当たり数分の測定時間を要する。これに対して、実施例は、全測定位置h1〜hnについてプラズマOFF状態での反射係数測定とプラズマON状態での反射係数測定とをそれぞれ一括して行う方式であり、測定ポイントの数に関係なくON/OFF切換時間は1回分で済むため、全体の測定効率は高く、一測定位置当たりの測定時間を数秒以内に短縮できる。したがって、図23の例(測定ポイントが16個)の場合、全測定時間は、比較例が約30分かかるのに対して、実施例では約3分以内に済ますことができる。このような測定効率ないし測定時間の差は、測定ポイントの数を増やすほど顕著になる。
このように、この実施形態のプラズマモニタリング装置によれば、プラズマ吸収周波数や電子密度を効率よく短時間で測定することが可能であり、大口径チャンバの300mm装置やFPD装置でも信頼性の高いプラズマモニタリングを容易かつ効率的に短時間で行うことができる。
なお、実際の製造プロセスにおいて当該プラズマ処理装置が加工処理を行うときは、プローブ機構(絶縁管、同軸ケーブル)は処理装置から取外すのが好ましい。この実施形態では、絶縁管50を抜き取ると開口するチャンバ10の貫通孔10aを栓等のシーリング部材で閉塞して真空封止してよい。
以下、この第2の実施形態における具体例を説明する。
上記プラズマ処理装置(図19)において、上部および下部高周波電力(高周波電源38,18)のRF周波数をそれぞれ60MHz、2MHzとし、上部電極24と下部電極(サセプタ)16との距離間隔(ギャップ)を25mmに設定した。
上記実施形態のプラズマモニタリング装置において、絶縁管50は、全長550mm、外径3mm、内径1.5mmの透明石英管を使用し、チャンバ10側壁の両貫通孔10a間に架け渡される高さ位置(測定高さ位置)を上部電極24から10mm、下部電極16から15mmの位置に設定した。同軸ケーブル52は、芯線(内部導体)52aの外径0.20mm、外部導体52bの外径0.86mm、特性インピーダンス50ΩのセミリジッドケーブルSC-086/50(コアックス社製)を使用し、先端部のテフロン(登録商標)付芯線52aを10mm露出させてプローブ部とした。測定回路54において、ハイパスフィルタ72は日本高周波社のHPF150、RFリミッタ70はアジレントテクノロジー社の11930B、スカラネットワークアナライザ120はアジレントテクノロジー社のHP8753ETを用いた。スカラネットワークアナライザ120においては、150MHzから2500MHzまでの高周波信号(0dBm:1mW)を600msec毎にスイープして出力させるようにした。リニアアクチエータ56には、THK社のリニアアクチエータLCA40を用いた。
上記実施形態による改良型プラズマ吸収プローブ法では、RFリーク対策のため同軸ケーブル52のGNDライン52bをチャンバ10の筐体(側壁)に短絡させて接地しているが、ビーズ形フェライト部材66を具備しない構成において同軸ケーブル52のチャンバ10内への挿入長さL(短絡点Aからプローブ部52a先端までの距離)を変えると、周期的にプラズマ吸収周波数以外のノイズと思われるピークが現れる現象が見られた。
このノイズ発生のメカニズムを明らかにするために、同軸ケーブル52の挿入長さL(図2)を変化させて、このとき得られるノイズピークの周波数特性を調べた。図24にこの周波数特性を示す。図24から、ノイズピークは同軸ケーブル52の挿入長さLに応じて1500MHz以上の帯域で周期的に現れることがわかる。なお、プラズマ周波数fpは1000MHz〜1500MHzの範囲内に存在している。
図25に、ノイズピークの周波数(実測値)をプロットで示し、挿入長さLで決まる共振周波数(ピーク周波数計算値)を曲線で示す。図25において、λは同軸ケーブル52の外部導体52bを伝播するノイズ信号の波長を示し,λgは芯線(内部導体52a)を伝播するノイズ信号の波長を示す。図25のグラフから、ノイズピークの測定値と計算値(半波長の整数倍)とがほぼ完全に一致していることがわかる。
この結果から、挿入した同軸ケーブル52のGNDライン52bで定在波が発生し、この定在波がプローブに信号として認識され、本来のプラズマによる吸収ピーク以外の定在波ノイズピークが発生していると考えられる。定在波ノイズが発生すると、プラズマ吸収の周波数特性のS/Nが悪くなるばかりか、プラズマ吸収ピークと誤認してしまうおそれがある。
そこで、上記実施例のように、電磁波吸収体64による定在波ノイズの吸収を実験で試みた。電磁波吸収体64には、TDK社のビーズフェライトHF70BB3.5×5×1.3を用いた。また、プラズマ処理装置におけるプラズマ生成条件として、処理ガスにC58/Ar/O2混合ガス(流量:15/380/19sccm)を使用し、チャンバ10内の圧力を2.0Pa(15mTorr)、上部/下部高周波電力を2.17/1.55kW、上部電極/チャンバ側壁/下部電極の温度を60/50/20゜Cにそれぞれ設定した。測定位置をウエハ中心位置からの半径方向の距離をRとして、R=0(ウエハ中心)、R=160mmの2箇所を選んだ。
図26Aおよび図26Bに、この実験結果のデータを示す。この実験結果からわかるように、電磁波吸収体66を同軸ケーブル52に装着することで、本来のプラズマ吸収ピークに影響を与えることなく定在波ノイズを効果的に除去できることがはっきりと確認された。
次に、プラズマクリーニング条件でのノイズ低減効果を実験で評価した。目的はプラズマクリーニングのように電子密度が低くなり、S/Nが悪くなる条件でも、電磁波吸収体66により定在波ノイズを効果的に低減できるかを確認するためである。なお、プラズマクリーニングとは、周知のように、チャンバ内壁等に付着した反応残渣をプラズマを用いて除去するクリーニング方法である。
プラズマ生成条件として、処理ガスにO2ガスを使用し、供給流量を200sccm、圧力を2.0Pa(15mTorr)、下部電力を200W、上部電極/チャンバ側壁/下部電極の温度を30/50/20゜Cにそれぞれ設定し、下部電力を1500Wから200Wまで変化させた。測定位置はR=0(ウエハ中心)とした。
図27に、この実験結果を示す。やはり定在波ノイズのみを選択的に除去できるため、プラズマ吸収ピークの弱いシグナルでも容易にピーク検出を行えることがわかった。
さらに、同軸ケーブル52に装着する電磁波吸収体66を増強させたときのシグナルの変化を評価した。具体的には、同軸ケーブル52に直列に装着するビーズフェライト(HF70BB3.5×5×1.3)を5個、10個、15個としたときのプラズマ吸収ピークのシグナル(深さ)を比較した。結果は図28に示すように、ビーズフェライトの装着数を増やすことでシグナルを増加できることがわかった。これはフェライトがシグナルの中に含まれるノイズ成分を電磁誘導を介して除去するからであると考えられる。もっとも、電磁波がフェライトに吸収されると熱エネルギーに変換されるので、フェライト自体が加熱され、その温度がキュリー点(Tc:約100゜C)を超えるとフェライトは電磁波吸収の特性を失う。したがって、ビーズフェライトを冷却するのが好ましい。この実施形態では、冷却ガス供給部80の働きにより電磁波吸収体66も空冷されるようになっている。
また、下記のように幾つかのプロセスプラズマについて圧力と電子密度空間分布との相関関係について調べた。
(1) 高アスペクト比の接続孔を形成するためのエッチング用のプラズマについて圧力をパラメータにしてチャンバ径方向における電子密度の空間分布を調べた。図29に計測結果を示す。主要なプラズマ生成条件(レシピ)は以下のとおりである。
ウエハ口径:200mm
エッチングガス:C58/Ar/O2 混合ガス
ガス流量:C58/Ar/O2 =15/380/19sccm
ガス圧力:2.0〜26.6Pa(15〜200mTorr)
RF電力:上部/下部=2.17/1.55kW
設定温度:上部電極/側壁/下部電極=60/50/20゜C
下部RF電圧の振幅:1385V(2.0Pa)、1345V(4.0Pa)、1355V(10.6Pa)、1370V(16.0Pa)、1380V(26.6Pa)
図29に示すように、このプラズマ生成条件では、圧力が16.0Pa(120mTorr)を超えると、ウエハ中心部付近の位置で電子密度Neが下がり、均一性が失われることがわかる。
(2) Si基板に配線用の溝(トレンチ)を形成するためのエッチング用のプラズマについて圧力をパラメータにしてチャンバ径方向における電子密度の空間分布を調べた。図30に計測結果を示す。主要なプラズマ生成条件(レシピ)は以下のとおりである。
ウエハ口径:200mm
エッチングガス:CF4/O2混合ガス
ガス流量:CF4/O2=40/3sccm
ガス圧力:6.7〜66.5Pa(50〜500mTorr)
RF電力:上部/下部=1.0/1.2kW
設定温度:上部電極/側壁/下部電極=60/50/20゜C
下部RF電圧の振幅:1530V(6.7Pa)、1690V(20.0Pa)、1400V(39.9Pa)、1180V(66.5Pa)
図30からわかるように、このプラズマ生成条件では、ガス圧力が6.7Paや20.0Pa程度では電子密度Neは不均一な分布になるが、39.9Pa以上では平坦(均一)な分布特性となることがわかる。
(3) 基板上の層間絶縁膜にビアホールを形成するためのエッチング用のプラズマについて圧力をパラメータにしてチャンバ径方向における電子密度の空間分布を調べた。図31に計測結果を示す。主要なプラズマ生成条件(レシピ)は以下のとおりである。
ウエハ口径:200mm
エッチングガス:N2ガス
ガス流量:300sccm
ガス圧力:53.2〜106.4Pa(400〜800mTorr)
RF電力:上部/下部=1.5/1.0kW
設定温度:上部電極/側壁/下部電極=30/50/20゜C
下部RF電圧の振幅:1015V(53.2Pa)、938V(106.4Pa)
図31に示すように、このプラズマ生成条件では、ガス圧力を106.4Paまで上げても電子密度Neの均一性が維持されることがわかる。
上記のように、この実施形態のプラズマモニタリング方法および装置においても、低圧から高圧までの広い圧力領域にわたって高精度の電子密度計測を短時間で行うことができる。したがって、本実施形態のプラズマ処理装置においては、所望のプロセス条件の下で処理空間にプラズマ密度すなわち電子密度を均一な状態で分布するようにレシピを効率よく最適化して、プラズマ処理の面内均一性を保証し、歩留まりを向上させることができる。
また、この第2の実施形態においても、上記した第1の実施形態における場合と同様の種々の変形が可能である。
実施形態3
次に、図32〜図48につき本発明のプラズマ発光計測法に係る実施形態を説明する。図32に、この第3の実施形態によるプラズマ発光計測方法および装置を適用したプラズマ処理装置の構成を示す。図中、図1のプラズマ処理装置およびプラズマモニタリング装置におけるものと同様の構成または機能を有する部分は、同一の符号を附して、その詳細な説明を省略する。
この実施形態におけるプラズマ発光計測装置は、チャンバ10に固定取付される円筒状の透明絶縁管50と、先端に受光面130aを有し、石英管50の一方の端(図1の左端)より管内に摺動可能に挿入されるロッド状の光伝送プローブ130と、チャンバ10内に生成されるプラズマPZからの発光をプローブ130を介して計測する計測部132と、プローブ130を軸方向に移動させるためのリニアアクチエータ56と、プローブ130と計測部132とを光学的に接続する可撓性のバンドルファイバ134とを有する。
透明絶縁管50は、透明かつ耐熱性の絶縁材たとえば石英またはサファイアからなり、チャンバ10の外径寸法よりも幾らか長く、まっすぐ(直線状)に形成されており、両端が開口している。
プローブ130の先端部には、図33に明示するように、たとえばステンレス鋼(SUS)からなる遮光性の円筒形キャップ136が装着されている。このキャップ136の中には、たとえばステンレス鋼(SUS)からなる円柱体138が収容されており、この円柱体138のプローブ130の受光面130aと対向する端面140が軸方向に対して45゜傾斜したミラーを形成している。プローブ130の受光面130aからみてミラー140の反射方向に位置するキャップ136の側壁には、円形の開口または窓142が形成されている。この窓142の正面前方より入ってきた光は、ミラー64で反射してプローブ130の受光面130aに入射するようになっている。このように、この実施例では、キャップ136の窓142とミラー140とプローブ130の受光面130aとで、チャンバ10内のプラズマ光を高い指向性で採光するための採光部144が構成されている。
プローブ130は、直径がたとえば数mm以下の石英ロッドからなり、先端の受光面130aに入射した光を境界面または周面で全反射させながら閉じ込めて他端まで伝送し、他端面より放射する。石英ロッドの中でも、通常のプラズマ発光分光で用いられる200nm〜900nmの波長を扱う場合は、この波長領域で高い透過特性を示し、しかも蛍光を発しない有水合成石英製のロッドが好ましい。一方、近赤外線ないし中間赤外線領域(900nm〜)の計測には、この波長領域で高い透過特性を示す無水合成石英や溶融石英をロッド材として好適に使用することができる。紫外線から赤外線までの広い波長領域で効率よく計測するには、サファイアを好適に使用することができる。
プローブ130は上記のように石英ロッドの単体でも十分な光伝送機能を果たせるが、側面から迷光が入るのを抑えるために、好ましくは図34Aに示すようにロッドの側面または周面をクラッド146で取り囲む構成としてよく、さらに好ましくは図34Bに示すようにクラッド146(または石英ロッド130)の周面を遮光性の被膜たとえば黒色塗料148で取り囲む構成としてよい。
計測部132は、プローブ130を介してチャンバ10内のプラズマ発光を分光分析法で計測する装置であり、プローブ130からの光をスペクトルに分解または分光するための分光器150と、この分光器150より得られる所定周波数のスペクトルを電気信号に変換する光電変換部152と、この光電変換部152の出力信号に基づいて当該スペクトルの強度を求める計測演算部154と、計測に関連して各部を制御するための計測制御部156とを有している。分光器150は、たとえばプリズムまたは回折格子を用いるものでよい。分光器に代えて光フィルタを使用することもできる。光電変換部152は、たとえば光電子増倍管またはフォトダイオード等を用いてよい。
バンドルファイバ134は、多数本の可撓性光ファイバを集束してなり、一端がコネクタ158を介してプローブ52の他端に光学的に接続され、他端が発光計測部132の分光器150に光学的に接続されている。コネクタ158は、たとえば端面突き合わせ式でバンドルファイバ134とプローブ130とを接続する。
ここで、この実施形態におけるプラズマ発光計測装置の作用を説明する。このプラズマ発光計測装置において、チャンバ10内で生成されるプラズマPZからの発光を計測するには、リニアアクチエータ56の直進駆動によりプローブ130を石英管50の中で軸方向つまりチャンバ10の径方向で移動させる。通常は、プローブ130の受光面130aをリニアアクチエータ56側からみて最も遠い測定点を越える位置までプローブ130を石英管50の奥まで差し込んでおいて、計測時にリニアアクチエータ56がプローブ130を引き抜く方向に直進移動させる。この軸方向の直進移動により、プローブ130の採光部144はチャンバ10内でプラズマ空間の中を径方向に走査して径方向の各位置におけるプラズマ光を採光する。より詳細には、図33に示すように、走査ライン上の各位置で上方付近のプラズマPZより発せられた光が石英管50を透過してキャップ136の窓142からミラー140に入射し、ミラー140で反射した光がプローブ130の受光面130aに入射する。図示の例では、上方からのプラズマ光を採光しているが、ミラー140の向きによって上方だけでなく下方や側方などの任意の方角からのプラズマ光を採光することができる。
プローブ130の受光面130aに入射したプラズマ光は、プローブ130の中を伝播して、コネクタ158内でプローブ130の他端面から放射され、バンドルファイバ134の一端面または受光面に入射する。バンドルファイバ134の受光面に入射したプラズマ光は、バンドルファイバ134の中を伝播してその他端面より放射され、測定部132の分光器150に入る。
測定部132において、分光器150は、受光したプラズマ光から所望のスペクトルを取り出す。光電変換部152は、分光器150で取り出されたスペクトルをたとえば光電流に変換して、スペクトル強度に応じた電圧信号を出力する。計測演算部154は、光電変換部152で得られる電圧信号のレベルからスペクトル強度の測定値を求める。上記のようにリニアアクチエータ56により石英管50内でプローブ130を軸方向に移動させて採光部144をチャンバ10の径方向で走査させるので、走査ライン上の各位置におけるプラズマ光またはスペクトルの強度を測定することができる。なお、リニアアクチエータ56にたとえばリニアエンコーダまたはロータリエンコーダ等の位置センサを取り付けることで、該位置センサを通じて採光部144の位置つまり測定位置を検知または把握することができる。こうして、各測定位置毎のスペクトル強度測定値はプラズマ発光計測データとして計測演算部154または計測制御部156内のメモリに格納され、図示しないディスプレイやプリンタ等により空間分布特性(グラフ)として表示または印字されたり、あるいは所望のモニタ分析に附される。
上記のように、このプラズマ発光計測装置では、チャンバ10内に石英管50を挿入し、この石英管50の中でロッド状の光伝送プローブ130を軸方向に移動させて、プローブ130の先端部の採光部144により軸方向における任意の測定位置でプラズマPZからの発光を採光し、採光したプラズマ光をプローブ130およびバンドルファイバ134を介して計測部132に伝送し、計測部132で各測定位置のプラズマ発光について所定の特性または属性(たとえば所定波長のスペクトルの強度)の測定値を求める。
ここで、石英管50およびプローブ130は絶縁体つまり非金属であり、平行平板電極(12,24)の間で生成される容量結合性プラズマPZの中に挿入されても,プラズマPZを擾乱するおそれはなく、プラズマ発光について信頼性および測定精度の高い空間分布計測を行うことができる。なお、この実施形態では、採光部144のキャップ136およびミラー140を金属(SUS)で構成しているが、この金属部材の全長は数cm程度であってアンテナ作用を奏し得ないため、平行平板電極(12,24)間の高周波放電に影響を与えることはない。
また、この実施形態では、チャンバ10の側壁の相対向する位置に設けた一対の支持部(貫通孔10a,10a)の間に石英管50を水平に架け渡し、このような架橋型の石英管50の中で(石英管50を案内部材として)プローブ130を軸方向に移動させるので、チャンバ径方向の一定な水平線上で高速かつ安定なプローブ走査を実現することができる。このことにより、たとえば数分以下の短いプロセス時間でも、上記のような空間分布計測を一定の時間間隔で多数回繰り返し、時間軸方向における分解計測も可能とすることができる。
さらに、この実施形態では、プローブ130で採光したチャンバ10内のプラズマ光をチャンバ10の外でバンドルファイバ134に通して計測部132の分光器150に与えることにより、チャンバ10内のプラズマ発光を所望の視野角または見込み角で採光することができる。
図35につき、プローブ130およびバンドルファイバ134の光学的機能を説明する。チャンバ10内では、上記のようにプラズマPZからの発光がキャップ136の窓142を通りミラー140で反射してプローブの受光面130aに入射する。ここで、プローブ130の受光面130aには、プローブ130の固有の開口数(NA)には関係なく、実質的に±90゜の見込み角(NA=1)でプラズマ光が入射する。そして、プローブ130の他端面130bからは受光側と対称に実質的に±90゜の見込み角でプラズマ光が放射される。こうして、バンドルファイバ134の受光面134aには、チャンバ10内のプローブ130の受光面130aと実質的に同じ状況でプラズマ光が入射することになる。ただし、バンドルファイバ134は固有の開口数(NA<1)でプラズマ光を受光し、他端面134bでも固有の開口数(NA<1)でプラズマ光を放射する。こうして、恰もバンドルファイバ134の受光面134aをチャンバ10内に入れた場合と同等または等価な指向性でプラズマ発光を採光することができる。
なお、バンドルファイバ134を実際にチャンバ10内に入れると、その保護管(通常金属製)がプラズマPZと電気的に結合してプラズマPZを擾乱する。この実施形態では、バンドルファイバ58は常にチャンバ10の外に存在するので、プラズマPZに影響を与えることはない。
また、バンドルファイバ134には、上記のような指向性のほかに、単一(1本)の光ファイバに比して、コネクタ158におけるプローブ130との光軸合わせが容易であるという利点もある。
ところで、プローブ130のロッド径を大きくすると、図36に示すように、採光部144で採光されるプラズマ光の中に、窓142の正面前方から入ってミラー140で反射してからプローブ130の受光面130aに入射する本来の被測定光PLだけでなく、ミラー140を介さずに前方から直接受光面130aに入射する不所望な光QLが混じることもある。この問題に対しては、図示のように、プローブ130の受光面130aの法線Nが軸方向に対して窓142側に所定の角度θだけ傾くように、プローブ52の一端部を角度θだけ斜めにカットした受光面とする構成が効果的である。かかる構成によれば、前方から不所望な光QLがプローブ130の受光面130aに直接入射しても、バンドルファイバ134の開口数(NA)よりも大きな角度でバンドルファイバ134に入射するので、前方光を除去できる。
図34に、プローブ130に石英ロッドを用いる場合において、開口数(NA)をパラメータにして石英ロッドの屈折率に対する石英ロッド受光面の最適なカット角θをグラフで示す。石英ロッドの屈折率は光の波長によって変わるので、実際のアプリケーションでは被測定スペクトルの中の最も短い波長に合わせてカットθを決定してよい。たとえば、開口率0.22の石英ロッドを用いる場合において、最も短い被測定スペクトルに対応する屈折率が1.453であるときは、図34のグラフからカット角θを26.8゜としてよい。
上記のように、この実施形態のプラズマ発光計測装置によれば、チャンバ10内のプラズマ分布に影響を与えることなくプラズマからの発光をチャンバ径方向の空間分布として計測または分光分析することができる。このことにより、プロセス結果の面内分布とプラズマ発光の空間分布との相関性を高い精度で解析することができる。
図38〜図40に、本実施形態のプラズマ処理装置をプラズマエッチングに適用したアプリケーションにおいてエッチングレートの面内分布とプラズマ発光の空間分布との相関性の例を示す。
図38Aおよび図38Bは、上部電極24の比抵抗が異なる2種類のシリコン酸化膜(SiO2)エッチング(A,B)においてSiO2のエッチングレートE/Rの面内分布(図38A)とArラジカル発光I[Ar](750nm;13.48eV)の空間分布(図38B)との相関性を示す。
図39Aおよび図39Bは、上部電極24の構造が異なる2種類のシリコン酸化膜(SiO2)エッチング(C,D)においてSiO2のエッチングレート(E/R)の面内分布(図39A)とArラジカル発光I[Ar](750nm;13.48eV)の空間分布(図39B)との相関性を示す。
図40Aおよび図40Bは、上部電極24の構造が異なる2種類のシリコン酸化膜(SiO2)エッチング(C,D)についてフォトレジストのエッチングレート(E/R)の面内分布(図40A)とArラジカル発光I[Ar]/Fラジカル発光I[F](704nm;14.75eV) の空間分布(図40B)との相関性を示す。
図41〜図43に、別の実施例によるプラズマ発光計測方法および装置を適用したプラズマ処理装置の構成および作用を示す。図中、上記した第1の実施形態のものと実質的に同一の構成または機能を有する部分には同一の符号を附してある。
この実施例では、上部電極24と下部電極16との中間の高さ位置にてチャンバ10の側壁にシャッタ160付きの開口または穴162を形成し、穴162の外から先端に受光面164cを有するロッド状の光伝送プローブ164をチャンバ径方向に出し入れできるようにしている。プローブ164は、たとえば石英(合成石英もしくは溶融石英)またはサファイアからなるコア164aとクラッド164bとの二重構造を有する光ファイバでよい(図43)。
チャンバ10の外で、プローブ164はチャンバ径方向に伸縮可能に配置されたベローズ166の中に収容される。プローブ164の基端部は、ニリアアクチエータ56のスライダ部76に水平に支持されるとともに、コネクタ158を介してバンドルファイバ134に光学的に接続されている。ベローズ166は、一端がチャンバ10に接続されるとともに、他端がスライダ部76に接続され、プローブ164の回りに気密な空間を形成する。このベローズ166の内部空間は、排気管168を介して排気装置170によりチャンバ10内とほぼ同一の真空度に減圧されるようになっている。また、ベローズ166の内部または周囲に、プローブ164を所定の温度(たとえば100゜C前後)に加熱するためのヒータ(たとえばPTC素子または抵抗発熱素子)172が設けられる。
この実施例において、プラズマ発光計測を行わない間は、図41に示すように、シャッタ160を閉めておき、プローブ164をチャンバ10の外に待機させておく。もっとも、プラズマ発光計測が行なわれるに先立って、ベローズ166の内部空間を所定のチャンバ10内とほぼ同一の真空度まで減圧し、プローブ164を所定の温度まで加熱しておく。プラズマ発光計測を行うときは、図42に示すように、シャッタ160を開け、リニアアクチエータ56を作動させ、プローブ164を軸方向に直進移動させて穴162からチャンバ10の中へ挿入する。この際、ベローズ166はスライダ部76およびプローブ164の前進移動に伴なって収縮する。
チャンバ10内でプローブ164の受光面164cにはその前方に位置するプラズマPZからの発光が入射する。プローブ164の見込み角は、コア164aとクラッド164bのそれぞれの屈折率から決まる開口率(NA)で制限される。プローブ164を軸方向つまりチャンバ径方向(x)に移動(走査)させながら、微小移動距離Δxに対するプラズマ発光の光強度の変化分ΔIを計測部132で求めることで、走査ライン上の発光分布を計測することができる。この計測スキャニングは、プローブ164の前進(往動)中または後退(復動)中のいずれで行われてもよい。
チャンバ10内でプローブ164のクラッド部164bがプラズマPZにたたかれても、採光したプラズマ光を伝搬するコア部164aは影響を受けずに済む。また、プローブ164はチャンバ10の外でも高温に加熱されているので、チャンバ10内に入ってプラズマPZに曝されてもデポの付着は少なくて済む。
プローブ164は非金属なのでプラズマPZを乱さないだけでなく、プロセス時間(たとえば数分)に比して非常に短い時間(たとえば数秒)でスキャニングできるのでプロセス結果に与える影響もほとんどない。このため、プロセス時間中に上記のような計測スキャニングを一定の周期で多数回行うことも可能である。また、プロセス結果と相関性の高い計測結果を得ることができる。この実施形態は、プロセス開発時だけでなく、実プロセスにおいても適用可能であり、たとえばプラズマエッチングにおける終点検出等の各種プロセス制御のための発光モニタリングに適用できる。
上記した第1および第2の実施例では、チャンバ10内でプローブ(130,164)を軸方向に移動させて同方向における空間分布としてプラズマ発光を計測した。しかしながら、本発明は、チャンバ10内でプローブを任意の方向に移動可能とするものである。たとえば、図44に示すように、チャンバ10内のプラズマ空間の中でプローブ130を垂直(z)方向に移動させながら、微小移動距離Δzに対するプラズマ発光の光強度の変化分ΔIを計測部132で求めることで、垂直(z)方向におけるプラズマ発光分布を計測することができる。
さらに、本発明のプラズマ発光計測装置では、上記のような分光分析法によって得られたプラズマ発光の測定値から他のプラズマ属性を求めることもできる。一般に、原子/分子/ラジカル/イオンなどの発光種は、それぞれの内部エネルギー状態に応じた固有の波長またはスペクトルで発光する。任意の発光種Xからの発光強度IXは次の式(5)で与えられる。
X=CX,λ・NX・ne・∫σX(E)・ve・fe(E)・dE ‥‥(5)
ここで、CX,λは発光種の物性値(波長、自然放出確率など)や計測システムに関する幾何学的な要素を含む係数である。また、NXは発光種の基底エネルギー状態での密度、neは電子密度、δX(E)は発光種Xの電子衝突励起断面積、veは電子の速度、fe(E)は電子エネルギー分布関数(EEDF)である。なお、式(5)の中で積分(∫)の範囲は0から無限大(∞)である。
このように、プラズマからの発光は、幾つかのプラズマ諸量により決定される。見方を換えれば、プラズマ発光の測定値から、発光種の密度、電子密度、電子エネルギー分布などの諸量が求められる。
たとえば、アクチメトリ法によりNXが求められ、プラズマ吸収プローブ法(PAP)またはラングミュアプローブ法などの電子密度計測法により電子密度neが求まれば、式(5)から電子エネルギー分布fe(E)を求めることができる。
この実施形態のプラズマ発光計測装置において各構成要素を同様の機能を有する代替物に変更可能であることはもちろんである。たとえば、上記実施形態では石英管50をチャンバ10内で径方向に横断させて架橋型に取り付けたが、片持ち梁式に一箇所で石英管50を固定する構成も可能である。また、この実施形態では、プローブ130,164をリニアアクチエータ56の直進駆動により軸方向つまりチャンバ10の径方向で移動させるようにした。しかしながら、プローブ130,164を単に石英管50またはチャンバ10に対して軸方向に進退可能とし、手動によって上記実施形態と同様に直進移動させることも無論可能である。
図45〜図47に、この実施形態における変形例を示す。図45の変形例は、上記第1の実施例におけるプローブ130を単体の石英ロッドに代えて複数本の光ファイバ166からなるバンドル型の光ガイドに構成したものである。それら複数本の光ファイバ166を1つにまとめるために束の周囲に耐熱性の非金属部材168を設けている。そのような耐熱性非金属部材168の材質として好適なものは耐熱性高分子たとえばポリイミドであり、光ファイバ166の束の回りにテープの形態で巻かれてもよく、あるいは樹脂の形態で固められてもよい。このようなバンドル型のプローブ130は、柔軟性を有するため、衝撃に強く破損しにくいという利点がある。
図46の変形例は、チャンバ10に出し入れされる上記バンドル型のプローブ130とチャンバ10の外に延在する標準バンドルファィバ134とを一体化するものである。つまり、プローブ130の各光ファイバ166とバンドルファィバ134の各光ファイバ166とは連続した1本の光ファイバで構成され、プローブ130とバンドルファィバ134とは外被が前者(130)では絶縁体であり、後者(134)では金属である点が相違している。このような光ファイバ一体型によれば、プローブ130とバンドルファィバ134との間で結合損失がなく、計測部132(特に分光器150)側の受光量を増やし、測定精度を向上させることができる。
図47の変形例は、プローブ130におけるミラー140の材質をアルミニウムで構成するものである。アルミニウムは、紫外線から赤外線まで一定の高い反射率を有する素材であり、ミラー140に好適に用いることができる。ただし、アルミニウムは酸化して変質しやすいため、この構成例では、透明石英基板170の片側の面にアルミニウム172を蒸着して、ミラー保護材としての透明石英基板170をおもて側に配置し、反射膜としてのアルミ蒸着膜172を裏側にしてSUSの円柱体138に取り付けている。プラズマからの被測定光PLは、透明石英基板170を透過して、アルミ蒸着膜172で反射することになる。別の変形例として、図示省略するが、円柱体138をアルミニウムで構成し、その反射面またはミラー面140にフッ化マグネシウムからなる保護膜をコーティングしてもよい。
本発明のプラズマ発光計測装置の一応用例として、チャンバ内部の異常放電をモニタリングできる機能がある。たとえば、図32の実施例において、シャワーヘッド構造の上部電極24のガス穴(吐出孔)26が消耗により広がってそこで異常放電しているときは、プローブ130の採光部144を上に向けて水平方向に走査すると、その異常放電の様子を観測することができる。図48にその一例を示す。図示のように、上部電極24のガス穴26が正常なときの発光分布と比較して、上部電極24のガス穴26が異常なとき(広がっているとき)は、電極中心部からの発光が減少する一方で周辺部からの発光が増大するパターンの空間分布となる。このことから、異常放電の発生の有無や発生箇所を検出することが可能となる。なお、図48の実験データは、シリコン酸化膜エッチングにおいてArラジカル発光のモニタリングによって得られたものである。主要なプラズマ生成条件(レシピ)は以下のとおりである。
ウエハ口径:300mm
ガス圧力:25mTorr
上部及び下部電極間距離:35mm
エッチングガス:C58/O2/Ar=29/750/47sccm
RF電力:上部/下部=3300/3800W
ウエハ裏面圧力(センター部/エッジ部):10/40Torr
上記のような異常放電モニタリング機能は、第1の実施形態(図1)または第2の実施形態(図19)におけるプラズマ電子密度測定方法および装置によって実現可能である。図49に、第1の実施形態(図1)によるプラズマ共振プローブ法で得られた実験データを示す。チャンバ構造およびプラズマ生成条件は図48のものと同じである。図49に示すように、上部電極24のガス穴26が正常なときの電子密度分布と比較して、上部電極24のガス穴26が異常なとき(広がっているとき)は、電極中心部および電極エッジ部で電子密度が異常に高くなるパターンの空間分布となる。このことから、異常放電の発生の有無や発生箇所を検出することが可能となる。
本発明のプラズマ処理装置においても種々の変形が可能である。特に上記実施形態のような容量結合型平行平板タイプのプラズマ発生方式は一例であり、他の任意の方式たとえばマグネトロン方式やECR(Electron Cyclotron Resonance)方式などにも本発明は適用可能である。また、プラズマプロセスの種類もエッチングに限定されず、CVD(Chemical Vapor Deposition)、酸化、スパッタリングなど任意のプラズマプロセスに本発明は適用可能である。さらに、プラズマプロセスにより処理される被処理体も半導体ウエハに限るものではなく、たとえばガラス基板またはLCD(Liquid Crystal Display)基板などにも適用可能である。本発明のプラズマ発光計測方法または装置は、プラズマ処理装置以外のプラズマ装置にも適用可能である。
本発明の第1の実施形態によるプラズマ電子密度測定方法および装置を適用したプラズマ処理装置の構成を示す図である。 実施形態のプローブ構造の要部を示す部分拡大断面図である。 実施形態の測定部におけるベクトルネットワークアナライザおよび計測制御部の要部の構成を示すブロック図である。 実施形態における第1の一括測定工程の一状態を模式的に示す図である。 実施形態における第2の一括測定工程の一状態を模式的に示す図である。 本発明のプラズマ共振プローブ法によって得られる複素反射係数の絶対値、実数部および虚数部の周波数特性(実験データ)を示す図である。 本発明のプラズマ共振プローブ法によって得られる電子密度測定感度の一例(実験データ)を示す図である。 本発明のプラズマ共振プローブ法によって得られる電子密度測定感度の一例(実験データ)を示す図である。 本発明で得られる電子密度の測定値データをプラズマ吸収プローブ法によって得られる電子密度の測定値データと対比して示す図である。 比較的低い圧力条件(15mTorr)の下で得られる複素反射係数の周波数特性(実験データ)を示す図である。 高圧力条件(800mTorr)下で得られる複素反射係数の周波数特性(実験データ)を示す図である。 高圧力条件(1600mTorr)下で得られる複素反射係数の周波数特性(実験データ)を示す図である。 本発明により高圧力条件(2000mTorr)下で得られた電子密度分布特性の一例(実験データ)を示す図である。 本発明の別の実施形態によるプラズマ電子密度測定装置を適用したプラズマ処理装置の構成を示す図である。 図13の実施形態で使用可能なプローブユニットの構成例を示す断面図である。 図13の実施形態で使用可能なプローブユニットの構成例を示す断面図である。 図13の実施形態で使用可能なプローブ部の構成例を示す斜視図である。 本発明の別の実施形態によるプラズマ電子密度測定装置を適用したプラズマ処理装置の構成を示す図である。 シーズニングのエッチングサイクルにおいてウエハ上の各位置でエッチングレートが漸次的に減少して安定化する様子の一例を示す図である。 シーズニングのエッチングサイクルにおけるエッチングレートの平均値と電子密度の時間的変動とを示す図である。 本発明の第2の実施形態によるプラズマモニタリング方法および装置を適用したプラズマ処理装置の構成を示す図である。 実施形態におけるプラズマモニタリングの大まかな手順を示すフローチャートである。 第2の実施形態のプラズマモニタリングにおける第1の一括測定工程の詳細な手順を示すフローチャートである。 第2の実施形態のプラズマモニタリングにおける第2の一括測定工程の詳細な手順を示すフローチャートである。 第2の実施形態において得られる電子密度の空間分布特性の一例(実施例)を比較例と対比して示すグラフ図である。 一実施例におけるノイズピークの周波数特性を示すグラフ図である。 一実施例におけるプローブ挿入長さに依存する定在波ノイズのピーク周波数の実測値と計算値を示すグラフ図である。 一実施例における電磁波吸収体のノイズ吸収効果を示す周波数特性のグラフ図である。 一実施例における電磁波吸収体のノイズ吸収効果を示す周波数特性のグラフ図である。 一実施例における電磁波吸収体のノイズ吸収効果を示す反射の周波数特性のグラフ図である。 一実施例における電磁波吸収体の増強によるシグナル増加の効果を示す周波数特性のグラフ図である。 一実施例における電子密度の空間分布特性を示すグラフ図である。 一実施例における電子密度の空間分布特性を示すグラフ図である。 一実施例における電子密度の空間分布特性を示すグラフ図である。 本発明の第3の実施形態によるプラズマ発光計測方法および装置を適用したプラズマ処理装置の構成を示す図である。 第3の実施形態におけるプローブの要部の構成と作用を示す部分拡大断面図である。 別の実施例によるプローブ構造を示す部分拡大断面図である。 別の実施例によるプローブ構造を示す部分拡大断面図である。 第3の実施形態におけるプローブとバンドルファイバの作用を模式的に示す図である。 一実施例におけるプローブの構造と作用を示す部分拡大断面図である。 第3の実施形態においてプローブに用いる石英ロッドの屈折率とカット角との関係を示すグラフである。 第3の実施形態においてエッチングレートの面内分布とプラズマ発光の空間分布との相関性の一例を示す図である。 第3の実施形態においてエッチングレートの面内分布とプラズマ発光の空間分布との相関性の一例を示す図である。 第3の実施形態においてエッチングレートの面内分布とプラズマ発光の空間分布との相関性の一例を示す図である。 第3の実施形態においてエッチングレートの面内分布とプラズマ発光の空間分布との相関性の一例を示す図である。 第3の実施形態においてエッチングレートの面内分布とプラズマ発光の空間分布との相関性の一例を示す図である。 第3の実施形態においてエッチングレートの面内分布とプラズマ発光の空間分布との相関性の一例を示す図である。 別の実施例によるプラズマ発光計測方法および装置を適用したプラズマ処理装置の構成を示す図である。 図41のプラズマ処理装置においてプラズマ分光計測時の状態を示す図である。 図41のプラズマ発光計測装置の作用を示す図である。 別の実施例によるプラズマ発光計測方法を示す図である。 一変形例による光伝送プローブの要部の構成を示す図である。 一変形例による光伝送プローブの要部の構成を示す図である。 一変形例による光伝送プローブの要部の構成を示す図である。 本発明のプラズマ発光計測法によるチャンバ内異常放電モニタリング機能の実験結果を示すグラフ図である。 本発明のプラズマ共振プローブ法によるチャンバ内異常放電モニタリング機能の実験結果を示すグラフ図である。 従来のプラズマ吸収プローブ法を説明するための図である。
符号の説明
10 チャンバ
10a 貫通孔(支持部)
16 サセプタ(下部電極)
18,38 高周波電源
20 主制御部
24 上部電極
34 処理ガス供給部
50 絶縁管
52 同軸ケーブル
52a プローブ部(アンテナプローブ)
54 測定部
56 リニアアクチエータ
58 Oリング
62 アース用導体
66 電磁波吸収体
68 ベクトルネットワークアナライザ
74 計測制御部
80 冷却ガス供給部
82 ガス管
84 反射係数測定部
90 虚数部メモリ
92 共振周波数読取部
94 電子密度演算部
100,102,104 プローブユニット
108 窓部材
114 セレクタスイッチ
120 スカラネットワークアナライザ
122 計測制御部
130 光伝送プローブ
132 計測部
134 バンドルファイバ
136 キャップ
140 ミラー
142 窓
144 採光部
146 クラッド
148 黒色塗料
150 分光器
152 光電変換部
154 計測演算部
160 シャッタ
162 穴
164 光伝送プローブ
166 ベローズ
170 排気装置
172 ヒータ

Claims (75)

  1. 所定の空間内に存在するプラズマの中またはその付近に設定した所望のモニタ位置にアンテナプローブを配置する工程と、
    前記アンテナプローブより周波数可変の電磁波を放射して前記プラズマに入射させる工程と、
    前記プラズマから前記アンテナプローブに反射してきた電磁波を受信する工程と、
    前記入射波と前記反射波とから複素数表示の反射係数を測定し、その複素反射係数の虚数部を取得する工程と、
    前記電磁波の周波数を掃引して前記複素反射係数の虚数部の値がゼロになる共振周波数を測定する工程と、
    前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する工程と
    を有するプラズマモニタリング方法。
  2. 前記電磁波の周波数を掃引して、前記複素反射係数の虚数部の符号が変わるポイントの周波数を前記共振周波数として割り出す請求項1に記載のプラズマモニタリング方法。
  3. 前記空間内にプラズマが存在しない状態の下で、前記電磁波の周波数を掃引して前記複素反射係数の虚数部について第1の周波数特性を取得する工程と、
    前記空間内にプラズマが存在する状態の下で、前記電磁波の周波数を掃引して前記複素反射係数の虚数部について第2の周波数特性を取得する工程と、
    前記第1の周波数特性と前記第2の周波数特性とから正規の周波数特性を求める工程と
    を有する請求項2に記載のプラズマモニタリング方法。
  4. 室内でプラズマが生成されるチャンバの側壁に設けた第1の支持部と第2の支持部との間に絶縁管を前記プラズマの中またはその付近を通過するように架け渡し、
    前記アンテナプローブとして先端部の芯線を露出させたプローブ部を有する同軸ケーブルを前記絶縁管の一方の端より管内に挿入して、前記プローブ部を前記モニタ位置に配置する請求項1に記載のプラズマモニタリング方法。
  5. 前記モニタ位置を変えるために前記絶縁管に対して前記同軸ケーブルを軸方向に移動させる請求項4に記載のプラズマモニタリング方法。
  6. 前記絶縁管内の前記プローブ部の位置を変えて、前記プラズマ中の電子密度の空間分布を求める請求項5に記載のプラズマモニタリング方法。
  7. プラズマの生成または導入の可能なチャンバの壁または室内に配置されるアンテナプローブと、
    周波数を掃引しながら、各周波数の電磁波を前記アンテナプローブに送って前記プラズマに向けて放射させ、前記プラズマから前記アンテナプローブを介して反射してくる反射波を受信して、複数数表示の反射係数を測定するベクトル式の反射係数測定部と、
    前記反射係数測定部で取得される前記複素反射係数の虚数部がゼロになる共振周波数の測定値を求める共振周波数測定部と、
    前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する電子密度演算部と
    を有するプラズマモニタリング装置。
  8. 前記反射係数測定部が、前記電磁波の周波数を掃引して前記複素反射係数の虚数部について周波数特性を取得し、
    前記共振周波数測定部が、前記周波数特性において前記複素反射係数の虚数部の符号が変わるポイントの周波数を前記共振周波数として割り出す請求項7に記載のプラズマモニタリング装置。
  9. 前記反射係数測定部が、前記複素反射係数の虚数部について、前記チャンバ内にプラズマが存在しない状態の下で第1の周波数特性を取得し、前記チャンバ内にプラズマが存在する状態の下で第2の周波数特性を取得し、前記第1の周波数特性と前記第2の周波数特性とから正規の周波数特性を求める請求項8に記載のプラズマモニタリング装置。
  10. 前記チャンバの室内に挿入して取り付けられる絶縁管と、
    前記アンテナプローブとして先端部の芯線を露出させたプローブ部を有し、前記絶縁管の一方の端より管内に挿入される同軸ケーブルと
    を有する請求項9に記載のプラズマモニタリング装置。
  11. 前記絶縁管に対して前記同軸ケーブルを軸方向に移動させるためのアクチエータを有する請求項9に記載のプラズマモニタリング装置。
  12. 前記チャンバの側壁に設けた第1の支持部と第2の支持部との間に前記絶縁管を架け渡す請求項10に記載のプラズマモニタリング装置。
  13. 前記第1および第2の支持部の少なくとも一方が貫通孔によって形成される請求項12に記載のプラズマモニタリング装置。
  14. 前記絶縁管を前記貫通孔に気密に固定取付するOリングを有する請求項13に記載のプラズマモニタリング装置。
  15. 一端部が前記チャンバのグランド電位部分に接続され、他端部が前記同軸ケーブルの外部導体と接続するアース用導体を有する請求項10に記載のプラズマモニタリング装置。
  16. 前記アース用導体と前記同軸ケーブルの外部導体とが接触する位置よりも前記プローブ部側の位置に前記外部導体を伝播するノイズ信号を電磁誘導を通じて吸収するための電磁波吸収体を設ける請求項15に記載のプラズマモニタリング装置。
  17. 前記電磁波吸収体が、前記同軸ケーブルに軸方向に沿って装着される1個または複数個のビーズ形フェライト部材である請求項16に記載のプラズマモニタリング装置。
  18. 前記絶縁管の中に冷却用のガスを流すために前記絶縁管の他方の端に接続された冷却機構を有する請求項10に記載のプラズマモニタリング装置。
  19. 被処理体を収容するチャンバと、
    前記チャンバ内に所定のガスを供給するガス供給部と、
    前記チャンバ内で前記ガスを放電させて前記被処理体に所望の処理を施すためのプラズマを生成するプラズマ発生部と、
    前記チャンバ内を減圧して所望の圧力に維持するための排気部と、
    プラズマの生成または導入の可能なチャンバの壁または室内に配置されるアンテナプローブと、
    プラズマモニタリング装置と
    を有し、前記プラズマモニタリング装置が、
    周波数を掃引しながら、各周波数の電磁波を前記アンテナプローブに送って前記プラズマに向けて放射させ、前記プラズマから前記アンテナプローブを介して反射してくる反射波を受信して、複数数表示の反射係数を測定するベクトル式の反射波測定部と、
    前記反射波測定部で取得される前記複素反射係数の虚数部がゼロになる共振周波数の測定値を求める共振周波数測定部と、
    前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する電子密度演算部と
    を有するプラズマ処理装置。
  20. 前記プラズマモニタリング装置より得られる前記電子密度の測定値に基づいて前記チャンバ内のプラズマ処理の状態をモニタリングするモニタ部を有する請求項19に記載のプラズマ処理装置。
  21. 前記プラズマモニタリング装置より得られる前記電子密度の測定値が所定の範囲内に維持されるように、プラズマ処理を左右するプロセスパラメータの中の少なくとも1つを制御するプロセス制御部を有する請求項19に記載のプラズマ処理装置。
  22. 前記チャンバのクリーニングまたは部品交換後のプロセス条件に対して、前記プラズマモニタリング装置より得られる前記電子密度の測定値の経時的な変化の特性に基づいてシーズニングを完了させるシーズニング制御部を有する請求項19に記載のプラズマ処理装置。
  23. 前記シーズニング制御部が、前記チャンバに入れ替わり搬入されて前記プラズマ処理を受ける各々のダミー基板について前記プラズマ処理の期間中に時間的に変化する前記電子密度の測定値の代表値を求め、相前後するダミー基板の間で前記代表値が実質的な定常値に落ち着いたところでシーズニングを完了させ、前記チャンバに搬入する基板をダミー基板から正規の被処理基板に切り換える請求項22に記載のプラズマ処理装置。
  24. 前記アンテナプローブを前記チャンバの壁に取り付ける請求項19に記載のプラズマ処理装置。
  25. 前記チャンバ内に前記プラズマを生成するための電極を設け、前記電極に前記アンテナプローブを取り付ける請求項19に記載のプラズマ処理装置。
  26. 前記チャンバ内に前記被処理体を載置するための載置台を設け、前記載置台に前記アンテナプローブを取り付ける請求項19に記載のプラズマ処理装置。
  27. 異なる場所に配置されている複数の前記アンテナプローブの中からいずれか1つを選択して前記反射係数測定部に電気的に接続するためのセレクタスイッチを有する請求項19に記載のプラズマ処理装置。
  28. 前記セレクタスイッチが、前記複数のアンテナプローブを時分割方式で順次前記反射係数測定部に電気的に接続する請求項27に記載のプラズマ処理装置。
  29. 所定の空間内に存在するプラズマの中またはその付近に設定した所望のモニタ位置にアンテナプローブを配置する工程と、
    前記アンテナプローブより周波数可変の電磁波を放射して前記プラズマに入射させる工程と、
    前記プラズマから前記アンテナプローブに反射してきた電磁波を受信する工程と、
    前記入射波と前記反射波との位相差を測定する工程と、
    前記電磁波の周波数を掃引して前記位相差がゼロになる共振周波数を測定する工程と、
    前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する工程と
    を有するプラズマモニタリング方法。
  30. プラズマの生成または導入の可能なチャンバの壁または室内に配置されるアンテナプローブと、
    周波数を掃引しながら、各周波数の電磁波を前記アンテナプローブに送って前記プラズマに向けて放射させ、前記プラズマから前記アンテナプローブを介して反射してくる反射波を受信して、入射波と反射波の位相差を測定する位相差測定部と、
    前記位相差測定部で取得される前記位相差がゼロの値をとる共振周波数の測定値を求める共振周波数測定部と、
    前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する電子密度演算部と
    を有するプラズマモニタリング装置。
  31. 被処理体を収容するチャンバと、
    前記チャンバ内に所定のガスを供給するガス供給部と、
    前記チャンバ内で前記ガスを放電させて前記被処理体に所望の処理を施すためのプラズマを生成するプラズマ発生部と、
    前記チャンバ内を減圧して所望の圧力に維持するための排気部と、
    プラズマの生成または導入の可能なチャンバの壁または室内に配置されるアンテナプローブと、
    プラズマモニタリング装置と
    を有し、前記プラズマモニタリング装置が、
    周波数を掃引しながら、各周波数の電磁波を前記アンテナプローブに送って前記プラズマに向けて放射させ、前記プラズマから前記アンテナプローブを介して反射してくる反射波を受信して、入射波と反射波の位相差を測定する位相差測定部と、
    前記位相差測定部で取得される前記位相差がゼロの値をとる共振周波数の測定値を求める共振周波数測定部と、
    前記共振周波数の測定値に基づいて前記プラズマ中の電子密度を算出する電子密度演算部と
    を有するプラズマ処理装置。
  32. プラズマの生成または導入の可能なチャンバの室内に絶縁管を挿入して取り付ける工程と、
    先端部の芯線を露出させたプローブ部を有する同軸ケーブルを前記絶縁管の管内に挿入する工程と、
    前記チャンバ内にプラズマが存在しない状態の下で、前記絶縁管内の前記プローブ部より放出される電磁波の反射係数について第1の周波数特性を取得する工程と、
    前記チャンバ内にプラズマが存在する状態の下で、前記絶縁管内の前記プローブ部より放出される電磁波の反射係数について第2の周波数特性を取得する工程と、
    前記第1の周波数特性と前記第2の周波数特性とからプラズマ吸収周波数の測定値を求める工程と
    を有するプラズマモニタリング方法。
  33. 前記チャンバ内にプラズマが存在しない状態の下で、前記同軸ケーブルを前記絶縁管に対して軸方向に移動させて、複数の測定位置について前記第1の周波数特性をそれぞれ取得し、
    前記チャンバ内にプラズマが存在する状態の下で、前記同軸ケーブルを前記絶縁管に対して軸方向に移動させて、前記複数の測定位置について前記第2の周波数特性をそれぞれ取得し、
    前記複数の測定位置について前記第1の周波数特性と前記第2の周波数特性とからプラズマ吸収周波数の測定値を求める請求項32に記載のプラズマモニタリング方法。
  34. 前記プローブ部を前記複数の測定位置に順次位置合わせし、各測定位置毎に前記プローブ部より放出される前記電磁波の反射係数について前記第1または第2の周波数特性を取得する請求項33に記載のプラズマモニタリング方法。
  35. 前記同軸ケーブルを前記絶縁管から引き抜く方向に移動させて、前記プローブ部を前記複数の測定位置に順次位置合わせする請求項34に記載のプラズマモニタリング方法。
  36. 前記同軸ケーブルをアクチエータの直進駆動によって軸方向に移動させる請求項32に記載のプラズマモニタリング方法。
  37. 前記電磁波の反射係数について前記第1または第2の周波数特性を取得する工程は、周波数を掃引しながら、各周波数の電磁波信号を前記同軸ケーブルのプローブ部に一定電力で送って周囲の空間に放出させ、前記プローブ部を介して反射してくる信号のレベルから各周波数毎の反射係数を求める工程を含む請求項32に記載のプラズマモニタリング方法。
  38. 各々の前記測定位置について前記プラズマ吸収周波数の測定値から前記プラズマ中の電子密度を算出する請求項32に記載のプラズマモニタリング方法。
  39. プラズマの生成または導入の可能なチャンバの室内に挿入して取り付けられる絶縁管と、
    先端部の芯線を露出させたプローブ部を有し、前記絶縁管の一方の端より管内に挿入される同軸ケーブルと、
    前記絶縁管に対して前記同軸ケーブルを軸方向に移動させるアクチエータと、
    周波数を掃引しながら、各周波数の電磁波信号を前記同軸ケーブルのプローブ部に一定電力で送って周囲の空間に放出させ、前記プローブ部を介して反射してくる信号のレベルから各周波数毎の反射係数を測定して、反射係数の周波数特性を求めるスカラー式の反射係数測定部と、
    前記プローブ部の位置によって与えられる所望の測定位置について、前記チャンバ内にプラズマが存在しない状態の下で前記反射係数測定部より得られる第1の周波数特性と、前記チャンバ内にプラズマが存在する状態の下で前記反射係数測定部より得られる第2の周波数特性とからプラズマ吸収周波数の測定値を求める測定演算手段と
    を有するプラズマモニタリング装置。
  40. 前記チャンバ内にプラズマが存在しない状態の下で、前記アクチエータにより前記同軸ケーブルを軸方向に移動させて前記プローブ部を複数の測定位置に順次位置合わせし、各測定位置毎に前記反射係数測定部により前記反射係数の第1の周波数特性を取得し、
    前記チャンバ内にプラズマが存在する状態の下で、前記アクチエータにより前記同軸ケーブルを軸方向に移動させて前記プローブ部を前記複数の測定位置に順次位置合わせし、各測定位置毎に前記反射係数測定部により前記反射係数の第2の周波数特性を取得する請求項39に記載のプラズマモニタリング装置。
  41. 前記同軸ケーブルがステンレス鋼からなる外部導体を有する請求項39に記載のプラズマモニタリング装置。
  42. 前記チャンバの側壁に設けた第1の支持部と第2の支持部との間に前記絶縁管を架け渡す請求項39に記載のプラズマモニタリング装置。
  43. 前記第1および第2の支持部の少なくとも一方が貫通孔によって形成される請求項42に記載のプラズマモニタリング装置。
  44. 前記絶縁管を前記貫通孔に気密に固定取付するOリングを有する請求項43に記載のプラズマモニタリング装置。
  45. 一端部が前記チャンバのグランド電位部分に接続され、他端部が前記同軸ケーブルの外部導体と接続するアース用導体を有する請求項39に記載のプラズマモニタリング装置。
  46. 前記アース用導体と前記同軸ケーブルの外部導体とが接触する位置よりも前記プローブ部側の位置に前記外部導体を伝播するノイズ信号を電磁誘導を通じて吸収するための電磁波吸収体を設ける請求項45に記載のプラズマモニタリング装置。
  47. 前記電磁波吸収体が、前記同軸ケーブルに軸方向に沿って装着される1個または複数個のビーズ形フェライト部材である請求項46に記載のプラズマモニタリング装置。
  48. 前記絶縁管の中に冷却用のガスを流すために前記絶縁管の他方の端に接続された冷却機構を有する請求項39に記載のプラズマモニタリング装置。
  49. 前記測定演算手段が、各々の前記測定値について前記プラズマ吸収周波数の測定値からプラズマ中の電子密度を算出する電子密度演算手段を含む請求項39に記載のプラズマモニタリング装置。
  50. プラズマの生成または導入の可能なチャンバの室内に透明な絶縁管を挿入して取り付ける工程と、
    先端に受光面を有するロッド状の光伝送プローブを前記絶縁管の管内に挿入し、前記チャンバ内のプラズマより発せられる光を前記絶縁管を通して前記プローブの受光面に入射させる工程と、
    前記プローブの他端面より放射された光に基づいて前記プラズマからの発光を計測する工程と
    を有するプラズマモニタリング方法。
  51. 前記プローブを前記絶縁管の中で軸方向に移動させ、軸方向における空間分布として前記プラズマ光を計測する請求項50に記載のプラズマモニタリング方法。
  52. 前記プローブの軸方向を前記チャンバの径方向に一致させる請求項51に記載のプラズマモニタリング方法。
  53. 前記プローブを前記絶縁管と一体に高さ方向に移動させ、高さ方向における空間分布として前記プラズマ光を計測する請求項50に記載のプラズマモニタリング方法。
  54. プラズマの生成または導入の可能なチャンバの側壁に開閉可能な開口部を設ける工程と、
    前記開口部を開状態にして、先端に受光面を有するロッド状の光伝搬プローブを減圧空間の中で前記開口部より前記チャンバ内に挿入する工程と、
    前記プローブの他端面より放射された光に基づいて前記プラズマからの発光を計測する工程と
    を有するプラズマモニタリング方法。
  55. 前記プローブを前記チャンバ内で軸方向に移動させ、移動距離に対する前記プラズマ光の変化分を求め、前記プローブの軸方向における前記チャンバ内の空間分布として前記プラズマ光を計測する請求項54に記載のプラズマモニタリング方法。
  56. 前記プローブの軸方向を前記チャンバの径方向に一致させる請求項55に記載のプラズマモニタリング方法。
  57. プラズマの生成または導入の可能なチャンバの室内に挿入して取り付けられる透明な絶縁管と、
    先端に受光面を有し、前記絶縁管の一方の端より管内に挿入されるロッド状の光伝送プローブと、
    前記プローブの他端面より放射された光に基づいて前記プラズマからの発光を計測する計測部と
    を有するプラズマモニタリング装置。
  58. 前記プローブが石英またはサファイアからなる請求項57に記載のプラズマモニタリング装置。
  59. 前記プローブが、石英またはサファイアからなるコアと、前記コアの周面を取り囲むクラッドとを有する請求項57に記載のプラズマモニタリング装置。
  60. 前記プローブが遮光性の被膜を有する請求項57に記載のプラズマモニタリング装置。
  61. 前記プローブが、一体に束ねられた複数本の光ファイバと、それらの光ファイバの周囲を取り囲む耐熱性の非金属部材とを有する請求項57に記載のプラズマモニタリング装置。
  62. 前記非金属部材がポリイミドからなる請求項61に記載のプラズマモニタリング装置。
  63. 前記絶縁管が石英またはサファイアからなる請求項57に記載のプラズマモニタリング装置。
  64. 前記プローブの先端部に、所望の方角からの前記プラズマ光を反射して前記プローブの受光面に入射させるためのミラーを設ける請求項57に記載のプラズマモニタリング装置。
  65. 前記ミラーの反射面がアルミニウムからなる請求項64に記載のプラズマモニタリング装置。
  66. 前記プローブの先端部に前記受光面と前記ミラーとを包囲する遮光部材を取り付け、前記遮光部材に前記所望の方角からのプラズマ光を前記ミラーに向けて通すための窓を設ける請求項64に記載のプラズマモニタリング装置。
  67. 前記プローブの受光面の法線が軸方向に対して前記窓側に所定の角度だけ傾くように、前記プローブの端部を斜めにカットする請求項66に記載のプラズマモニタリング装置。
  68. 前記チャンバの側壁に相対向して設けた第1の支持部と第2の支持部との間に前記絶縁管を実質的に架け渡す請求項57に記載のプラズマモニタリング装置。
  69. 前記プローブを軸方向に移動させるためのアクチエータを有する請求項57に記載のプラズマモニタリング装置。
  70. 前記プローブの受光面の位置を検出するための位置検出手段を有する請求項57に記載のプラズマモニタリング装置。
  71. 前記計測部が、前記プローブの他端面より出射された光から所定波長のスペクトルを取り出すための分光部と、前記分光部で取り出された前記スペクトルの強度を測定するスペクトル強度測定部とを有する請求項57に記載のプラズマモニタリング装置。
  72. 前記プローブの他端面より放射された光を所望の開口数で受光して前記計測部へ導くバンドルファイバを有する請求項57に記載のプラズマモニタリング装置。
  73. プラズマの生成または導入の可能なチャンバの側壁に設けられた開閉可能な開口部と、
    先端に受光面を有し、減圧空間の中で開状態の前記開口部より前記チャンバ内に挿入されるロッド状の光伝送プローブと、
    前記プローブの他端面より放射された光に基づいて前記プラズマからの発光を計測する計測部と
    を有するプラズマモニタリング装置。
  74. 前記チャンバの径方向に伸縮可能に設けられ、前記開口部の外で前記プローブの回りに密閉な空間を形成するベローズと、前記密閉空間内を減圧する排気部とを有する請求項73に記載のプラズマモニタリング装置。
  75. 前記密閉空間内で前記プローブを所定の温度に加熱するための加熱部を有する請求項74に記載のプラズマモニタリング装置。
JP2004117817A 2003-04-24 2004-04-13 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置 Expired - Lifetime JP5404984B2 (ja)

Priority Applications (13)

Application Number Priority Date Filing Date Title
JP2004117817A JP5404984B2 (ja) 2003-04-24 2004-04-13 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
KR1020040028211A KR100586386B1 (ko) 2003-04-24 2004-04-23 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치
TW100130426A TWI458396B (zh) 2003-04-24 2004-04-23 A plasma monitoring method, a plasma monitoring device, and a plasma processing device
TW093111489A TWI392401B (zh) 2003-04-24 2004-04-23 Plasma monitoring method, plasma monitoring device and plasma processing device
TW100130427A TWI468669B (zh) 2003-04-24 2004-04-23 A plasma monitoring method, a plasma monitoring device, and a plasma processing device
US10/831,757 US7339656B2 (en) 2003-04-24 2004-04-26 Method and apparatus for measuring electron density of plasma and plasma processing apparatus
CNB2004100347085A CN100520382C (zh) 2003-04-24 2004-04-26 等离子体监测方法、等离子体监测装置和等离子体处理装置
CN2009101427982A CN101587156B (zh) 2003-04-24 2004-04-26 等离子体监测方法和等离子体监测装置
CN2010106175904A CN102183509B (zh) 2003-04-24 2004-04-26 等离子体监测方法和等离子体监测装置
KR1020050125316A KR100586387B1 (ko) 2003-04-24 2005-12-19 플라즈마 모니터링 방법, 플라즈마 모니터링 장치 및플라즈마 처리장치
US11/566,340 US7532322B2 (en) 2003-04-24 2006-12-04 Method and apparatus for measuring electron density of plasma and plasma processing apparatus
US11/742,688 US7582182B2 (en) 2003-04-24 2007-05-01 Method and apparatus for measuring electron density of plasma and plasma processing apparatus
US11/742,643 US7462293B2 (en) 2003-04-24 2007-05-01 Method and apparatus for measuring electron density of plasma and plasma processing apparatus

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2003119279 2003-04-24
JP2003119279 2003-04-24
JP2003123442 2003-04-28
JP2003123442 2003-04-28
JP2004009100 2004-01-16
JP2004009100 2004-01-16
JP2004117817A JP5404984B2 (ja) 2003-04-24 2004-04-13 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2005228727A true JP2005228727A (ja) 2005-08-25
JP5404984B2 JP5404984B2 (ja) 2014-02-05

Family

ID=33568720

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004117817A Expired - Lifetime JP5404984B2 (ja) 2003-04-24 2004-04-13 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置

Country Status (5)

Country Link
US (4) US7339656B2 (ja)
JP (1) JP5404984B2 (ja)
KR (2) KR100586386B1 (ja)
CN (3) CN100520382C (ja)
TW (3) TWI458396B (ja)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007066935A (ja) * 2005-08-29 2007-03-15 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2007266365A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd プラズマ処理装置及びプラズマ内の高周波電流量の測定方法
JP2007324341A (ja) * 2006-05-31 2007-12-13 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
JP2007335875A (ja) * 2006-06-16 2007-12-27 Applied Materials Inc プラズマ特性を求める方法
JP2008115460A (ja) * 2006-10-12 2008-05-22 Canon Inc 半導体素子の形成方法及び光起電力素子の形成方法
JP2009163911A (ja) * 2007-12-28 2009-07-23 Hitachi High-Technologies Corp プラズマ処理装置
JP2009188352A (ja) * 2008-02-08 2009-08-20 Ulvac Japan Ltd 真空処理装置
JP2010123417A (ja) * 2008-11-20 2010-06-03 Shibaura Mechatronics Corp プラズマ密度測定子、プラズマ密度測定装置、プラズマ処理装置、およびプラズマ密度測定方法
JP2010232110A (ja) * 2009-03-29 2010-10-14 Chube Univ 高圧力プラズマの電子密度および/または電子衝突周波数測定が可能な測定方法及び測定装置
US7908104B2 (en) 2007-10-19 2011-03-15 Hitachi High-Technologies Corporation Plasma processing apparatus and method for detecting status of said apparatus
KR20110033097A (ko) * 2009-09-23 2011-03-30 도쿄엘렉트론가부시키가이샤 Dc 및 rf 하이브리드 처리 시스템
JP2012138366A (ja) * 2006-06-07 2012-07-19 Lam Research Corporation プラズマ処理を監視する装置
JP2014170668A (ja) * 2013-03-04 2014-09-18 Chube Univ プラズマ状態測定プローブ及びプラズマ状態測定装置
JP2014227595A (ja) * 2013-05-27 2014-12-08 住友重機械工業株式会社 プラズマ測定装置及び成膜装置
WO2015166787A1 (ja) * 2014-05-02 2015-11-05 三菱重工業株式会社 計測装置を備えたプラズマ発生装置及びプラズマ推進器
JP2017106106A (ja) * 2015-12-01 2017-06-15 キヤノントッキ株式会社 スパッタ装置、膜の製造方法及び電子デバイスの製造方法
JP2019046787A (ja) * 2017-09-05 2019-03-22 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
US10607820B2 (en) 2016-09-27 2020-03-31 Samsung Electronics Co., Ltd. Monitoring units, plasma treatment devices including the same, and methods of fabricating semiconductor devices using the same
JP2020194676A (ja) * 2019-05-27 2020-12-03 東京エレクトロン株式会社 プラズマ密度モニタ、プラズマ処理装置、およびプラズマ処理方法
JP2022522041A (ja) * 2019-04-08 2022-04-13 アプライド マテリアルズ インコーポレイテッド チャンバ表面およびプロセスのインシトゥ光学センサ

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
DE10358329B4 (de) * 2003-12-12 2007-08-02 R3T Gmbh Rapid Reactive Radicals Technology Vorrichtung zur Erzeugung angeregter und/oder ionisierter Teilchen in einem Plasma und Verfahren zur Erzeugung ionisierter Teilchen
JP4972277B2 (ja) * 2004-11-10 2012-07-11 東京エレクトロン株式会社 基板処理装置の復帰方法、該装置の復帰プログラム、及び基板処理装置
US8040138B2 (en) * 2005-08-31 2011-10-18 National University Corporation Nagoya University Planar type frequency shift probe for measuring plasma electron densities and method and apparatus for measuring plasma electron densities
US20070075036A1 (en) * 2005-09-30 2007-04-05 Paul Moroz Method and apparatus for measuring plasma density in processing reactors using a short dielectric cap
US20070074812A1 (en) * 2005-09-30 2007-04-05 Andrej Mitrovic Temperature control of plasma density probe
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
JP4674177B2 (ja) * 2006-03-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
KR100816453B1 (ko) * 2006-06-28 2008-03-27 (주)쎄미시스코 공정챔버의 실시간 리크 검출 시스템
US20080003702A1 (en) 2006-06-28 2008-01-03 Cruse James P Low Power RF Tuning Using Optical and Non-Reflected Power Methods
KR100805879B1 (ko) * 2006-06-30 2008-02-20 한국표준과학연구원 플라즈마 전자밀도 및 전자온도 모니터링 장치 및 방법
US8242789B2 (en) * 2006-11-27 2012-08-14 Dublin City University Plasma system and measurement method
KR100835379B1 (ko) * 2006-12-07 2008-06-04 한국전자통신연구원 사중극자 질량 분석기를 이용한 챔버 상태 모니터링 방법
JP5168907B2 (ja) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US8129283B2 (en) * 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US8120259B2 (en) 2007-04-19 2012-02-21 Plasmart Co., Ltd. Impedance matching methods and systems performing the same
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
ITPR20070059A1 (it) * 2007-07-26 2009-01-27 Techimp S P A Procedimento per rilevare, identificare e localizzare scariche parziali aventi luogo in un sito di scarica lungo un apparato elettrico
CN101162253B (zh) * 2007-11-30 2010-12-08 南京华显高科有限公司 Pdp基板介质层特性测试装置
US8056034B1 (en) * 2008-01-17 2011-11-08 Cadence Design Systems, Inc. Use of smith chart to compensate for missing data on network performance at lower frequency
KR100976552B1 (ko) * 2008-02-20 2010-08-17 세메스 주식회사 밀도 조절이 가능한 플라즈마 발생 장치
US20110061811A1 (en) * 2008-03-07 2011-03-17 Tokyo Electron Limited Plasma processing apparatus
CN101990704B (zh) * 2008-04-03 2012-06-20 朗姆研究公司 用于归一化光学发射光谱的方法和装置
EP2114112B1 (en) * 2008-04-29 2015-09-23 Plasmetrex GmbH Apparatus for industrial plasma processes
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
KR101050443B1 (ko) * 2008-10-31 2011-07-19 (주)울텍 플라즈마 밀도 균일도 향상을 위한 다분할 적층형 플레이트 구조의 유전체 윈도우를 가지는 플라즈마 발생장치
US7921804B2 (en) 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
JP5353266B2 (ja) * 2009-01-26 2013-11-27 パナソニック株式会社 プラズマ処理装置
JP4575984B2 (ja) * 2009-02-12 2010-11-04 三井造船株式会社 原子層成長装置および薄膜形成方法
US9376754B2 (en) 2009-02-12 2016-06-28 Mitsui Engineering & Shipbuilding Thin film forming method
CA2758254C (en) * 2009-04-06 2017-12-12 John Littleton Temperature controlled conducting device
US20110009999A1 (en) * 2009-07-13 2011-01-13 Applied Materials, Inc. Plasma reactor with rf generator and automatic impedance match with minimum reflected power-seeking control
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
KR101602449B1 (ko) * 2009-09-14 2016-03-15 삼성전자주식회사 반도체 제조 공정에서의 챔버상태 모니터링 장치 및 그 방법
CN102612641B (zh) * 2009-11-09 2015-01-14 Mks仪器公司 真空质量测量系统
US9620338B2 (en) * 2010-03-16 2017-04-11 Mizuho Information & Research Institute, Inc. System, method, and program for predicting processing shape by plasma process
CN102985591B (zh) * 2010-06-04 2014-12-10 欧瑞康先进科技股份公司 真空处理装置
US8175827B2 (en) * 2010-08-18 2012-05-08 The United States Of America As Represented By The Secretary Of The Navy RF probe technique for determining plasma potential
US8190366B2 (en) * 2010-10-01 2012-05-29 The United States Of America, As Represented By The Secretary Of The Navy LC resonance probe for determining local plasma density
KR101151588B1 (ko) * 2010-12-22 2012-05-31 세종대학교산학협력단 플라즈마 입자 촬영을 위한 디지털 홀로그램 센서 시스템
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
CN103733100B (zh) * 2011-07-27 2016-09-07 诺基亚技术有限公司 与检测电磁信号发送有关的装置和关联方法
KR101324990B1 (ko) * 2011-09-02 2013-11-04 한국표준과학연구원 플라즈마 측정 장치 및 측정 방법
US8923356B1 (en) * 2011-10-04 2014-12-30 Kern Technologies, LLC. Gas laser pre-ionization optical monitoring and compensation
CN102508002B (zh) * 2011-10-31 2014-02-19 北京遥测技术研究所 一种嵌入式双探针等离子体密度测量装置
EP2799845A4 (en) * 2011-12-28 2015-08-19 Imagineering Inc SYSTEM FOR PROVIDING ANALYSIS RESULTS, FINAL DEVICE AND METHOD FOR PROVIDING ANALYSIS RESULTS
JP2013149790A (ja) * 2012-01-19 2013-08-01 Tokyo Electron Ltd プラズマ処理装置
CN102610480B (zh) * 2012-02-22 2015-07-22 北京交通大学 一种真空放电等离子体参数的测量装置及方法
US9299541B2 (en) * 2012-03-30 2016-03-29 Lam Research Corporation Methods and apparatuses for effectively reducing gas residence time in a plasma processing chamber
CN102693893B (zh) * 2012-04-28 2015-01-14 北京工业大学 一种利用调频的方式改善高频放电等离子体均匀性的方法
KR101288055B1 (ko) * 2012-05-25 2013-07-23 주식회사 나노텍 셀프 플라즈마 챔버를 포함하는 공정진행 모니터링 장치
US9031523B2 (en) 2012-06-26 2015-05-12 Htc Corporation Systems and methods for determining antenna impedance
CN102809700B (zh) * 2012-07-23 2015-01-21 西安电子科技大学 等离子体中电波传播实验装置
US9856563B2 (en) * 2012-08-22 2018-01-02 Uchicago Argonne, Llc Micro-balance sensor integrated with atomic layer deposition chamber
KR101398578B1 (ko) * 2012-08-22 2014-05-23 세종대학교산학협력단 플라즈마 쉬스 내의 이온 분포 모니터링 방법 및 장치
CN103632913B (zh) * 2012-08-28 2016-06-22 中微半导体设备(上海)有限公司 等离子体处理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
WO2014103633A1 (ja) * 2012-12-26 2014-07-03 東京エレクトロン株式会社 電磁波加熱装置および電磁波加熱方法
TWI474367B (zh) * 2012-12-26 2015-02-21 Metal Ind Res & Dev Ct 電漿系統的回饋控制方法及其裝置
CN103048522B (zh) * 2013-01-11 2015-03-11 哈尔滨工业大学 常压下低温等离子体密度参数的诊别方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104244554A (zh) * 2013-06-21 2014-12-24 电子科技大学 一种利用准光学谐振腔快速实时诊断等离子体的新方法
WO2015023229A1 (en) * 2013-08-12 2015-02-19 Nanyang Technological University An apparatus and method for diagnostics of neutral radicals in plasma
KR101832640B1 (ko) * 2013-11-01 2018-02-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리의 공간 분해 방사 분광
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
JP6689208B2 (ja) * 2014-06-06 2020-04-28 シーティーエス・コーポレーションCts Corporation 無線周波数状態変化測定システム及び方法
KR101535747B1 (ko) * 2014-06-10 2015-07-10 한국표준과학연구원 반도체 코팅설비의 오염 진단장치 및 진단방법
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) * 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9506961B2 (en) 2014-12-04 2016-11-29 Chicony Power Technology Co., Ltd. Power supply detection apparatus and detecting method thereof
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
FR3033217B1 (fr) * 2015-02-27 2017-05-05 Airbus Defence & Space Sas Controle de systeme de protection contre la foudre
WO2016177740A1 (en) 2015-05-04 2016-11-10 ECOLE POLYTECHNIQUE FéDéRALE DE LAUSANNE Method and device for determining plasma characteristics
CN107667418B (zh) * 2015-06-05 2022-03-01 应用材料公司 用于降低基板温度非均匀性的改良式装置
WO2016204920A1 (en) * 2015-06-18 2016-12-22 Applied Materials, Inc. In-situ metrology method for thickness measurement during pecvd processes
TW201705183A (zh) * 2015-07-31 2017-02-01 逢甲大學 超高頻電漿模擬裝置與超高頻電漿特性量測方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102417178B1 (ko) * 2015-09-03 2022-07-05 삼성전자주식회사 마이크로파 탐침, 그 탐침을 구비한 플라즈마 모니터링 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
CN105578696B (zh) * 2015-12-23 2018-03-16 哈尔滨工业大学 一种测量空心阴极节流孔区等离子体密度的方法
CN105636328B (zh) * 2015-12-25 2017-10-20 北京理工大学 一种基于驻极体的等离子体密度测量系统及其测量方法
KR102618813B1 (ko) * 2016-01-27 2023-12-27 삼성전자주식회사 공정 챔버 모니터링 장치
CN105807132B (zh) * 2016-03-07 2018-10-26 东莞中子科学中心 一种检测加速器射频谐振腔失谐频率的方法及装置
CN105744711B (zh) * 2016-04-15 2018-01-23 中国人民解放军装甲兵工程学院 一种热电离等离子体生成测试装置及其密度测试和控制方法
CN105744712B (zh) * 2016-04-15 2019-04-09 中国人民解放军装甲兵工程学院 一种用于等离子体诊断的密闭爆发器及其密度控制方法
JP6671230B2 (ja) * 2016-04-26 2020-03-25 東京エレクトロン株式会社 プラズマ処理装置およびガス導入機構
US9859101B2 (en) * 2016-05-10 2018-01-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106025546B (zh) * 2016-05-25 2019-03-08 哈尔滨工业大学 采用等离子体调制增强小型化全向型天线电磁辐射的装置
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6647180B2 (ja) * 2016-09-09 2020-02-14 東京エレクトロン株式会社 アンテナ装置及びこれを用いたプラズマ発生装置、並びにプラズマ処理装置
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN106358355B (zh) * 2016-11-10 2023-11-10 北京理工大学 测量碰撞等离子体的装置
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
TWI620228B (zh) * 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6868421B2 (ja) * 2017-03-08 2021-05-12 株式会社Soken 点火装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
GB201705202D0 (en) * 2017-03-31 2017-05-17 Univ Dublin City System and method for remote sensing a plasma
JP6899693B2 (ja) * 2017-04-14 2021-07-07 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10586710B2 (en) * 2017-09-01 2020-03-10 Tokyo Electron Limited Etching method
KR102524625B1 (ko) * 2017-09-12 2023-04-24 삼성전자주식회사 기판 검사 장치 및 이를 포함하는 기판 처리 시스템
US10983000B2 (en) * 2017-10-06 2021-04-20 Heraeus Noblelight America Llc Light measuring probes, light measuring systems, and related methods
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108107376B (zh) * 2017-12-27 2019-12-13 江苏神州半导体科技有限公司 基于等离子环境的射频电源测试系统及测试方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR101918253B1 (ko) * 2018-01-26 2018-11-13 최운선 플라즈마 전원장치의 자가진단모듈 및 자가진단방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102035423B1 (ko) * 2018-05-16 2019-10-22 연세대학교 산학협력단 플라즈마 공정 모니터링 장치 및 이를 포함하는 플라즈마 처리장치
KR102024468B1 (ko) * 2018-06-12 2019-09-23 충남대학교산학협력단 초고주파를 이용한 교차 주파수 플라즈마 진단 방법
WO2019244734A1 (ja) * 2018-06-22 2019-12-26 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020166048A1 (ja) * 2019-02-15 2020-08-20 株式会社日立ハイテクノロジーズ ガス成分のモニタ方法及びその装置並びにそれを用いた処理装置
KR20200118938A (ko) * 2019-04-08 2020-10-19 삼성전자주식회사 플라즈마 모니터링 장치 및 이를 포함하는 플라즈마 처리 장치
JP2020177785A (ja) * 2019-04-17 2020-10-29 日本電産株式会社 プラズマ処理装置
JP2020202052A (ja) * 2019-06-07 2020-12-17 東京エレクトロン株式会社 プラズマ電界モニタ、プラズマ処理装置、およびプラズマ処理方法
KR102161156B1 (ko) 2019-07-08 2020-09-29 주식회사 뉴파워 프라즈마 플라즈마 발생 장치의 rf 전력 모니터링 장치 및 방법
KR102175085B1 (ko) * 2019-08-01 2020-11-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP2021039925A (ja) * 2019-09-05 2021-03-11 東京エレクトロン株式会社 プラズマプローブ装置、プラズマ処理装置及び制御方法
JP7296829B2 (ja) * 2019-09-05 2023-06-23 東京エレクトロン株式会社 プラズマ処理装置、処理方法、上部電極構造
CN110753436A (zh) * 2019-10-18 2020-02-04 合肥聚能电物理高技术开发有限公司 一种用于等离子体装置的等离子体密度实时测量机构
JP7336959B2 (ja) * 2019-10-28 2023-09-01 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP2021118045A (ja) * 2020-01-22 2021-08-10 東京エレクトロン株式会社 プラズマ観測システム及びプラズマ観測方法
CN113748349A (zh) * 2020-03-26 2021-12-03 爱德万测试公司 用于测试高频部件、特别是被测硅光子装置的测试设施
JP7442365B2 (ja) * 2020-03-27 2024-03-04 東京エレクトロン株式会社 基板処理装置、基板処理システム、基板処理装置の制御方法および基板処理システムの制御方法
CN112649631B (zh) * 2020-07-01 2022-08-19 强一半导体(苏州)有限公司 一种具有双进气道装置的功率器件高温高压测试用探针卡
US20220020617A1 (en) * 2020-07-17 2022-01-20 Applied Materials, Inc. Low open area and coupon endpoint detection
CN111826635A (zh) * 2020-08-04 2020-10-27 西安电子科技大学 一种微波等离子体化学气相沉积装置
TW202226897A (zh) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 濾波器電路
KR20220088674A (ko) * 2020-12-16 2022-06-28 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
DE102020135067A1 (de) * 2020-12-29 2022-06-30 Chemin Gmbh Sondenkopf und Verwendung eines Sondenkopfs
JP2022117669A (ja) * 2021-02-01 2022-08-12 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置
CN112816795B (zh) * 2021-02-06 2022-03-18 西安电子科技大学 临近空间高速目标等离子体电磁测量系统
CN113225887B (zh) * 2021-05-11 2022-06-07 山东大学 伸缩式冷热探针组件、等离子体诊断系统及诊断方法
JP2023001619A (ja) * 2021-06-21 2023-01-06 東京エレクトロン株式会社 測定方法および測定装置
CN114007321A (zh) * 2021-09-30 2022-02-01 中科等离子体科技(合肥)有限公司 一种大气压等离子体电子密度的诊断方法
CN117412459B (zh) * 2023-12-11 2024-02-13 西南交通大学 一种用于测量等离子体密度及其涨落的诊断方法及系统

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6229100A (ja) * 1985-07-31 1987-02-07 株式会社日立製作所 核融合装置
JPH01230496A (ja) * 1987-10-15 1989-09-13 Canon Inc 新規なダイヤモンド状炭素膜及びその製造方法
JPH04256845A (ja) * 1991-02-08 1992-09-11 Nippon Telegr & Teleph Corp <Ntt> プラズマ計測法
JPH06216081A (ja) * 1993-01-13 1994-08-05 Kobe Steel Ltd プラズマ測定方法及びその装置
JPH06349594A (ja) * 1993-06-07 1994-12-22 Mitsubishi Electric Corp プラズマ発生装置
JPH11317299A (ja) * 1998-02-17 1999-11-16 Toshiba Corp 高周波放電方法及びその装置並びに高周波処理装置
JPH11354297A (ja) * 1998-05-29 1999-12-24 Leybold Syst Gmbh プラズマを発生させるための装置
JP2000100598A (ja) * 1998-07-23 2000-04-07 Univ Nagoya プラズマ生成用高周波パワ―の制御方法、およびプラズマ発生装置
JP2000100599A (ja) * 1998-07-23 2000-04-07 Univ Nagoya プラズマ密度情報測定方法、および測定に用いられるプロ―ブ、並びにプラズマ密度情報測定装置
JP2000214092A (ja) * 1999-01-22 2000-08-04 Alcatel 気体排出物を識別する方法とシステム、およびそのようなシステムを備えた設備
JP2000515678A (ja) * 1997-05-28 2000-11-21 ライボルト システムズ ゲゼルシャフト ミット ベシュレンクテル ハフツング プラズマ生成装置
JP2000340550A (ja) * 1999-03-25 2000-12-08 Toshiba Corp プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
JP2001196199A (ja) * 2000-01-14 2001-07-19 Nisshin:Kk プラズマ密度情報測定用プローブ
JP2001338917A (ja) * 2000-03-24 2001-12-07 Hitachi Ltd 半導体製造装置および処理方法、およびウエハ電位プローブ
JP2002043093A (ja) * 2000-07-27 2002-02-08 Univ Nagoya プラズマ密度情報測定方法及びその装置並びにプラズマ密度情報測定用プローブ、プラズマ発生方法及びその装置、プラズマ処理方法及びその装置
JP2002110638A (ja) * 2000-09-26 2002-04-12 Hitachi Ltd プラズマ処理装置及びそのメンテナンス方法
JP2002170817A (ja) * 2000-12-04 2002-06-14 Nisshin:Kk プラズマ処理方法及びその装置
JP2002261085A (ja) * 2001-03-05 2002-09-13 Univ Nagoya プラズマ密度情報測定方法及びその装置、並びにプラズマ処理方法及びその装置
JP2003019434A (ja) * 2001-07-09 2003-01-21 Fuji Electric Co Ltd 粉体のプラズマ処理方法およびその処理装置
JP2003505828A (ja) * 1999-07-20 2003-02-12 東京エレクトロン株式会社 プラズマを含む開放共振器に固定されたマイクロ波発振器を用いた電子密度の測定及びプラズマ処理制御システム
JP2003514362A (ja) * 1999-11-19 2003-04-15 東京エレクトロン株式会社 プラズマ密度測定用安定化発振器回路
JP2003215183A (ja) * 2002-01-18 2003-07-30 Toshiyuki Yakabe 7ポート型コリレータとその校正方法および7ポート型コリレータを用いたベクトル・ネットワーク・アナライザ装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5746835A (en) * 1994-06-02 1998-05-05 Texas Instruments Incorporated Retractable probe system with in situ fabrication environment process parameter sensing
KR960030754A (ko) * 1995-01-20 1996-08-17 심상철 플라즈마 내의 활성종 분포 측정장치 및 측정방법
JP3841188B2 (ja) 1997-03-21 2006-11-01 オリンパス株式会社 集光装置
KR100236232B1 (ko) * 1997-12-30 1999-12-15 최덕인 플라즈마 밀도 분포 측정용 회전형 광프로브
US6034781A (en) * 1998-05-26 2000-03-07 Wisconsin Alumni Research Foundation Electro-optical plasma probe
US6861844B1 (en) * 1999-07-21 2005-03-01 Tokyo Electron Limited Electron density measurement and plasma process control system using changes in the resonant frequency of an open resonator containing the plasma
CN1138979C (zh) * 2000-07-07 2004-02-18 中国科学院力学研究所 一种用于辉光放电表面处理中的等离子体探针诊断装置
JP3665265B2 (ja) * 2000-12-28 2005-06-29 株式会社日立製作所 プラズマ処理装置

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6229100A (ja) * 1985-07-31 1987-02-07 株式会社日立製作所 核融合装置
JPH01230496A (ja) * 1987-10-15 1989-09-13 Canon Inc 新規なダイヤモンド状炭素膜及びその製造方法
JPH04256845A (ja) * 1991-02-08 1992-09-11 Nippon Telegr & Teleph Corp <Ntt> プラズマ計測法
JPH06216081A (ja) * 1993-01-13 1994-08-05 Kobe Steel Ltd プラズマ測定方法及びその装置
JPH06349594A (ja) * 1993-06-07 1994-12-22 Mitsubishi Electric Corp プラズマ発生装置
JP2000515678A (ja) * 1997-05-28 2000-11-21 ライボルト システムズ ゲゼルシャフト ミット ベシュレンクテル ハフツング プラズマ生成装置
JPH11317299A (ja) * 1998-02-17 1999-11-16 Toshiba Corp 高周波放電方法及びその装置並びに高周波処理装置
JPH11354297A (ja) * 1998-05-29 1999-12-24 Leybold Syst Gmbh プラズマを発生させるための装置
JP2000100599A (ja) * 1998-07-23 2000-04-07 Univ Nagoya プラズマ密度情報測定方法、および測定に用いられるプロ―ブ、並びにプラズマ密度情報測定装置
JP2000100598A (ja) * 1998-07-23 2000-04-07 Univ Nagoya プラズマ生成用高周波パワ―の制御方法、およびプラズマ発生装置
JP2000214092A (ja) * 1999-01-22 2000-08-04 Alcatel 気体排出物を識別する方法とシステム、およびそのようなシステムを備えた設備
JP2000340550A (ja) * 1999-03-25 2000-12-08 Toshiba Corp プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
JP2003505828A (ja) * 1999-07-20 2003-02-12 東京エレクトロン株式会社 プラズマを含む開放共振器に固定されたマイクロ波発振器を用いた電子密度の測定及びプラズマ処理制御システム
JP2003514362A (ja) * 1999-11-19 2003-04-15 東京エレクトロン株式会社 プラズマ密度測定用安定化発振器回路
JP2001196199A (ja) * 2000-01-14 2001-07-19 Nisshin:Kk プラズマ密度情報測定用プローブ
JP2001338917A (ja) * 2000-03-24 2001-12-07 Hitachi Ltd 半導体製造装置および処理方法、およびウエハ電位プローブ
JP2002043093A (ja) * 2000-07-27 2002-02-08 Univ Nagoya プラズマ密度情報測定方法及びその装置並びにプラズマ密度情報測定用プローブ、プラズマ発生方法及びその装置、プラズマ処理方法及びその装置
JP2002110638A (ja) * 2000-09-26 2002-04-12 Hitachi Ltd プラズマ処理装置及びそのメンテナンス方法
JP2002170817A (ja) * 2000-12-04 2002-06-14 Nisshin:Kk プラズマ処理方法及びその装置
JP2002261085A (ja) * 2001-03-05 2002-09-13 Univ Nagoya プラズマ密度情報測定方法及びその装置、並びにプラズマ処理方法及びその装置
JP2003019434A (ja) * 2001-07-09 2003-01-21 Fuji Electric Co Ltd 粉体のプラズマ処理方法およびその処理装置
JP2003215183A (ja) * 2002-01-18 2003-07-30 Toshiyuki Yakabe 7ポート型コリレータとその校正方法および7ポート型コリレータを用いたベクトル・ネットワーク・アナライザ装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JPN6010032652; D. K. Akulina and P. N. Lebedev: 'The use of microwave resonators for determination of plasma density' Course on plasma diagnostice and data acqusition systems , 19750903, page 120-138, Editrice Compositori - Bologna *

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007066935A (ja) * 2005-08-29 2007-03-15 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4581918B2 (ja) * 2005-08-29 2010-11-17 パナソニック株式会社 プラズマ処理装置
JP2007266365A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd プラズマ処理装置及びプラズマ内の高周波電流量の測定方法
JP2007324341A (ja) * 2006-05-31 2007-12-13 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
US9230782B2 (en) 2006-05-31 2016-01-05 Hitachi High-Technologies Corporation Plasma processing method and apparatus
US8900401B2 (en) 2006-05-31 2014-12-02 Hitachi High-Technologies Corporation Plasma processing method and apparatus
JP2012138366A (ja) * 2006-06-07 2012-07-19 Lam Research Corporation プラズマ処理を監視する装置
JP2007335875A (ja) * 2006-06-16 2007-12-27 Applied Materials Inc プラズマ特性を求める方法
JP2008115460A (ja) * 2006-10-12 2008-05-22 Canon Inc 半導体素子の形成方法及び光起電力素子の形成方法
US7908104B2 (en) 2007-10-19 2011-03-15 Hitachi High-Technologies Corporation Plasma processing apparatus and method for detecting status of said apparatus
JP2009163911A (ja) * 2007-12-28 2009-07-23 Hitachi High-Technologies Corp プラズマ処理装置
JP2009188352A (ja) * 2008-02-08 2009-08-20 Ulvac Japan Ltd 真空処理装置
JP2010123417A (ja) * 2008-11-20 2010-06-03 Shibaura Mechatronics Corp プラズマ密度測定子、プラズマ密度測定装置、プラズマ処理装置、およびプラズマ密度測定方法
JP2010232110A (ja) * 2009-03-29 2010-10-14 Chube Univ 高圧力プラズマの電子密度および/または電子衝突周波数測定が可能な測定方法及び測定装置
KR20110033097A (ko) * 2009-09-23 2011-03-30 도쿄엘렉트론가부시키가이샤 Dc 및 rf 하이브리드 처리 시스템
KR101633937B1 (ko) 2009-09-23 2016-06-27 도쿄엘렉트론가부시키가이샤 Dc 및 rf 하이브리드 처리 시스템
JP2014170668A (ja) * 2013-03-04 2014-09-18 Chube Univ プラズマ状態測定プローブ及びプラズマ状態測定装置
JP2014227595A (ja) * 2013-05-27 2014-12-08 住友重機械工業株式会社 プラズマ測定装置及び成膜装置
US10616989B2 (en) 2014-05-02 2020-04-07 Mitsubishi Heavy Industries, Ltd. Plasma generation apparatus including measurement device and plasma thruster
WO2015166787A1 (ja) * 2014-05-02 2015-11-05 三菱重工業株式会社 計測装置を備えたプラズマ発生装置及びプラズマ推進器
JP2015213020A (ja) * 2014-05-02 2015-11-26 三菱重工業株式会社 計測装置を備えたプラズマ発生装置及びプラズマ推進器
JP2017106106A (ja) * 2015-12-01 2017-06-15 キヤノントッキ株式会社 スパッタ装置、膜の製造方法及び電子デバイスの製造方法
US10607820B2 (en) 2016-09-27 2020-03-31 Samsung Electronics Co., Ltd. Monitoring units, plasma treatment devices including the same, and methods of fabricating semiconductor devices using the same
JP2019046787A (ja) * 2017-09-05 2019-03-22 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
JP7026578B2 (ja) 2017-09-05 2022-02-28 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
JP2022522041A (ja) * 2019-04-08 2022-04-13 アプライド マテリアルズ インコーポレイテッド チャンバ表面およびプロセスのインシトゥ光学センサ
JP7317990B2 (ja) 2019-04-08 2023-07-31 アプライド マテリアルズ インコーポレイテッド チャンバ表面およびプロセスのインシトゥ光学センサ
US11735401B2 (en) 2019-04-08 2023-08-22 Applied Materials, Inc. In-situ optical chamber surface and process sensor
JP2020194676A (ja) * 2019-05-27 2020-12-03 東京エレクトロン株式会社 プラズマ密度モニタ、プラズマ処理装置、およびプラズマ処理方法

Also Published As

Publication number Publication date
US20070193514A1 (en) 2007-08-23
KR100586387B1 (ko) 2006-06-08
US7339656B2 (en) 2008-03-04
CN102183509B (zh) 2013-06-12
KR100586386B1 (ko) 2006-06-08
CN102183509A (zh) 2011-09-14
US20070284044A1 (en) 2007-12-13
CN100520382C (zh) 2009-07-29
TW201204182A (en) 2012-01-16
TWI392401B (zh) 2013-04-01
US7582182B2 (en) 2009-09-01
US20070089835A1 (en) 2007-04-26
KR20060001944A (ko) 2006-01-06
CN101587156A (zh) 2009-11-25
JP5404984B2 (ja) 2014-02-05
TWI458396B (zh) 2014-10-21
CN101587156B (zh) 2011-03-09
CN1540323A (zh) 2004-10-27
US7532322B2 (en) 2009-05-12
US20050009347A1 (en) 2005-01-13
US7462293B2 (en) 2008-12-09
TW201202685A (en) 2012-01-16
TW200505293A (en) 2005-02-01
KR20040093017A (ko) 2004-11-04
TWI468669B (zh) 2015-01-11

Similar Documents

Publication Publication Date Title
JP5404984B2 (ja) プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US6184623B1 (en) Method for controlling plasma-generating high frequency power, and plasma generating apparatus
US6339297B1 (en) Plasma density information measuring method, probe used for measuring plasma density information, and plasma density information measuring apparatus
JP4773079B2 (ja) プラズマ処理装置の制御方法
US6677604B2 (en) Optical system and method for plasma optical emission analysis
CN114424319A (zh) 用于在多个频率下控制rf参数的方法及装置
JP6097097B2 (ja) プラズマ状態測定プローブ及びプラズマ状態測定装置
US20070075036A1 (en) Method and apparatus for measuring plasma density in processing reactors using a short dielectric cap
JP4022902B2 (ja) プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
JP4619468B2 (ja) プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
KR101969422B1 (ko) 플라즈마 공정 모니터링 장치
JP4109020B2 (ja) プラズマ処理装置
KR20230140538A (ko) 플라즈마 처리 챔버에서 흐르는 무선 주파수 전류 스펙트럼의 비침습적 감지 시스템 및 방법
KR101288047B1 (ko) 멀티 프리퀀시를 이용한 가스 분석장치
Franz et al. Recording Spatially Resolved Plasma Parameters in Microwave-Driven Plasmas

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111011

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130129

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131029

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131030

R150 Certificate of patent or registration of utility model

Ref document number: 5404984

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250