JP2007335875A - プラズマ特性を求める方法 - Google Patents

プラズマ特性を求める方法 Download PDF

Info

Publication number
JP2007335875A
JP2007335875A JP2007157356A JP2007157356A JP2007335875A JP 2007335875 A JP2007335875 A JP 2007335875A JP 2007157356 A JP2007157356 A JP 2007157356A JP 2007157356 A JP2007157356 A JP 2007157356A JP 2007335875 A JP2007335875 A JP 2007335875A
Authority
JP
Japan
Prior art keywords
plasma
waveform
determining
metric
current
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007157356A
Other languages
English (en)
Other versions
JP5544060B2 (ja
JP2007335875A5 (ja
Inventor
Steven C Shannon
シー シャンノン スティーブン
Daniel J Hoffman
ジェイ ホフマン ダニエル
Jeremiah T P Pender
ティー ピー ペンダー ジェレミアフ
Tarreg Mawari
マワリ タレグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007335875A publication Critical patent/JP2007335875A/ja
Publication of JP2007335875A5 publication Critical patent/JP2007335875A5/ja
Application granted granted Critical
Publication of JP5544060B2 publication Critical patent/JP5544060B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0081Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature by electric means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】プラズマ特性を求めるための方法を提供する。
【解決手段】一実施形態において、プラズマ特性を求めるための方法は、プラズマに異なる周波数で結合された第1及び第2波形の電流及び電圧情報のメトリックを得て、周波数の異なる波形のそれぞれから得たメトリックを用いて少なくとも1つのプラズマ特性を求めることを含む。別の実施形態において、本方法は周波数の関数としてのプラズマのプラズマインピーダンスモデルを提供し、モデルを用いて少なくとも1つのプラズマ特性を求めることを含む。更に別の実施形態において、本方法は周波数の関数としてのプラズマのプラズマインピーダンスモデルを提供し、プラズマに結合され、少なくとも2つの異なる周波数を有する波形について電流と電圧を測定し、モデルと測定した波形の電流と電圧とからプラズマのイオン質量を求めることを含む。
【選択図】図2

Description

発明の分野
本発明はプラズマ処理技術、更に詳細にはプラズマ処理システムにおいてプラズマ特性を求めるための方法に関する。
関連技術の説明
プラズマ半導体処理チャンバは、集積デバイスの製造において広く用いられている。通常、処理性能はプラズマの物理的、化学的、電気的性質に依存する。例えば、プラズマエッチング処理の均一性と選択性は、処理基板表面又はその近傍でのプラズマのエネルギーイオンの力学的特性と大きく関連している。異方性エッチング処理においては、入射イオンを基板に対してほぼ直角の狭角速度分布で基板表面に突き当てることで、高アスペクト比のフィーチャを基板にエッチングすることを可能にしている。しかしながら、実質的に等方性であるイオン速度分布は、プロファイル空洞部側壁の湾曲つまりトーイング等の不本意なエッチング作用につながる場合がある。
更に、プラズマイオンの運動エネルギー分布も基板の処理結果に影響を与える場合がある。一般的に、プラズマは原子ラジカル(Cl)、原子イオン(Cl)、分子イオン(Cl )、及び励起分子イオン(Cl )等の化学的に反応性の高い種を含み、これらは電子と分子との衝突によって生成される。処理中に発生するプラズマの原子イオン(Cl)と分子イオン(Cl )の濃度及び/又は比率は異なる場合がある。プラズマの原子イオンと分子イオン(Cl、Cl )の分布密度及び/又はその混合比が異なると、エッチング処理の力学によりエッチング結果も異なったものとなる。
それに加え、フッ化炭素ガスを用いるプラズマエッチング処理において、プラズマから放出されたCF及び/又はCFは、側壁のパッシベーションとして知られる過程においてエッチングした表面の側壁上に再堆積される場合がある。側壁のパッシベーションを用いることでエッチング中の側壁のプロファイルを制御し、所望の側壁プロファイルを維持しつつ既定の深さに到達させることが可能となる。しかしながら、従来のプラズマ処理において、基板表面に衝突するイオンの成分及び/又はその比率は制御できない及び/又は知られていないことから、活性化される化学反応及び基板表面からスパッタ、エッチングされる材料はチャンバごと、更には処理ごとに変動し、エッチング処理の制御、再現性、予測可能性に悪影響を与える可能性がある。
本発明の発明者は、プラズマ内のイオンの性質、分布、エネルギー、及びその他のプラズマ特性についての定量的情報が、処理の有効性と処理結果の質に関しての重要な指標と成り、エッチング処理の制御、再現性、予測可能性を向上することを発見した。また、プラズマ特性を提供できることによりプラズマ化学気相成長法、物理的気相成長法、プラズマ表面処理等のその他のプラズマ処理において対応した改善を行うことが可能となることを発見した。
従って、プラズマ処理の改善に利用可能な実効イオンエネルギー及びその他のプラズマ特性を求めるための方法が必要とされている。
発明の概要
プラズマ特性を求めるための方法を提供する。一実施形態において、プラズマ特性を求めるための方法は、異なる周波数でプラズマに結合された第1及び第2波形の電流及び電圧情報のメトリックを得て、周波数の異なる波形のそれぞれから得たメトリックを用いて少なくとも1つのプラズマ特性を求めることを含む。
別の実施形態において、プラズマ特性を求めるための方法は、プラズマのプラズマインピーダンスモデルを周波数の関数として提供し、モデルを用いて少なくとも1つのプラズマ特性を求めることを含む。
更に別の実施形態において、プラズマ特性を求めるための方法は、プラズマのプラズマインピーダンスモデルを周波数の関数として提供し、プラズマに結合され、少なくとも2つの異なる周波数を有する波形の電流と電圧を測定し、モデルと測定した波形の電流と電圧とからプラズマのイオン質量を求めることを含む。
詳細な説明
本発明の実施形態は、周波数依存性のプラズマモデルを用いてプラズマ特性を求めるための方法を含む。プラズマを異なる周波数で分析することで、モデルにより複数のプラズマ特性を求めることが容易になる。測定可能なプラズマ特性の一部にはイオン質量、イオン質量種の分布、イオン密度、プラズマ非対称性、電子温度、シース電位、衝突頻度が含まれる。本方法をその他のプラズマ特性を求めるために利用することも考えられる。
プラズマ特性は、プラズマに結合されたRF波形から得た情報を用いたモデル分析によって求められる。一実施形態において、モデル分析で使用する第1RF波形はプラズマ放電を維持するために用いてもよい。また、モデル分析で使用する第2RF波形はプラズマを励振するために使用してもよく、プラズマに結合させた低出力診断用波形又はプラズマの高調波であってもよい。分析は、その他の供給源から得たプラズマに結合させた3つ以上の波形を用いて行ってもよく、その一部を以下で詳細に説明する。
モデルは、プラズマ放電の電気インピーダンスが周波数に依存性することを利用している。モデルはプラズマ特性を異なる変数として有する周波数依存性の式を含む。実際には、モデルの第1変数は、第1周波数でのプラズマ状態を表す第1モデル式において第2変数で解き、次に第2周波数でのプラズマ状態を表す第2モデル式に代入して第2変数を求める。第2変数の値が一旦求められたら、次に第2変数を利用して第1変数の値を求める。
本願に記載の実施形態において、RF波形分析のモデルはプラズマインピーダンスの式に基づくものである。電流及び/又は電圧を入力として利用して、プラズマ特性について式を解く。その他のモデルを導いて本願に記載の方法で利用することも考えられる。また、電圧及び/又は電流以外又はそれに加えて波形の位相等の入力をモデルで利用することも考えられる。本願で記載の方法はエッチング用途という観点で具体的に述べているが、処理結果、予測性、再現性の改善に利用可能なプラズマパラメータを特徴付けることを目的として、いずれのプラズマ処理(つまり、物理的気相成長法、プラズマ化学気相成長法、プラズマイオン注入、プラズマ膜処理他)においての使用にも同等に適している。
図1A−Bは、本発明の実施形態のプラズマ処理チャンバ100A−Cの概略図である。本発明で有益に使用し得るプラズマエッチングチャンバの例は分離プラズマ供給源(DPS(商標名)、DPS(商標名)II)、EMAX(商標名)、MXP(商標名)、イネーブラ(商標名)(ENABLER)処理チャンバを含み、全てカリフォルニア州サンタクララのアプライドマテリアル社から入手可能であるが、これらに限定されるものではない。その他の製造業者から入手可能なその他のプラズマチャンバを適合させて本発明で実施することも考えられる。以下で具体的に述べるプラズマ処理チャンバ100A−Cはエッチングチャンバとして構成されているが、本発明は上記記載のその他のプラズマ処理に利用してもよい。
実施形態全てに共通するものは、プラズマ処理チャンバ100A−Cにインターフェース接続された少なくとも1つのRF計測システム198であり、ガスパネル108により供給されたガスからチャンバ内で発生させたプラズマ110に結合させたRF波形の電圧、電流、位相の少なくとも1つを測定するのに適している。計測システム198は1つ以上のセンサを含んでいてもよい。一般的に、計測システム198はその供給源(RF電力源又はプラズマそれ自体等)間でRF波形とインターフェース接続するように位置される。
図1Aを参照すると、プラズマ処理チャンバ100Aはガスパネル108に連結された接地チャンバ本体102、1つ以上のRF電源及び制御装置190を含む。ガスパネル108は、チャンバ本体102内に規定された処理領域に処理ガス又はその他のガスを供給する。少なくとも1つのRF電源を利用して処理領域内の処理ガスから発生させたプラズマ110を維持し、典型的には基板処理、チャンバ又はコンポーネントのシーズニング及び/又はコーティング、及び/又はチャンバの洗浄を円滑にする。
基板支持台116は、チャンバ本体102内のガス散布装置132の下方に配置される。支持台116は、ガス散布装置132の下方に基板114を保持するための静電チャック(図示せず)を備えていてもよい。従来から既知のように、静電チャックはDC電源により駆動され、基板114をチャック表面に保持する静電気力を起こす。或いは、締め具、真空、重力を利用して基板114を支持台に保持してもよい。
一実施形態において、基板支持台116はカソードとして構成され、複数のRF電源に連結される。少なくとも第1RF電源104により供給されるRF電力はカソードと、ガス散布装置132又はチャンバ本体102の壁部等のその他の電極との間に結合される。RF電力は、チャンバ本体102の処理領域内のガスから発生させたプラズマ放電(例えば、プラズマ110)を励起し維持する。
図1Aに図示の実施形態においては、複数のRF電源104、106が整合回路112を介してカソードに連結されている。図1Aには図示していないが、整合回路112はRF計測システム198を内臓する又はインターフェース接続していてもよい。RF電源104、106により発せられた信号は整合回路112を介して単一給電で基板支持台116に伝達されてプラズマ処理チャンバ100Aに供給されたバッググラウンド混合ガスをイオン化させ、エッチング又はその他のプラズマ処理を実行するのに必要なイオンエネルギーを供給する。一般的に、RF電源104、106は周波数約50kHz〜約200MHz、電力約0ワット〜約5000ワットを有するRF信号を発生させることが可能である。別の任意のRF供給源120が図1Aには図示されており、プラズマ110の特性の制御に使用し得る1つ以上の追加電源を代表する。
ガス散布装置132は1つ以上のノズル又はシャワーヘッドを備えていてもよい。ガス散布装置132はガスパネル108に連結されており、ガスパネル108からガス散布装置132に供給されたガスはチャンバに導入され、点火されると、基板114の処理に利用するプラズマ110に生成される。
一操作モードにおいて、基板114はプラズマ処理チャンバ100の基板支持台116上に配置される。処理ガス及び/又は混合ガスは、ガスパネル108からガス散布装置132を通ってチャンバ本体102内に導入される。真空排気システム122は、エッチングによる副生成物を除去しつつチャンバ本体102内部の圧力を維持する。真空排気システム122は、典型的には、作動圧力を約10mTorr〜約20Torrに維持する。
RF供給源104、106はRF電力を整合回路112を介してカソードに異なる周波数で供給することで、チャンバ本体102内でプラズマ110を生成し、混合ガスをイオンに励起させプラズマ処理、この実施例においてはエッチング処理を行うためのエネルギーを供給する。RF計測システム198は、プラズマ110に結合された波形のメトリックを測定し、各電源104、106により供給された電力を示すメトリックを供給する。メトリックは制御装置190に伝送し、以下で更に詳細に記載するようにプラズマ特性を求めるために利用する。プラズマ特性を分析して、工程のインシチュ処理の調節、処理ドリフトの補正、異なるチャンバ間での処理の整合、及び/又はある特定の処理結果を得てもよい。
制御装置190はプラズマ処理チャンバ100の様々なコンポーネントに連結されており、エッチング処理の制御を円滑にするために使用される。制御装置190は、通常、中央演算処理装置(CPU)192、メモリ194、CPU192用のサポート回路196を含む。CPU192は、様々なチャンバ及びサブプロセッサを制御するための、工業環境で使用可能ないずれの形態のコンピュータプロセッサの1つであってもよい。メモリ194はCPU192に連結される。メモリ194、又はコンピュータ可読媒体は1つ以上の入手可能なメモリ、例えばランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピー(登録商標)ディスク、ハードディスク、又はその他のいずれの形式のローカルまたはリモートデジタルストレージであってもよい。サポート回路196はCPU192に連結され、慣用のやり方でプロセッサをサポートする。これらの回路はキャッシュ、電力供給源、クロック回路、入力/出力回路、サブシステム等を含む。
例えば、以下に記載のプラズマ特性を求めるための方法200である処理は、通常、メモリ194に典型的にはソフトウェアルーチンとして記憶される。ソフトウェアルーチンは、CPU192により制御されているハードウェアとは離れた位置に設置された第2CPU(図示せず)に記憶させても、及び/又は第2CPUにより実行してもよい。本発明の処理はソフトウェアルーチンとして実行するものとして述べているが、本願で開示の方法工程の一部は、ソフトウェア制御装置によってのみならずハードウェアでも実行することができる。このため、本発明はコンピュータシステム上で実行するソフトウェアとして、特定用途向け集積回路又はその他のタイプのハードウェア実装としてハードウェアで、又はソフトウェアとハードウェアの組み合わせとして実施してもよい。
図1Bは本発明のプラズマ処理チャンバ100Bの別の実施形態を示す。プラズマ処理チャンバ100Bは実質的に上述したプラズマ処理チャンバ100Aと同様であり、低出力診断用電源130がプラズマ110に結合されている。処理チャンバ100BはRF供給源104単体を利用してプラズマ110を維持しても、或いはその他の任意のRF電源120を追加して利用してもよい。計測システム198は、低出力RF診断用電源130及びRF電源104の双方から電流、電圧、位相の少なくとも1つのメトリックを得るように構成されている。
低出力RF診断用電源130は、1次又はRF電源104により供給される電力の周波数とは異なる周波数でプラズマに結合される。診断用電力は、プラズマインピーダンス測定用の補足的な周波数情報源としてのみ機能し、プラズマ放電の動作特性に大きく影響を与えることはない。一実施形態において、電源130からの診断用電力は、プラズマに約10ミリワット〜約10ワットで供給される。
図1Cは本発明のプラズマ処理チャンバ100Cの別の実施形態を示す。プラズマ処理チャンバ100Cは、計測システム198がRF電源104からの波形のみならず、プラズマの高調波である1つ以上のRF波形、つまりプラズマは波形源として機能する、を測定するように構成されている点を除き、上述のプラズマ処理チャンバ100A−Bと実質的に同様である。一般的に、計測システム198は回路素子140とプラズマ110との間に配置される。ローパスフィルタ等の回路素子140を選択して、基本周波数(つまり、RF源104により供給された周波数)より高い周波数を有する波形のために開回路を作成することで、反射されたプラズマ高調波からRF電源を守る。
チャンバ100Cで発生したプラズマ110はRF電源104単体で維持しても、或いはその他の任意のRF電源120を更に利用してもよい。(図1Bに図示のような)低出力診断用電源130もチャンバ100Cに連結してもよい。
図2は、プラズマ110の特性を求めるための方法200の一実施形態の処理フロー図であり、RF計測システム198により得た情報を用いてプラズマ処理チャンバ100A−C内で実行し得る。明確にするために、プラズマ処理チャンバ100A−Cは、以下、参照番号100A−Cと言及することなくまとめて「プラズマ処理チャンバ」と称する。
方法200は、基板114をプラズマ処理チャンバ内に配置された支持台116上に載置する工程202から開始される。方法200は、チャンバ内に基板を載置せずとも実行し得ることに留意する。
工程204で、1つ以上の処理ガスをガスパネル108からプラズマ処理チャンバに供給してプラズマ110を発生させ、処理に用いる反応種(例えば、イオン又はラジカル)を供給する。工程206で、電力を1つ以上のRF電源から供給してプラズマ110を維持する。
工程208において、計測システム198はプラズマ110に結合されたRF波形を示すメトリックを計測する。一実施形態においてRF波形は、図1Aに図示されるように、異なる周波数で電力を供給しプラズマを維持しているRF電源104、106からのものである。共通の周波数ペアの一部には2kHzと13kHz、2kHzと60kHz、13kHzと60kHzが含まれる。3つのRF電源を用いてプラズマを維持する実施形態の場合、共通周波数群には、2、13、60kHzと2、13、162kHzが含まれる。
別の実施形態において、RF波形の1つはプラズマを維持するための電力の供給に利用される第1RF電源からのものであり、別のRF波形は、図1Bに図示されるように、第1RF源により供給される電力の周波数とは異なる周波数でプラズマに結合されている低出力診断用電力を発生させる第2RF電源からのものである。複数の低出力診断用電源を利用して異なる波形を発生させてもよい。診断用波形は単体で使用しても、その他の供給源から得た波形と共に用いてもよい。
更に別の実施形態において、RF波形の1つはプラズマを維持するための電力の供給に利用される第1RF電源からのものであり、図1Cに図示されるように、別のRF波形はプラズマの高調波であり、つまりプラズマは第1RF源により供給される電力の周波数とは異なる周波数の第2周波数波形源として機能する。1つ以上の高調波周波数からの波形を利用することも考えられる。高調波波形は単体で使用しても、或いはその他の供給源から得た波形と共に用いてもよい。
異なる波長の波形は、上記実施例のいずれの組み合わせで得てもよい。例えば、1つ以上のRF維持波形(1つ以上の周波数)は高調波及び/又は診断用電源から得た波形で分析してもよい。別の実施例においては、1つ以上の高調波波形を、1つ以上の診断用RF電源から得た波形で分析してもよい。
一実施形態においては、計測システム198を利用して、RF電源とプラズマとの間で測定したRF波形の電流と電圧のメトリックを得る。メトリックは制御装置190に送られる。
工程210において、制御装置190は計測システム198から提供されたメトリックを利用して2つ以上のプラズマ特性を求める。一実施形態において、制御装置190はメトリックを利用してシース電圧とイオン密度を求める。シース電圧はRF電圧変調の振幅にほぼ等しく、イオン密度はRF電流量にほぼ等しい。シース電圧及びイオン密度は、周波数の関数としてのプラズマインピーダンスを表すモデルのための入力変数として利用される。
一般的に、モデルは既知の電気的プラズマ特性を用いた、プラズマの集中素子回路式である。例えば、シースインピーダンスについての式の一部はチャイルドの法則に基づいたものであり、バルクインピーダンスについての式の一部は均一プラズマモデルに基づく。その他の理論に基づいた、或いは経験的に導かれたモデルを本願に記載の方法を利用して解くことでプラズマ特性を得ることも考えられる。
通常、モデルはイオン質量、衝突頻度、電子温度、プラズマ非対称性、シース電圧及びイオン密度についての変数を含む。イオン密度とシース電圧に関しての値は上述したように与えられているため、モデルの式は残りの変数、例えば、イオン質量、衝突頻度、電子温度、プラズマ非対称性等のいずれかについて解く。波形情報が2つの周波数でのみ入手可能な場合は、残り4つの変数のうち2つに近似値を割り当て、より重要な残り2つの変数について解く。波形情報が3つ以上の周波数で入手可能なら、残り4つの変数全てを求めることができる。
モデルは、第1周波数のモデル式を用いて第1変数を解き、次に第1周波数モデル式で表された第1変数を第2周波数のモデル式に代入して目的とする第2変数を解くことで利用する。解いた第2変数値を用いて、第1変数の値を解く。この方法を利用し、2周波数モデル分析によりイオン質量、衝突頻度、電子温度、プラズマ非対称性のいずれの対を求める、或いは3つ以上の周波数でのモデル分析を用いて全てを求める。その他の分析アプローチ、例えばニューラルネットワーク、ベストフィット、回帰分析、全方程式についての一意解を求めるその他を用いてモデルを解くことも考えられる。
図3は、プラズマモデルの簡略回路図の一実施形態である。プラズマモデルは、高周波バルクプラズマ近似を用いた非対称容量放電(均一、一定イオンMFP)としてもよい(例えば、Godyak V 1986 Soviet RF Discharge Research and Child Law High Voltage Sheath Approximation(C.D.Child, Phys. Rev.,32)を参照)。具体的な実施形態において、アルゴンプラズマは中心点パラメータn=1010cm−3、VDC=500ボルト、νme=0.01/ns、α=0.5、及びT=5eVを有していてもよい。
シースリアクタンスは下記式で表される。
Figure 2007335875
シース厚さは下記式で近似することができる。
Figure 2007335875
シース抵抗は下記式で表すことができる。
Figure 2007335875
並列要素として扱い、電力を供給されたシースから開始し、非対称状態による接地シースを下記式で概算する。
Figure 2007335875
バルクインピーダンスはZバルクとして表せることから、総放電インピーダンス対n、VDC、νme、α、Mイオン、及びTは下記式で表すことができる。
Figure 2007335875
ここでn、VDC、νme、α、Mイオン、及びTはそれぞれ電子密度、シース電圧、衝突頻度、放電非対称性、イオン質量、及び電子温度である。
従って、周波数1、2・・・nでの等価回路のインピーダンスを測定し、上記方程式を用いて回路素子CshGND、RshGND、C、R、L、CshRF、及びRshRFをプラズマパラメータn、VDC、νme、α、Mイオン、及びTと関連付けることにより、プラズマパラメータをインピーダンス測定から直接求めることが可能である。
工程210で求めたプラズマ特性を利用して、模擬モデルから得た模擬電圧と電流量に基づいて計算したプラズマによって発生した実効イオン質量のエネルギーを求める。また、プラズマ特性、例えば工程210で求めたイオン質量を用いて、プラズマ内でのイオン分布とイオン種を解いてもよい。処理チャンバ内の混合ガスは解離され、原子ラジカル(Cl)、原子イオン(Cl)、分子イオン(Cl )、励起分子(Cl )等の異なる形態にイオン化されるため、プラズマ内におけるイオン種の分布を正確に求めることで、プラズマ処理をより効果的に制御してもよい。イオン種の分布は原子及び/又は分子分布について解いてもよい。例えば、基板上の材料(例えば、SiO又は金属)と反応させる代わりに原子ラジカル(Cl)及び/又は原子イオン(Cl)を再結合して分子イオンを生成することで、所望したように処理性能に悪影響を与え、処理領域に分散されるイオンを入れ替えてもよい。イオン質量を知り、特定の処理パラメータセットについてのイオン分布について解くことで、冗長な処理特性解析を行うことなく処理性能をより正確に推定することができる。このため、実効イオンエネルギー及び/又は分布の推定値をプラズマに結合されたRF波形の電圧と電流量により計算することで、処理チャンバ内に残留した及び発生した実際の反応種を特定することができる。このため、本発明はプラズマ中の二原子気体(例えば、Cl、O及びNその他)の分子及び原子分布を求めるのに特に有用である。また、本発明はCF処理ガスフラグメント(CF+3、CF +2等)の分布等のプラズマ中の化合物フラグメントの分布を求めるのに有用である。従って、処理により、処理ドリフト、又は処理キット変動、チャンバ間変動、更には(処理ガスの)ガス供給源の組成における変動等のアイテム間の変動を迅速に特定することが可能となる。
従って、本発明は周波数依存性プラズマモデルを用いてプラズマ特性を求める方法を提供する。異なる周波数でプラズマを分析することにより、モデルによるイオン質量、イオン質量種分布、イオン密度、プラズマ非対称性、電子温度、シース電位、衝突頻度等のプラズマ特性の測定が容易となる。この結果、プラズマ処理の処理制御、管理、再現性を円滑に向上できるという点で本発明は有利である。
上記記載は本発明の実施形態について述べたものであるが、本発明の基本範囲から逸脱することなくその他及び更なる実施形態を考案することができ、本発明の範囲は特許請求の範囲に基づいて規定される。
添付の図面で図示されている実施形態を参照し上記で簡単に概要を述べた本発明の更に具体的な説明を得ることで、本発明の上述した特徴が詳細に理解可能である。
本発明の実施形態における模範的なプラズマ処理チャンバの概略図である。 プラズマ特性を求めるための方法の一実施形態の処理フロー図である。 プラズマモデルの簡略回路図である。
円滑な理解のために、可能な限り、図に共通する同一の要素は同一の参照番号を用いて表した。一実施形態における要素と特徴は、特に記載することなく別の実施形態にて便宜上利用する場合がある。
しかしながら、添付の図面は本発明の模範的な実施形態を図示するに過ぎず、本発明はその他の同等に効果的な実施形態も認め得るため、本発明の範囲を制限すると捉えられるものではないことに留意しなくてはならない。

Claims (29)

  1. プラズマに結合された第1波形の電流及び電圧情報のメトリックを得て、
    プラズマに結合された、第1波形とは異なる周波数を有する第2波形の電流及び電圧情報のメトリックを得て、
    周波数の異なる波形からそれぞれ得たメトリックを用いて、少なくとも1つのプラズマ特性を求めることを含むプラズマ特性を求めるための方法。
  2. 少なくとも1つのプラズマ特性を求める工程が、プラズマのイオン質量を求めることを更に含む請求項1記載の方法。
  3. イオン質量を求める工程が、プラズマ内のイオン質量種の分布を求めることを更に含む請求項2記載の方法。
  4. 少なくとも1つのプラズマ特性を求める工程が、プラズマの非対称性を求めることを更に含む請求項1記載の方法。
  5. 少なくとも1つのプラズマ特性を求める工程が、プラズマの電子温度を求めることを更に含む請求項1記載の方法。
  6. 少なくとも1つのプラズマ特性を求める工程が、プラズマ内の電子−分子衝突頻度を求めることを更に含む請求項1記載の方法。
  7. 少なくとも1つのプラズマ特性を求める工程が、周波数依存性モデルを用いてプラズマを分析することを更に含む請求項1記載の方法。
  8. 周波数依存性モデルがプラズマのインピーダンスモデルを更に含む請求項7記載の方法。
  9. プラズマを維持するために利用する第1電源から第1波形を発生させ、
    プラズマの特性を制御するために利用する電源から第2波形を発生させることを更に含む請求項1記載の方法。
  10. プラズマを維持するために利用する第1電源から第1波形を発生させ、
    プラズマの動作特性を顕著に変えることのない低出力診断用電源から第2波形を発生させることを更に含む請求項1記載の方法。
  11. 低出力診断用電源から第2波形を発生させることが、約1ミリワット〜約10ワットでプラズマに結合させることを更に含む請求項10記載の方法。
  12. 第2波形のメトリックを得ることが、プラズマ高調波波形のメトリックを得ることを更に含む請求項1記載の方法。
  13. 第1波形のメトリックを得ることが、第2プラズマ高調波波形のメトリックを得ることを更に含む請求項12記載の方法。
  14. 第1波形のメトリックを得ることが、プラズマを維持するために利用する第1電源から第1波形を発生させることを更に含む請求項12記載の方法。
  15. 第1波形のメトリックを得ることが、プラズマの動作特性を顕著に変えることのない低出力診断用電源から第1波形を発生させることを更に含む請求項12記載の方法。
  16. プラズマのプラズマインピーダンスモデルを周波数の関数として提供し、
    モデルを用いて少なくとも1つのプラズマ特性を求めることを更に含むプラズマ特性を求めるための方法。
  17. 少なくとも1つのプラズマ特性を求める工程が、プラズマに結合された、周波数が異なるRF波形の電流及び電圧情報を得ることを更に含む請求項16記載の方法。
  18. RF波形の電流及び電圧情報を得る工程が、プラズマ高調波波形のメトリックを測定することを更に含む請求項18記載の方法。
  19. RF波形の電流及び電圧情報を得る工程が、プラズマの動作特性を顕著に変えることのない低出力診断用電源により供給された波形のメトリックを測定することを更に含む請求項18記載の方法。
  20. RF波形の電流及び電圧情報を得る工程が、プラズマを維持するために利用する複数のRF電源により供給された波形のメトリックを測定することを更に含む請求項18記載の方法。
  21. RF波形の電流及び電圧情報を得る工程が、プラズマのイオン質量、プラズマ内のイオン質量種の分布、プラズマの非対称性、プラズマの電子温度、プラズマ内の電子−分子衝突頻度の少なくとも1つを求めることを更に含む請求項18記載の方法。
  22. RF波形の電流及び電圧情報を得る工程が、プラズマ内における塩素(Cl)種の分布を求めることを更に含む請求項18記載の方法。
  23. プラズマのプラズマインピーダンスモデルを周波数の関数として提供し、
    プラズマに結合された、少なくとも2つの異なる周波数を有するRF波形の電流及び電圧を測定し、
    モデルと測定した波形の電流と電圧とからプラズマのイオン質量を求めることを含むプラズマ特性を求めるための方法。
  24. プラズマのイオン質量を求める工程が、モデルと測定した波形の電流と電圧とからプラズマ内の電子−分子衝突頻度を求めることを更に含む請求項23記載の方法。
  25. 波形の電流と電圧を測定する工程が、高調波波形を測定することを更に含む請求項23記載の方法。
  26. 波形の電流と電圧を測定する工程が、プラズマの動作特性を顕著に変化させない低出力診断用電源により供給された波形のメトリックを測定することを更に含む請求項23記載の方法。
  27. 波形の電流と電圧を測定する工程が、プラズマを維持するために利用する複数のRF電源により供給された波形のメトリックを測定することを更に含む請求項23記載の方法。
  28. プラズマのイオン質量を求める工程が、プラズマ内の二原子種間の分布を求めることを更に含む請求項23記載の方法。
  29. プラズマのイオン質量を求める工程が、プラズマ内の処理ガスフラグメント間の分布を求めることを更に含む請求項23記載の方法。
JP2007157356A 2006-06-16 2007-06-14 プラズマ特性を求める方法 Expired - Fee Related JP5544060B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/424,705 US7286948B1 (en) 2006-06-16 2006-06-16 Method for determining plasma characteristics
US11/424705 2006-06-16

Publications (3)

Publication Number Publication Date
JP2007335875A true JP2007335875A (ja) 2007-12-27
JP2007335875A5 JP2007335875A5 (ja) 2010-07-22
JP5544060B2 JP5544060B2 (ja) 2014-07-09

Family

ID=38607118

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007157356A Expired - Fee Related JP5544060B2 (ja) 2006-06-16 2007-06-14 プラズマ特性を求める方法

Country Status (4)

Country Link
US (5) US7286948B1 (ja)
JP (1) JP5544060B2 (ja)
KR (1) KR100871515B1 (ja)
CN (1) CN101090597B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022010875A1 (en) * 2020-07-08 2022-01-13 Lam Research Corporation Process control for ion energy delivery using multiple generators and phase control

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
KR100784824B1 (ko) * 2005-11-04 2007-12-14 한국표준과학연구원 플라즈마 진단장치 및 진단방법
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
WO2009119050A1 (ja) * 2008-03-25 2009-10-01 国立大学法人大阪大学 放電イオン化電流検出器
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8618807B2 (en) 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
JP5740246B2 (ja) * 2011-08-15 2015-06-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9275916B2 (en) * 2013-05-03 2016-03-01 Infineon Technologies Ag Removable indicator structure in electronic chips of a common substrate for process adjustment
US10622197B2 (en) * 2015-07-21 2020-04-14 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10536130B2 (en) 2017-08-29 2020-01-14 Mks Instruments, Inc. Balancing RF circuit and control for a cross-coupled SIMO distribution network
US10636686B2 (en) * 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
US11209478B2 (en) * 2018-04-03 2021-12-28 Applied Materials, Inc. Pulse system verification
CN109165400B (zh) * 2018-07-06 2021-10-01 东南大学 一种聚焦离子束刻蚀加工工艺参数的设计方法
WO2022097760A1 (ko) * 2020-11-03 2022-05-12 광운대학교 산학협력단 플라즈마 진단 시스템 및 방법
KR102340564B1 (ko) * 2021-02-19 2021-12-20 한국표준과학연구원 플라즈마 이온 밀도 측정 장치와 이를 이용한 플라즈마 진단 장치
CN113657593B (zh) * 2021-07-30 2024-02-02 西安理工大学 一种基于bp神经网络的等离子体参数诊断方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000260595A (ja) * 1999-03-11 2000-09-22 Hitachi Ltd プラズマ処理装置
JP2002203835A (ja) * 2000-12-28 2002-07-19 Hitachi Ltd プラズマ処理装置
JP2004128236A (ja) * 2002-10-03 2004-04-22 Sony Corp エッチング装置およびエッチング方法
JP2004534351A (ja) * 2001-03-16 2004-11-11 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
WO2005034183A2 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method and apparatus for determining plasma impedance
JP2005228727A (ja) * 2003-04-24 2005-08-25 Tokyo Electron Ltd プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
JP3483327B2 (ja) * 1994-11-29 2004-01-06 アネルバ株式会社 プラズマ処理方法
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
JP3349455B2 (ja) * 1998-09-30 2002-11-25 宮崎沖電気株式会社 半導体製造装置のための管理方法および管理システム
WO2002097855A1 (en) * 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
JP3977114B2 (ja) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
US6879870B2 (en) * 2002-04-16 2005-04-12 Steven C. Shannon Method and apparatus for routing harmonics in a plasma to ground within a plasma enhanced semiconductor wafer processing chamber
TWI276162B (en) * 2002-06-05 2007-03-11 Tokyo Electron Ltd Multi-variable analysis model forming method of processing apparatus, multi-variable analysis method for processing apparatus, control apparatus of processing apparatus, and control system of processing apparatus
TWI264043B (en) * 2002-10-01 2006-10-11 Tokyo Electron Ltd Method and system for analyzing data from a plasma process
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7105075B2 (en) * 2004-07-02 2006-09-12 Advanced Energy Industries, Inc. DC power supply utilizing real time estimation of dynamic impedance
US20060180570A1 (en) * 2005-02-14 2006-08-17 Mahoney Leonard J Application of in-situ plasma measurements to performance and control of a plasma processing system
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
CN100411496C (zh) * 2005-09-21 2008-08-13 大连理工大学 一种实现脉冲电源与等离子体负载间匹配的方法
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000260595A (ja) * 1999-03-11 2000-09-22 Hitachi Ltd プラズマ処理装置
JP2002203835A (ja) * 2000-12-28 2002-07-19 Hitachi Ltd プラズマ処理装置
JP2004534351A (ja) * 2001-03-16 2004-11-11 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
JP2004128236A (ja) * 2002-10-03 2004-04-22 Sony Corp エッチング装置およびエッチング方法
JP2005228727A (ja) * 2003-04-24 2005-08-25 Tokyo Electron Ltd プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
WO2005034183A2 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method and apparatus for determining plasma impedance

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022010875A1 (en) * 2020-07-08 2022-01-13 Lam Research Corporation Process control for ion energy delivery using multiple generators and phase control

Also Published As

Publication number Publication date
US7848898B2 (en) 2010-12-07
US20070294043A1 (en) 2007-12-20
US20090132189A1 (en) 2009-05-21
JP5544060B2 (ja) 2014-07-09
US7286948B1 (en) 2007-10-23
CN101090597A (zh) 2007-12-19
KR20070120027A (ko) 2007-12-21
US7620511B2 (en) 2009-11-17
US20070289359A1 (en) 2007-12-20
KR100871515B1 (ko) 2008-12-05
US7440859B2 (en) 2008-10-21
US20090130856A1 (en) 2009-05-21
CN101090597B (zh) 2012-07-04

Similar Documents

Publication Publication Date Title
JP5544060B2 (ja) プラズマ特性を求める方法
JP2830978B2 (ja) リアクティブイオンエッチング装置及びプラズマプロセス装置
US20060216406A1 (en) Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
Sobolewski Measuring the ion current in high-density plasmas using radio-frequency current and voltage measurements
JP2008085288A (ja) 基板のプラズマ処理装置及びプラズマ処理方法
TW201029064A (en) Plasma processing apparatus and plasma processing method
Lee et al. Measurements of the total energy lost per electron–ion pair lost in low-pressure inductive argon, helium, oxygen and nitrogen discharge
Sobolewski Monitoring sheath voltages and ion energies in high-density plasmas using noninvasive radio-frequency current and voltage measurements
Hershkowitz et al. Does high density–low pressure etching depend on the type of plasma source?
JP2011199072A (ja) 終点検出装置、プラズマ処理装置および終点検出方法
TW202004819A (zh) 控制處理電漿中之離子能量分佈的設備及方法
Nishikawa et al. Transport mechanisms of ions and neutrals in low-pressure, high-density plasma etching of high aspect ratio contact holes
Woelfel et al. The Multipole Resonance Probe-based controller: a technology to investigate plasma-based deposition
US20030178390A1 (en) System and method for enhanced monitoring of an etch process
JP2013187226A (ja) チャンバーのクリーニング方法、チャンバーのクリーニングの終点検出方法及びチャンバークリーニング装置
Brake et al. The Gaseous Electronic Conference (GEC) reference cell as a benchmark for understanding microelectronics processing plasmas
Oh et al. A study of parameters related to the etch rate for a dry etch process using NF3/O2 and SF6/O2
Kawano et al. Systematic simulations of plasma structures in chlorine radio frequency discharges
JP6670791B2 (ja) 流量制御器を検査する方法及び被処理体を処理する方法
Hanif et al. PLASMA POWER EFFECT ON THE SURFACES OF A QUARTZ CRYSTAL DURING ETCHING USING TETRAFLUOROETHANE GAS.
US20240096600A1 (en) Substrate Bombardment with Ions having Targeted Mass using Pulsed Bias Phase Control
Nelson et al. Surface kinetics with low ion energy bombardment in fluorocarbon plasmas
WO2024029612A1 (ja) 基板処理システム及び基板処理方法
JP3609241B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP2021061380A (ja) クリーニング条件の決定方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100607

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100611

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111020

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111101

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120201

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120306

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120401

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120405

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130516

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130521

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130607

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130612

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130718

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140415

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140511

R150 Certificate of patent or registration of utility model

Ref document number: 5544060

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees