JP5010610B2 - 基板温度決定装置およびその決定方法 - Google Patents

基板温度決定装置およびその決定方法 Download PDF

Info

Publication number
JP5010610B2
JP5010610B2 JP2008532277A JP2008532277A JP5010610B2 JP 5010610 B2 JP5010610 B2 JP 5010610B2 JP 2008532277 A JP2008532277 A JP 2008532277A JP 2008532277 A JP2008532277 A JP 2008532277A JP 5010610 B2 JP5010610 B2 JP 5010610B2
Authority
JP
Japan
Prior art keywords
substrate
phosphor material
plasma
wavelength
shielding window
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008532277A
Other languages
English (en)
Other versions
JP2009509356A (ja
Inventor
ガフ,キース
マーチン ポール ベンジャミン,ニール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2009509356A publication Critical patent/JP2009509356A/ja
Application granted granted Critical
Publication of JP5010610B2 publication Critical patent/JP5010610B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • G01K11/20Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using thermoluminescent materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • G01K11/32Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using changes in transmittance, scattering or luminescence in optical fibres
    • G01K11/3206Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using changes in transmittance, scattering or luminescence in optical fibres at discrete locations in the fibre, e.g. using Bragg scattering
    • G01K11/3213Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using changes in transmittance, scattering or luminescence in optical fibres at discrete locations in the fibre, e.g. using Bragg scattering using changes in luminescence, e.g. at the distal end of the fibres

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Radiation Pyrometers (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Measurement Of Radiation (AREA)

Description

本発明は、概ね、基板製作技術に関し、より詳しくは、基板温度決定装置とその決定方法に関する。
例えば、フラットパネル・ディスプレイ製作に用いられるような半導体基板やガラスパネル等の基板の処理において、しばしばプラズマが用いられる。基板の処理の一部として、例えば、基板は複数のダイ、または複数の長方形のエリアに分割され、それぞれが集積回路となる。その後、基板は、例えば、材料が選択的に除去(エッチング)されたり、堆積されたりする一連の工程で処理される。およそ2,3ナノメータ単位でトランジスタゲートの限界寸法(CD)を制御するのは、最も重要なことであり、目標とするゲートの長さから1ナノメータずれる毎に、これらの装置の操作速度に直接影響を及ぼすことになる。
硬化エマルジョン領域は、その後、選択的に除去され、その下に位置する層の成分が露出される。その後、基板は、チャックまたは受け台と呼ばれる、単極電極または二極電極を備える基板支持構造上のプラズマ処理室内に配される。次に、適当な腐食液をプラズマ処理室内に流し込んで、衝撃を与えることによりプラズマを形成し、基板の露出領域をエッチングする。
しかしながら、上記およびその他のプラズマ処理の場合、室内残渣の蓄積、プラズマ処理室構造に対するプラズマ損傷等により、プラズマ処理システム内における処理状況が動的となるため、プラズマ処理をモニタするのは困難な場合が多い。例えば、プラズマ処理装置内の基板のプラズマ処理の均一性を高めるために、(例えば、CVDまたはPVD技術により)材料が堆積されおよび/またはフォトレジストを除去された、エッチングが発生する基板の露出面の温度を制御するのが望ましい。基板の温度がある温度以上に上昇すると、基板損傷(例えば、フォトレジスト損傷)が起こり、温度に依存して化学反応が変化する可能性がある。基板温度は、また、基板面上のポリフルオロカーボン等の高分子フィルム堆積率を変更することによってプラズマ選択性に大きな影響を与えるかもしれない。慎重にモニタすることで、変化を最小限に抑えることができ、他のパラメターのために、より広いプロセス・ウインドーを提供することができ、処理制御を向上させることができる。しかしながら、実際は、プラズマ処理に影響を与えることなく、in situ温度を直接決定することは困難かもしれない。よって、物理的に基板と接触しない間接温度測定(ITM)装置が好まれる。
しかしながら、ITM装置の中には、近接するその他の構成物(例えば、チャック等)により伝播される温度エネルギーから基板温度を十分に隔離するのは不可能かもしれない。例えば、サーモカップルを基板と熱的接触するチャックに取り付けてもよい。基板と異なる熱容量を有するチャックもまた、異なる温度を有するかもしれない。よって、ITM装置は、特定の処理方法における特定の基板構造に概ね校正されていなければならない。
逆に、他のITM装置は、温度的に基板を隔離することができるが、それ自体が基板間の物理的変動に敏感なため、測定温度に影響がでる。よって、ITM装置もまた校正される必要がある。例えば、基板温度と相互に関連する基板の放出放射線(例えば、光ルミネッセンス)の輝度を測定するのに電磁パイロメータを用いてもよい。一般的には、基板は、ある周波数の電磁放射線を吸収し、基板の特定の構成、構成物、および特性に対応する他の周波数で放射線を放出することができる。
一般的には、数種の校正方法がある。特に、ある校正方法では、ITM装置で測定したin situ第一の基板温度を、より正確な校正装置で測定した同基板の第二の温度と比較する工程を含む。しかしながら、校正装置は、その一部において、一般的には、基板と物理的に接触する必要があるので、校正装置は、一般的には、通常の基板処理には適さない。例えば、特定の処理において特定の基板構造のバッチ処理を行う前に、ITM装置は校正装置で校正される。一旦校正されると、通常の基板処理が始まる。
蛍光体を用いた温度計測が一般的にITM装置の校正に用いられる。第一の波長帯内の無機蛍光体に対する第一の伝播光(電磁放射線)、およびその後に、第二の波長帯内の蛍光応答の減衰率を測定することにより、蛍光体の温度およびこの蛍光体を配した基板の温度を決定することができる。さらに、蛍光体は、物理的に基板と接触するので、蛍光体の温度計測法は、一般的には製作基板に用いないほうがよい。
一般的に、蛍光体は、微量元素にドープされた微細粒子で、短波長光(紫外線または青)で励起されると、長波光を射出する。セラミック蛍光体は、非常に高温に耐え得るので、一般的には、プラズマ処理室として好ましい。セラミック蛍光体は、一般的には、無機、非金属、および結晶構造(例えば、Eu,Dy,またはTmでドープされたY1512(YAG)、EuでドープされたY、または同様の希土類化合物)である。
校正基板の場合、蛍光体粒子は、基板面、一般的にはチャックに対向する基板側壁、に貼り付けられ、チャック内の空洞部に位置されるレーザー/センサ兼用器具によって照射される。一般的に、校正基板は、蛍光体粒子が配される基板面上に特別なノッチを有してもよい。構造によっては、蛍光体粒子は、結合材を用いて基板に直接貼り付けられる。バインダーは、塗装すると、蛍光体粒子に均一性と凝固性を提供しやすい材料であり、基板面自体と結合しやすい。他の構造では、蛍光体粒子は、基板に取り付けられるパッチに埋め込まれる。通常は、静電気力によって、チャック上の基板を固定するチャック処理と緩衝するのを防止するために、バインダーと組み合わされ、または蛍光体パッチ上に配された蛍光体粒子は、ノッチ内の凹部に配される。
図1を参照すると、基板製作に用いられる一般的な蛍光体温度計測器構造が図示されている。一般的には、チャック116上に位置される半導体基板またはガラス板等の基板114の露出領域を処理(例えば、エッチングまたは堆積)するために、適当なガスが流され、イオン化され、プラズマ110を形成する。基板114は、上記の蛍光体材料140でさらに構成される。光ファイバーセンサ/トランスミッタ142は、レーザーが蛍光体材料140に伝播され、その結果、蛍光応答が測定されるように位置づけされる。さらに、観察された蛍光応答を記録し、その蛍光応答を適当な基板温度に関連付けることができるデータ取得および分析装置144が光ファイバーセンサ/トランスミッタ142に連結される。
図2を参照すると、蛍光体温度計測器を備える、容量結合プラズマ処理システムの略図が図示されている。一般的に、容量結合プラズマ処理システムは、1つまたは複数の独立したRFソース発生器を備えて構成され得る。RFソース発生器134によって発生されたRFソースは、一般的には、プラズマを発生させるために用いられ、また同様に、容量結合を介してプラズマ濃度を制御するのに用いられる。RFバイアス発生器138によって発生されたRFバイアスは、一般的には、DCバイアスとイオン衝撃エネルギーを制御するのに用いられる。さらに、RF電源のインピーダンスをプラズマ110のインピーダンスにマッチさせる、マッチング回路136がRFソース発生器134およびRFバイアス発生器138に連結されている。容量リアクタの他の形態は、RF電源と頂部電極104に繋がるマッチング回路を有する。さらに、同様のRF装置と電極装置に従う三極管等のマルチアノードシステムがある。
一般的に、適当な種類のガスが、ガス供給システム122からプラズマ室壁117を有するプラズマ室102内へ頂部電極104の給気口を通って流れ込む。これらのプラズマ処理ガスは、順次イオン化されてプラズマ110を形成し、電極を兼ねたチャック116上のエッジリング115に位置づけされる半導体基板またはガラス板等の基板114の露出領域を処理(例えば、エッチングまたは堆積)する。さらに、チャック116は、光ファイバーセンサ/トランスミッタ142がレーザーを蛍光体材料140に伝播し、その結果、蛍光応答を測定するように、空洞部を備えて構成されてもよい。さらに、バルブ112と一組のポンプ111を含む真空システム113は、一般的には、プラズマ110を維持するのに必用な圧力を得るために、プラズマ室102から雰囲気を吸引するのに用いられる。
加えて、ある種の冷却システム(不図示)は、プラズマが発火すると、熱平衡を得るために、チャック116に連結される。冷却システム自体は、通常、チャック内の空洞を通って冷却剤をくみ上げる冷却装置と、チャックと基板の間からくみ上げられるヘリウムガスからなる。加えて、発生した熱を除去するために、冷却装置は、ヘリウムガスによっても熱放散を制御することが可能である。即ち、続いてヘリウム圧力を上げることによっても、熱伝達率は向上する。
一般的には、即発蛍光減衰時間tは、温度関数に比例し、下記の式で定義される。
Figure 0005010610
ここで、Iは蛍光輝度(−)、Iは最初の蛍光輝度(−)、tは励起中断(s)からの時間、tは即発蛍光減衰時間(s)である。蛍光輝度の統合は任意である。輝度を、元の値のe−1(36.8%)まで減少させるために必用な時間は、即発蛍光減衰時間で定義可能である。(Advances In High Temperature Phosphor Thermometry For Aerospace Applications,by S.W.Allison et al.,American Institute of Aeronautics and Astronautics,p.2を参照)
図3を参照すると、各々の主輝線の温度幅が0°Kから1900°Kの範囲を超える確立した蛍光体の寿命減衰特性の略図を図示している。(Fiber Optic Temperature Sensor for PEM Fuel Cells,by S.W.Allison,Oak Ridge National Laboratory,U.S.Department of Energy,p.7を参照)
図4を参照すると、蛍光体粒子がシリコン粘着剤等のバインダーによって基板面に貼り付けられた状態の基板の略図が図示されている。上述の通り、蛍光体粒子は、結合材140aを用いて、一般的にはプラズマ112から離れた基板面上に配されるノッチ406内で基板108に直接貼り付けてもよい。本実施形態においては、幅402は(横軸に沿って)0.25インチであり、高さ404は(垂直軸に沿って)約0.006インチである。
図5を参照すると、蛍光体パッチを備える基板の略図が図示されている。上述の通り、蛍光体パッチ140bは、熱伝導性のシリコン粘着剤505を用いて、一般的にはプラズマ112から離れた基板面上に配されるノッチ406内で基板108に直接貼り付けられていてもよい。
基板ノッチ内のセラミック粒子は、一般的には基板とチャックの間に遮蔽されているが、露出されて、プラズマでエッチングされてもよい。不揮発性セラミック粒子は、プラズマ処理によって発生する他の有機または無機副産物と自由に結びついて、プラズマ室の内壁面に堆積可能である。これらの副産物は、薄片であり、基板の欠陥を引き起こしやすく、平均洗浄間隔(MTBC)を減少させたり、生産量を減少させたりするかもしれない。
上記に鑑みて、プラズマ室の汚染を最小限に抑えつつ、基板温度決定装置とその決定方法を取得するのが望ましい。
一実施形態における本発明は、基板温度測定装置に関する。この装置は、基板と熱的接触する蛍光体材料を含み、この蛍光体材料は、第二の波長帯において電磁放射線に曝されると、第一の波長帯において蛍光応答を生じさせ、この蛍光応答は、蛍光体材料の温度と関連する減衰率で減衰し、さらにこの蛍光体材料は、プラズマに曝されると、第一の組の不揮発性副産物を生じる。装置は、また、蛍光体材料とプラズマとの間に位置づけられる遮蔽窓を含み、この遮蔽窓は、第一の波長と第二の波長を少なくとも部分的に伝播可能とし、この遮蔽窓は、プラズマに曝されると、第一の組の不揮発性副産物よりも少量の第二の組の不揮発性副産物を生じさせ、電磁放射線が遮蔽窓を介して蛍光体材料に伝播されると、蛍光応答の減衰率から温度が決定される。
一実施形態における本発明は、基板温度測定装置に関する。この装置は、蛍光体材料を基板に熱的結合させる手段と、第二の波長帯において電磁放射線に曝されると、第一の波長帯内で蛍光応答を生じさせる蛍光体材料と、蛍光体材料の温度に関連する減衰率で減衰する蛍光応答と、プラズマに曝されると、第一の組の不揮発性副産物を生じさせる蛍光体材料とを含む。この装置は、また、蛍光体材料とプラズマとの間に遮蔽窓を位置づける手段を含み、遮蔽窓によって、第一の波長と第二の波長を少なくとも部分的に伝播可能とし、この遮蔽窓は、プラズマに曝されると、第一の組の不揮発性副産物よりも少量の第二の組の不揮発性副産物を生じさせる。さらに、この装置は、電磁放射線が遮蔽窓を介して蛍光体材料に伝播されると、蛍光応答の減衰率から温度を決定する手段を含む。
一実施形態における本発明は、基板温度測定方法に関する。この方法は、蛍光体材料を基板に熱的結合させる工程と、第二の波長帯における電磁放射線に曝されると、蛍光体材料が第一の波長帯における蛍光応答を生じる工程と、蛍光体材料の温度に関連する減衰率で蛍光応答が減衰する工程と、プラズマに曝されると、蛍光体材料が第一の組の不揮発性副産物を生じさせる工程とを含む。この方法は、また、蛍光体材料とプラズマの間に遮蔽窓を配する工程を含み、この遮蔽窓によって、第一の波長と第二の波長を少なくとも部分的に伝播可能で、さらにこの遮蔽窓は、プラズマに曝されると、第一の組の不揮発性副産物よりも少量の第二の組の不揮発性副産物を生じさせる。この方法は、電磁放射線が遮蔽窓を介して蛍光体材料に伝播されると、蛍光応答の減衰率から温度を決定する工程を含む。
本発明の上記特徴およびその他の特徴は、添付の図面を参照しつつ、以下の本発明の詳細な説明に詳細に記載される。
本発明は、添付図面に図示されるような2,3の好ましい実施形態を参照して、以下に詳細に説明される。以下の説明において、特定された多数の詳細は、本発明の全体を理解するために提供されたものである。しかしながら、当業者であれば、本発明はこれらの特定の詳細のいくつかまたは全てを参照しなくても実施可能であることは明らかである。一方では、本発明を不必要に漠然とさせないように、周知の工程および/または構成は詳細に説明していない。
本発明者は、理論に縛られず、セラミックの蛍光体粒子とプラズマとの間に遮蔽窓を配することにより、プラズマ室の汚染を最小限に抑えつつ、蛍光体温度計測器を用いて基板温度を決定することができるものと考える。一実施形態では、遮蔽窓は石英からなる。一実施形態では、遮蔽窓はサファイアからなる。一実施形態では、遮蔽窓はガラスからなる。一実施形態では、このガラスはホウケイ酸塩を含む。一実施形態では、遮蔽窓はMgFからなる。
自明ではないが、セラミックの蛍光体粒子とプラズマとの間に配されるプラズマ室内の予備構造、即ち遮蔽窓によって、プラズマ室内の汚染を軽減することができる。基板とチャックとの間に配されるセラミックの蛍光体粒子によって最低限の汚染危機が誘発されると一般的には考えられている。しかしながら、一般的には、基板質量によって遮蔽されているものの、基板ノッチ内のセラミック粒子はプラズマに曝されており、それによって、不揮発性副産物が生じる。プラズマ処理で発生する他の有機及び無機副産物を組み合わせると、不揮発性副産物は、内部壁およびプラズマ室壁に堆積する可能性があり、その結果、フィルムをプラズマ室から効率よく排出するのが困難となる。
装置の寸法が小さくなり、進歩した材料が使用されるようになるに従って、汚染がより顕著になる。汚染の例としては、高誘電率絶縁膜(HfOx,HfSixOy等)副産物、金属電極(Pt,Ir,IrOx等)副産物、記憶物質副産物(PtMn,NiFe,CoFe,FeW等)、相互連結副産物(Cu,Ru,CoWP,Ta等)がある。結果的に発生する汚染物質は薄片であるため、基板の傷を起しやすく、平均洗浄間隔(MTBC)の平均時を減少させたり、生産量を減少させるたりする。例えば、プラズマ処理によっては、導電性被膜の堆積物はプラズマ室の内壁面に堆積し、プラズマソースおよびプラズマバイアスのFW結合に衝撃を与えるかもしれない。さらに、副産物の堆積によって、プラズマ密度ドリフトが引き起こされるかもしれない。
プラズマ室内の壁面に堆積物が貼り付く程度とその結果室内が汚染するであろう可能性の程度は、普通は、特定のプラズマ処理方法(例えば、化学、応力および温度)と、プラズマ室処理キットの初期の壁面状態によって決まる。一般的に、比較的安定した架橋構造が出来上がるので、有機結合は非常に強く、接着性(即ち、C−H,C−C,C=C,C−O,C−N等)がある。これらの副産物は、薄片であり、基板を傷つき易くし、平均洗浄間隔(MTBC)間の平均時を減少させ、生産量を減少させたりする。例えば、プラズマ処理によっては、副産物の導電性被膜は、プラズマ室内の壁面に形成されて、プラズマソースおよびプラズマバイアスのFW結合に衝撃を与えるかもしれない。
一実施形態では、遮蔽窓は、石英(SiO)からなる。石英は、プラズマに曝すと容易に除去できる揮発性の副産物を生じる傾向があるので、プラズマ室に一般的に用いられる。例えば、
Figure 0005010610
Figure 0005010610
図6を参照すると、石英(SiO)の伝播グラフが図示されている。一般的に、石英は、セラミック蛍光体を照明するために、約0.25ミクロンから約3.5ミクロンの波長帯の間で光の実質的な部分を伝播することができる。
図7を参照すると、ホウケイ酸塩ガラスの伝播グラフが図示されている。Pyrex(登録商標)、またはBorofloate(登録商標)としても知られているホウケイ酸塩ガラスは、一般的には、プラズマに対して化学的に不活性であり、そのため、不揮発性副産物をほとんど生じさせない。一般的に、ホウケイ酸塩ガラスは、セラミック蛍光体を照明するために、約0.5ミクロンから約2.5ミクロンの間の波長帯で光の実質的な部分を伝播することができる。
図8を参照すると、サファイアの伝播グラフが図示されている。サファイアは、一般的にはプラズマに対して化学的に不活性であり、そのため、副産物をほとんど生じさせない。一般的に、サファイアは、セラミック蛍光体を照明するために、約0.2ミクロンから約5ミクロンの間の波長帯で光の実質的な部分を伝播することができる。
図9を参照すると、フッ化マグネシウム(MgF)の伝播グラフが図示されている。一般的に、MgFは、セラミック蛍光体を照明するために、約0.2ミクロンから約5ミクロンの間の波長帯で光の実質的な部分を伝播することができる。MgFは、プラズマに曝されても、凹凸が多く、耐久性があるので、サファイア同様、副産物をほとんど生じさせない。一実施形態において、石英の遮蔽窓は、サファイアおよび/またはMgFでプラズマ側が被覆され、実質的にエッチングが軽減され、それによって、副産物の発生を減少させる。
一実施形態において、遮蔽窓は、波面の歪曲の伝播を低下させるような構造を有する。一般的に、伝播された波面の歪曲は、遮蔽窓の屈折率の均質性を測定することにより、算出される。
図10Aを参照すると、本発明の一実施形態による基板の略図が図示されており、遮蔽窓は粘着剤で基板に固定されている。上述の通り、遮蔽窓1040aは、石英、サファイア、ガラス、およびMgFの少なくともいずれかからなる。一実施形態において、粘着剤1005はシリコン粘着剤であってもよい。一実施形態において、蛍光体粒子1040bは、基板に貼り付ける前に、シリコン粘着剤等の結合材を用いて、遮蔽窓1040aに直接貼り付けられていてもよい。上述の通り、ノッチ1006は、一般的には、プラズマ112から離れた基板面上に配される。一実施形態において、遮蔽窓1040aは、レンズ形状をしているので、蛍光応答に焦点を合わせることができる。この実施形態の利点は、最低限の不揮発性副産物で基板温度を測定することができ、損傷を受けた場合に、セラミックの蛍光体粒子を変更または取り替えることができ、さらに、基板製作設備でセラミックの蛍光体粒子を加えたり、取り替えたりすることができる点である。
図10Bを参照すると、本発明の一実施形態による基板の略図が図示されており、セラミック蛍光体粒子が遮蔽窓に形成されている。上述の通り、遮蔽窓1040cは、石英、サファイア、ガラス、およびMgFの少なくともいずれかで構成されてもよい。一実施形態において、粘着剤1005はシリコン粘着剤である。ノッチ1006は、一般的には、プラズマ112から離れた基板面上に配される。この実施形態の利点は、最低限の不揮発性副産物の汚染で基板温度の測定をし、損傷を受けた場合に、セラミックの蛍光体粒子および遮蔽窓を一体部品として変更または取り替えることが可能で、一体部品としてのセラミックの蛍光体粒子と遮蔽窓を基板製作設備で追加または取り替えることができ、個別の構成要件とは対照的に、一つの基板に対して一つの部品だけを注文すればよいので、部品の在庫を最小限に抑えることができる点である。
図11Aを参照すると、本発明の一実施形態による基板の略図が図示されており、遮蔽窓は、粘着剤を必要とせずに基板棚に固定されている。上記の通り、遮蔽窓1140aは、石英、サファイア、ガラス、およびMgFのいずれかで構成されてもよい。一実施形態において、遮蔽窓は、シリコン粘着剤等の粘着剤で基板1108に固定されている。一実施形態において、遮蔽窓1140aは、押圧係合により基板ノッチ1106の横合わせ面1107および垂直合わせ面1109と結合するように構成されている。一実施形態において、遮蔽窓は、摩擦係合により基板ノッチの横合わせ面1107および垂直合わせ面1109と結合するように構成されている。摩擦力は、一般的に、除去力に対する表面積全体にかかる抵抗力として定義される。一実施形態において、遮蔽窓の係合面は、ノッチ1106上の対応する係合面に対して保持力を与えることができ、この保持力は、実質的には、摩擦力よりも大きい。保持力は、一般的には、除去力の平行成分に対する抵抗として定義される。
一実施形態において、蛍光体粒子1140bは、シリコン粘着剤等の結合材を用いて遮蔽窓1140aに直接貼り付けられていてもよい。上記の通り、ノッチ1106は、一般的には、プラズマ112から離れた基板面上に配される。この実施形態の利点は、最低限の不揮発性副産物汚染で基板温度を測定し、2列のノッチによって、セラミックの蛍光体粒子をプラズマから遮蔽可能とし、さらに、蛍光体の厚みの制御性を向上させ、損傷した場合に、セラミックの蛍光体粒子および/または遮蔽窓を交換または取り替えることが可能で、さらに、基板製作設備で、セラミックの蛍光体粒子および/または遮蔽窓を追加または取り替えることができる点である。
図11Bを参照すると、本発明の一実施形態による基板の略図が図示されており、セラミック蛍光体粒子の層が貼り付けられた遮蔽窓が、粘着剤で基板棚に横方向および垂直方向に固定されている。一般的には、異なる校正基板のうち、基板1108とセラミックの蛍光体粒子1140bの層の間の粘着剤の厚みのばらつきにより、温度測定にばらつきがでるかもしれない。つまり、校正基板(プラズマ力を加えられた)に応力が加えられると、様々な厚みの粘着剤の層が結果的に、熱エネルギーを伝え、セラミックの蛍光体粒子に対する比率にばらつきを与える可能性がある。例えば、ITM装置の校正がうまくいっている間に、第一の校正基板(セラミックの蛍光体粒子が貼り付けられた)が、第二の校正基板と交換され、校正基板間の粘着剤の層の厚みが異なると、誤ってITM装置に起因して測定温度のばらつきを示すかもしれない。それによって、プラズマ処理システム自体に対して一般的には知られていない校正エラーが導入されることになるかもしれない。しかしながら、利点としては、遮蔽窓1140aを部分的に基板棚(横合わせ面)1107に固定することで、遮蔽窓と基板との間で固定された範囲と厚みで形成された空洞部1156を作り出し、温度測定のばらつきを最低限に抑える。
上記の通り、ノッチ1106は、一般的には、プラズマ112から離れた基板面上に配される。この実施形態において、粘着剤1156は、横軸および垂直軸の両方に沿って、セラミックの蛍光体粒子1140bの層と接触してもよい。一実施形態において、セラミックの蛍光体粒子1140bの層は、シリコン粘着剤等の結合材を用いて遮蔽窓1140aに直接貼り付けられていてもよい。一実施形態において、蛍光体材料1140bのバインダーは、硬化時に収縮して、セラミックの蛍光体粒子の層を引っ張り、それによって、遮蔽窓1140aをノッチ1106内へ引き入れる粘着剤である。遮蔽窓1140aは、石英、サファイア、ガラス、およびMgFの少なくとも一つからなるのがよい。この実施形態の利点は、最低限の不揮発性副産物汚染で基板温度を測定し、二つ以上の校正基板の間で校正エラーを最小に抑えることである。
図11Cを参照すると、本発明の一実施形態による基板の略図が図示されており、セラミックの蛍光体粒子の層が貼り付けられた遮蔽窓が、粘着剤で横向きに基板棚に固定されている。上記の通り、ノッチ1106は、一般的には、プラズマ112から離れた基板面上に配される。この実施形態では、粘着剤1156が横軸に沿って、セラミックの蛍光体粒子1140bの層と接触してもよい。一実施形態において、セラミックの蛍光体粒子1140bの層は、シリコン粘着剤等の結合材を用いて遮蔽窓1140aに直接貼り付けられていてもよい。一実施形態において、蛍光体材料1140bのバインダーは、硬化時に収縮して、セラミックの蛍光体粒子の層を引っ張り、それによって、遮蔽窓1140aをノッチ1106内へ引き入れる粘着剤である。この実施形態の利点は、最低限の不揮発性副産物汚染で基板温度を測定し、二つ以上の校正基板の間の校正エラーを最小に抑える点である。
図12を参照すると、本発明の一実施形態による基板の略図であり、遮蔽窓は、一組の円形ガスケットで固定されている。一実施形態において、ガスケット1211は、網戸の周囲のゴムガスケットのような、単体のガスケットである。一実施形態において、ガスケット1211は、押圧係合で基板ノッチ1106の横合わせ面1207および/または垂直合わせ面1209と係合するよう構成されている。上述の通り、遮蔽窓1240aは、石英、サファイア、ガラス、およびMgFのいずれか一つで構成されてもよい。一実施形態において、蛍光体粒子1240bは、シリコン粘着剤等の結合材を用いて遮蔽窓1240aに直接貼り付けられていてもよい。上述の通り、ノッチ1206は、一般的には、プラズマ112から離れた基板面上に配される。一実施形態において、ガスケット1211はゴムで形成される。一実施形態において、ガスケット1211は、ポリイミド材料で形成される。一実施形態において、ガスケット1211はゴムで形成される。一実施形態において、ガスケット1211は、シリコン材料で形成される。この実施形態の利点は、最低限の不揮発性副産物汚染で基板温度を測定し、ガスケットを使用することにより、損傷を受けた場合に、セラミックの蛍光体粒子および/または遮蔽窓を容易に取替えまたは交換可能とし、さらに、セラミックの蛍光体粒子および/または遮蔽窓を基板製作設備で付加または取り替えることができる点である。
図13を参照すると、本発明の一実施形態による基板の略図が図示されており、遮蔽窓は一組の長方形のガスケットで固定されている。一実施形態において、長方形のガスケット1311は、網戸の周囲のゴムガスケットのような単体のガスケットである。一実施形態において、長方形のガスケット1311は、遮蔽窓をノッチ1306に確実に取り付けるために、垂直合わせ面1309まで伸びる角度を持った突起を有する。一実施形態において、長方形のガスケット1311は、押圧係合で基板ノッチ1106の横合わせ面および/または垂直合わせ面に係合するように構成されている。一実施形態において、長方形のガスケット1311はゴムで形成されている。一実施形態において、長方形のガスケット1311はポリイミド材料で形成されている。一実施形態において、長方形のガスケット1311はゴムで形成されている。一実施形態において、ガスケット1311はシリコン材料で形成さている。この実施形態の利点は、最低限の不揮発性副産物汚染で基板温度を測定し、損傷を受けた場合に、ガスケットを用いて、セラミックの蛍光体粒子および/または遮蔽窓を容易に取替えまたは交換可能とし、さらに、セラミックの蛍光体粒子を基板製作設備で付加または取り替えることができる点である。
図14を参照すると、本発明の一実施形態による遮蔽窓の略図であり、一組の異なるセラミック蛍光体が配されている。例えば、遮蔽窓1440aには、第一の温度範囲を測定可能な第一のセラミック蛍光体1440bと、第二の温度範囲を測定可能な第二のセラミック蛍光体1440cと、第三の温度範囲を測定可能な第三のセラミック蛍光体1440dが配されてもよい。この実施形態の利点は、少なくとも3つの異なる温度範囲において基板温度を測定し、全てのセラミック蛍光体粒子を一体として交換可能である点である。
図15を参照すると、本発明の一実施形態による基板の温度測定方法が簡潔に示されている。まず、1502で、蛍光体材料(例えば、石英、サファイア、ガラス、およびMgF等)が基板に結合され、蛍光体材料は、第二の波長帯において電磁放射線に曝されると、第一の波長帯において蛍光応答を生じさせ、この蛍光応答は蛍光体材料の温度と関連する減衰率で減衰し、さらに、蛍光体材料は、プラズマに曝されると、第一の組の不揮発性副産物を生じさせる。次に、1504で、遮蔽窓は、蛍光体材料とプラズマの間に配され、少なくとも第一の波長と第二の波長が部分的に伝播されるようにし、さらにプラズマに曝されると、第一の組の不揮発性副産物よりも少量の第二の組の不揮発性副産物を生じさせる。遮蔽窓を基板に固定する方法は、粘着剤や一組のガスケット等を含む。最後に、1506で、電磁放射線が遮蔽窓を介して蛍光体材料に伝播されると、蛍光応答の減衰率から基板温度が決定される。
本発明は、いくつかの好ましい実施形態に関連して説明してきたが、本発明の範囲に属する変更例、変形例および均等物もある。例えば、本発明は、ラム・リサーチ・プラズマ処理システム(例えば、Exelan(登録商標)、Exelan(登録商標)HP、Exelan(登録商標)HPT、2300(登録商標)、Versys(登録商標)スター、等)に関連して説明されてきたが、他のプラズマ処理システムを用いてもよい。この発明は、また、様々な直径(例えば、200mm、300mm、LCD、等)を有する基板に用いられてもよい。
本発明の利点は、基板温度決定装置およびその決定方法を利用する点である。他の利点は、プラズマ室内のセラミックの蛍光体粒子汚染を実質的に軽減し、セラミックの蛍光体粒子および/または遮蔽窓が、損傷を受けた場合に、交換または取り替えられるようにしている点である。
例示としての実施形態および最良の実施形態を説明してきたが、添付の請求項に記載された本発明の主旨および請求の範囲の範囲内にとどまる限りは、開示された実施形態を変更および変形してもよい。
本発明は、図面では同様の参照番号は同様の構成要件を示しつつ、添付の図面に例示によって図示されているが、本発明はこれらに限定されるものではない。
図1は、基板製作に用いられる一般的な蛍光体温度計測器の構造を図示する。 図2は、蛍光体温度計側器を備える容量結合プラズマ処理システムの略図である。 図3は、各主輝線の0°Kから1900°Kの温度範囲を超える蛍光体の寿命減衰特徴の略図である。 図4は、基板の略図であり、蛍光体粒子が、シリコン粘着剤等のバインダーによって基板面に貼り付けられていることを図示する。 図5は、蛍光体パッチを備える基板の略図である。 図6は、石英(SiO)の伝播グラフである。 図7は、ホウケイ酸ガラスの伝播グラフである。 図8は、サファイアの伝播グラフである。 図9は、フッ化マグネシウム(MgF)の伝播グラフである。 図10Aは、本発明の一実施形態による基板の略図であり、遮蔽窓は、粘着剤で基板に固定されていることを示す。 図10Bは、本発明の一実施形態による基板の略図であり、セラミック蛍光体粒子は、粘着剤で基板に固定された遮蔽窓に加工されていることを示す。 図11Aは、本発明の一実施形態による基板の略図であり、遮蔽窓は粘着剤を必要とせずに基板棚に固定されていることを示す。 図11Bは、本発明の一実施形態による基板の略図であり、セラミック蛍光体粒子の層を貼り付けた遮蔽窓は、基板棚に粘着剤で横および垂直方向に固定されていることを示す。 図11Cは、本発明の一実施形態による基板の略図であり、セラミック蛍光体粒子の層を貼り付けた遮蔽窓は、基板棚に粘着剤で横方向に固定されていることを示す。 図12は、本発明の一実施形態による基板の略図であり、遮蔽窓は、一組の円形のガスケットで固定されていることを示す。 図13は、本発明の一実施形態による基板の略図であり、遮蔽窓は、一組の長方形のガスケットで固定されていることを示す。 図14は、本発明の一実施形態による遮蔽窓の略図であり、異なる一組のセラミック蛍光体が配されていることを示す。 図15は、本発明の一実施形態による基板温度測定方法を簡略的に図示する。

Claims (21)

  1. プラズマ処理システムにおいて、基板に熱的接触する蛍光体材料であって、前記蛍光体材料は第二の波長帯において電磁放射線に曝されると、第一の波長帯において蛍光応答を生じさせ、前記蛍光応答は前記蛍光体材料の温度に関連する減衰率で減衰し、さらに前記蛍光体材料は、プラズマに曝されると、第一の組の不揮発性副産物を生じさせる、蛍光体材料と、
    前記蛍光体材料とプラズマとの間に配される遮蔽窓であって、前記遮蔽窓によって、前記第一の波長と前記第二の波長が少なくとも部分的に伝播され、前記遮蔽窓は、プラズマに曝されると、前記第一の組の不揮発性副産物よりも少量の第二の組の不揮発性副産物を生じさせる遮蔽窓とを備え、
    前記蛍光体材料および前記遮蔽窓は前記基板内のノッチに配され、
    前記電磁放射線が前記遮蔽窓を介して前記蛍光体材料に伝播されると、前記温度は前記蛍光応答の減衰率から決定される、基板温度測定装置。
  2. 前記蛍光体材料はセラミックである請求項1に記載の装置。
  3. 前記遮蔽窓は、石英、サファイア、ガラス、ホウケイ酸塩、およびMgFのいずれかからなる請求項1又は2に記載の装置。
  4. センサ/トランスミッタは前記第二の波長帯において第二の波長を伝播し、前記センサ/トランスミッタは前記第一の波長帯において第一の波長を測定する請求項1〜のいずれか1項に記載の装置。
  5. 前記基板はチャック上に配される請求項1〜のいずれか1項に記載の装置。
  6. 前記センサ/トランスミッタは前記チャック内に配される請求項5に記載の装置。
  7. 前記遮蔽窓は、粘着剤、摩擦係合、押圧係合、およびガスケットのいずれかによって、前記基板に固定される請求項1〜のいずれか1項に記載の装置。
  8. プラズマ処理システムにおいて、蛍光体材料を基板に熱的結合する手段であって、前記蛍光体材料は、第二の波長帯において電磁放射線に曝されると、第一の波長帯において蛍光応答を生じさせ、前記蛍光応答は、前記蛍光体材料の温度に関連する減衰率で減衰し、さらに前記蛍光体材料は、プラズマに曝されると第一の組の不揮発性副産物を生じさせる手段と、
    前記蛍光体材料とプラズマとの間に遮蔽窓を配する手段であって、前記遮蔽窓により、前記第一の波長および前記第二の波長を少なくとも部分的に伝播することが可能で、前記遮蔽窓は、プラズマに曝されると、前記第一の組の不揮発性副産物よりも少量の第二の組の不揮発性副産物を生じさせる手段と、
    前記電磁放射線が前記遮蔽窓を介して前記蛍光体材料に伝播されると、前記蛍光応答の前記減衰率から前記温度を決定する手段と、を備え、
    前記蛍光体材料および前記遮蔽窓は前記基板内のノッチに配される、基板温度測定装置。
  9. 前記蛍光体材料はセラミックである請求項記載の装置。
  10. 前記遮蔽窓は、石英、サファイア、ガラス、ホウケイ酸塩、およびMgFのいずれかからなる請求項8又は9記載の装置。
  11. センサ/トランスミッタは、前記第二の波長帯において第二の波長を伝播し、前記センサ/トランスミッタは、前記第一の波長帯において第一の波長を測定する請求項8〜10のいずれか一項に記載の装置。
  12. 前記基板はチャック上に配される請求項8〜11のいずれか一項に記載の装置。
  13. 前記センサ/トランスミッタは前記チャック内に配される請求項12記載の装置。
  14. 前記遮蔽窓は、粘着剤、摩擦係合、押圧係合、およびガスケットのいずれかで、前記基板に固定される請求項8〜13のいずれか一項に記載の装置。
  15. プラズマ処理システムにおいて、
    蛍光体材料を基板に熱的結合させる工程であって、前記蛍光体材料は第二の波長帯において電磁放射線に曝されると、第一の波長帯において蛍光応答を生じさせ、前記蛍光応答は前記蛍光体材料の温度に関連する減衰率で減衰し、さらに前記蛍光体材料は、プラズマに曝されると、第一の組の不揮発性副産物を生じさせる工程と、
    前記蛍光体材料とプラズマとの間に遮蔽窓を配する工程であって、前記蛍光体材料および前記遮蔽窓は、前記基板内のノッチに配され、前記遮蔽窓によって、前記第一の波長と前記第二の波長が少なくとも部分的に伝播可能であり、前記遮蔽窓は、プラズマに曝されると、前記第一の組の不揮発性副産物より少量の第二の組の不揮発性副産物を生じさせる工程と、
    前記電磁放射線が前記遮蔽窓を介して前記蛍光材料に伝播されると、前記蛍光応答の減衰率から前記温度を決定する工程とを備える、基板測定方法。
  16. 前記蛍光体材料はセラミックである請求項15記載の方法。
  17. 前記遮蔽窓は、石英、サファイア、ガラス、ホウケイ酸塩、およびMgFのいずれかからなる請求項15又は16記載の方法。
  18. センサ/トランスミッタは前記第二の波長帯において第二の波長を伝播し、前記センサ/トランスミッタは前記第一の波長帯において第一の波長を測定する請求項15〜17のいずれか一項に記載の基板温度測定方法。
  19. 前記基板はチャック上に配される請求項15〜18のいずれか一項に記載の方法。
  20. 前記センサ/トランスミッタは前記チャック内に配される請求項19記載の方法。
  21. 前記遮蔽窓は、粘着剤、摩擦係合、押圧係合、およびガスケットのいずれかで、前記基板に固定される請求項15〜20のいずれか一項に記載の方法。
JP2008532277A 2005-09-22 2006-09-12 基板温度決定装置およびその決定方法 Expired - Fee Related JP5010610B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/233,561 2005-09-22
US11/233,561 US7578616B2 (en) 2005-09-22 2005-09-22 Apparatus for determining a temperature of a substrate and methods therefor
PCT/US2006/035620 WO2007037983A2 (en) 2005-09-22 2006-09-12 Apparatus for determining a temperature of a substrate and methods therefor

Publications (2)

Publication Number Publication Date
JP2009509356A JP2009509356A (ja) 2009-03-05
JP5010610B2 true JP5010610B2 (ja) 2012-08-29

Family

ID=37884028

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008532277A Expired - Fee Related JP5010610B2 (ja) 2005-09-22 2006-09-12 基板温度決定装置およびその決定方法

Country Status (6)

Country Link
US (2) US7578616B2 (ja)
JP (1) JP5010610B2 (ja)
KR (1) KR101277905B1 (ja)
CN (1) CN101268346B (ja)
TW (1) TWI408348B (ja)
WO (1) WO2007037983A2 (ja)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7080940B2 (en) * 2001-04-20 2006-07-25 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US7578616B2 (en) * 2005-09-22 2009-08-25 Lam Research Corporation Apparatus for determining a temperature of a substrate and methods therefor
JP4916326B2 (ja) * 2007-01-31 2012-04-11 東京エレクトロン株式会社 温度モニタ用基板の検査装置及び検査方法
US8523427B2 (en) * 2008-02-27 2013-09-03 Analog Devices, Inc. Sensor device with improved sensitivity to temperature variation in a semiconductor substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014142188A (ja) * 2013-01-22 2014-08-07 Mitsubishi Cable Ind Ltd 温度センサ
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2016007462A1 (en) * 2014-07-08 2016-01-14 Watlow Electric Manufacturing Company Bonded assembly with integrated temperature sensing in bond layer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10861682B2 (en) 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6722909B2 (ja) * 2016-08-30 2020-07-15 パナソニックIpマネジメント株式会社 色変換素子及び照明装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108511510B (zh) * 2017-02-28 2021-04-30 中芯国际集成电路制造(上海)有限公司 温度监控晶圆以及腔室温度的监控方法
US10746608B2 (en) 2017-03-22 2020-08-18 Lumasense Technologies Holdings, Inc. Photoluminescent thermometric target
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20200398305A1 (en) * 2019-06-18 2020-12-24 National Technology & Engineering Solutions Of Sandia, Llc Aerosol Deposition of Thermographic Phosphor Coatings
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11630001B2 (en) * 2019-12-10 2023-04-18 Applied Materials, Inc. Apparatus for measuring temperature in a vacuum and microwave environment
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US10793772B1 (en) 2020-03-13 2020-10-06 Accelovant Technologies Corporation Monolithic phosphor composite for sensing systems
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
CN111982339B (zh) * 2020-08-26 2022-09-16 中国烟草总公司郑州烟草研究院 一种负载温敏型标识物的检测试纸及制备方法和应用
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11359976B2 (en) 2020-10-23 2022-06-14 Accelovant Technologies Corporation Multipoint surface temperature measurement system and method thereof
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11353369B2 (en) 2020-11-05 2022-06-07 Accelovant Technologies Corporation Optoelectronic transducer module for thermographic temperature measurements
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11573133B2 (en) 2021-07-08 2023-02-07 Advanced Energy Industries, Inc. Optical temperature sensor with monolithic crystalline phosphor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4215275A (en) * 1977-12-07 1980-07-29 Luxtron Corporation Optical temperature measurement technique utilizing phosphors
US4437772A (en) * 1979-09-10 1984-03-20 Samulski Thaddeus V Luminescent decay time techniques for temperature measurement
US5090818A (en) * 1982-08-06 1992-02-25 Kleinerman Marcos Y Fiber optic systems for sensing temperature and other physical variables
US5222810A (en) * 1982-08-06 1993-06-29 Kleinerman Marcos Y Fiber optic systems for sensing temperature and other physical variables
US4708494A (en) * 1982-08-06 1987-11-24 Marcos Kleinerman Methods and devices for the optical measurement of temperature with luminescent materials
US4652143A (en) * 1984-11-29 1987-03-24 Luxtron Corporation Optical temperature measurement techniques
US4626110A (en) * 1985-05-03 1986-12-02 Luxtron Corporation Technique for optically measuring the temperature of an ultrasonically heated object
US4789992A (en) * 1985-10-15 1988-12-06 Luxtron Corporation Optical temperature measurement techniques
US4883354A (en) * 1985-10-25 1989-11-28 Luxtron Corporation Fiberoptic sensing of temperature and/or other physical parameters
US4752141A (en) * 1985-10-25 1988-06-21 Luxtron Corporation Fiberoptic sensing of temperature and/or other physical parameters
US4988212A (en) * 1985-10-25 1991-01-29 Luxtron Corporation Fiberoptic sensing of temperature and/or other physical parameters
US4785824A (en) * 1987-06-22 1988-11-22 Luxtron Corporation Optical fiber probe for measuring the temperature of an ultrasonically heated object
US4859079A (en) * 1988-08-04 1989-08-22 Luxtron Corporation Optical system using a luminescent material sensor for measuring very high temperatures
US5109595A (en) * 1989-03-30 1992-05-05 Luxtron Corporation Method of making a fiberoptic sensor of a microwave field
US5110216A (en) * 1989-03-30 1992-05-05 Luxtron Corporation Fiberoptic techniques for measuring the magnitude of local microwave fields and power
US4986671A (en) * 1989-04-12 1991-01-22 Luxtron Corporation Three-parameter optical fiber sensor and system
US5107445A (en) * 1990-12-04 1992-04-21 Luxtron Corporation Modular luminescence-based measuring system using fast digital signal processing
US5112137A (en) * 1991-04-10 1992-05-12 Luxtron Corporation Temperature measurement with combined photo-luminescent and black body sensing techniques
US5183338A (en) * 1991-04-10 1993-02-02 Luxtron Corporation Temperature measurement with combined photo-luminescent and black body sensing techniques
JPH05144777A (ja) * 1991-11-21 1993-06-11 Sony Corp 低温ドライエツチング装置
US5304809A (en) * 1992-09-15 1994-04-19 Luxtron Corporation Luminescent decay time measurements by use of a CCD camera
US5414266A (en) * 1993-06-11 1995-05-09 Luxtron Corporation Measuring system employing a luminescent sensor and methods of designing the system
US5470155A (en) * 1993-06-11 1995-11-28 Luxtron Corporation Apparatus and method for measuring temperatures at a plurality of locations using luminescent-type temperature sensors which are excited in a time sequence
US5876119A (en) * 1995-12-19 1999-03-02 Applied Materials, Inc. In-situ substrate temperature measurement scheme in plasma reactor
US5775808A (en) * 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
WO2000071971A1 (en) 1999-05-24 2000-11-30 Luxtron Corporation Optical techniques for measuring layer thicknesses
US6210605B1 (en) 1999-07-26 2001-04-03 General Electric Company Mn2+ activated green emitting SrAL12O19 luminiscent material
US6616332B1 (en) * 1999-11-18 2003-09-09 Sensarray Corporation Optical techniques for measuring parameters such as temperature across a surface
US6481886B1 (en) * 2000-02-24 2002-11-19 Applied Materials Inc. Apparatus for measuring pedestal and substrate temperature in a semiconductor wafer processing system
JP3480442B2 (ja) * 2000-11-21 2003-12-22 ソニー株式会社 ウエハ処理装置及びウエハ処理方法
US6572265B1 (en) * 2001-04-20 2003-06-03 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US7080940B2 (en) * 2001-04-20 2006-07-25 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
JP3971617B2 (ja) * 2002-02-12 2007-09-05 東京エレクトロン株式会社 真空処理装置用の被処理体温度検出装置、及び該被処理体温度検出装置を備える真空処理装置
US6667527B2 (en) * 2002-05-10 2003-12-23 Applied Materials, Inc Temperature sensor with shell
US7255474B2 (en) * 2003-07-28 2007-08-14 Symyx Technologies, Inc. Parallel infrared spectroscopy apparatus and method
US7578616B2 (en) * 2005-09-22 2009-08-25 Lam Research Corporation Apparatus for determining a temperature of a substrate and methods therefor

Also Published As

Publication number Publication date
CN101268346B (zh) 2012-11-14
US7497614B2 (en) 2009-03-03
TW200730803A (en) 2007-08-16
TWI408348B (zh) 2013-09-11
WO2007037983A2 (en) 2007-04-05
US20070064767A1 (en) 2007-03-22
WO2007037983A3 (en) 2008-01-24
JP2009509356A (ja) 2009-03-05
CN101268346A (zh) 2008-09-17
KR20080053479A (ko) 2008-06-13
US7578616B2 (en) 2009-08-25
KR101277905B1 (ko) 2013-06-25
US20080019418A1 (en) 2008-01-24

Similar Documents

Publication Publication Date Title
JP5010610B2 (ja) 基板温度決定装置およびその決定方法
US11054453B2 (en) Photonic-crystal vapor cells for imaging of electromagnetic fields
CN109346394B (zh) 在用于原位测量的传感器晶片上的抗蚀涂层
KR20140136154A (ko) 증착공정에서 증착 두께 및 균일도를 실시간으로 측정하는 방법 및 장치
TW202200834A (zh) 用於監測半導體製程的系統及方法
KR101134326B1 (ko) 인 시츄 기판 온도 모니터링을 위한 방법 및 장치
CN110277296A (zh) 等离子处理方法以及等离子处理装置
JP2001313285A (ja) プラズマ処理装置及び試料の処理方法
Koshimizu et al. Low-coherence interferometry-based non-contact temperature monitoring of a silicon wafer and chamber parts during plasma etching
JP4166400B2 (ja) 放射温度測定方法
US5985092A (en) Endpoint detection system
KR20160053418A (ko) 증착 속도 예측 방법
JP4980568B2 (ja) 放出電磁放射により基板の温度をその場でモニタリングする方法および装置
US11830779B2 (en) In-situ etch material selectivity detection system
JP3905330B2 (ja) 温度計測装置及びそれを使用する温度計測方法
JP2003115477A (ja) プラズマ処理装置及び試料の処理方法
Kim Polymerization monitoring in plasma etching systems
JPH04315935A (ja) 表面温度測定装置とその測定方法
JP2004342754A (ja) クリーニング処理タイミングの検出方法及びクリーニング処理タイミングの検出装置、並びに半導体製造装置
JPH10340888A (ja) 終点検出システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100810

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111102

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120222

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120229

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120315

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120508

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120601

R150 Certificate of patent or registration of utility model

Ref document number: 5010610

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150608

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees