KR101277905B1 - 기판의 온도를 결정하는 장치 및 방법 - Google Patents

기판의 온도를 결정하는 장치 및 방법 Download PDF

Info

Publication number
KR101277905B1
KR101277905B1 KR1020087007755A KR20087007755A KR101277905B1 KR 101277905 B1 KR101277905 B1 KR 101277905B1 KR 1020087007755 A KR1020087007755 A KR 1020087007755A KR 20087007755 A KR20087007755 A KR 20087007755A KR 101277905 B1 KR101277905 B1 KR 101277905B1
Authority
KR
South Korea
Prior art keywords
phosphor
substrate
barrier window
plasma
temperature
Prior art date
Application number
KR1020087007755A
Other languages
English (en)
Other versions
KR20080053479A (ko
Inventor
키스 가프
닐 마틴 폴 벤자민
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080053479A publication Critical patent/KR20080053479A/ko
Application granted granted Critical
Publication of KR101277905B1 publication Critical patent/KR101277905B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • G01K11/20Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using thermoluminescent materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • G01K11/32Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using changes in transmittance, scattering or luminescence in optical fibres
    • G01K11/3206Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using changes in transmittance, scattering or luminescence in optical fibres at discrete locations in the fibre, e.g. using Bragg scattering
    • G01K11/3213Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using changes in transmittance, scattering or luminescence in optical fibres at discrete locations in the fibre, e.g. using Bragg scattering using changes in luminescence, e.g. at the distal end of the fibres

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Radiation Pyrometers (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Measurement Of Radiation (AREA)

Abstract

기판의 온도를 측정하는 장치가 개시된다. 장치는 기판과 열 접촉된 인광 물질을 포함하고, 인광 물질은 제 2 파장 범위의 전자기 방사에 노출되었을 때 제 1 파장 범위의 형광 반응을 생성하고, 형광 반응은 인광 물질의 온도와 연관되는 감쇠율로 감쇠 되며, 그리고 인광 물질은 플라즈마에 노출되었을 때 제 1 세트의 비-휘발성 부산물을 생성한다. 장치는 또한 인광 물질과 플라즈마 사이에 위치한 배리어 윈도우 (barrier window) 를 포함하고, 배리어 윈도우는 적어도 제 1 파장과 제 2 파장 부분이 투과되도록 하고, 배리어 윈도우는 플라즈마에 노출되었을 때 제 1 세트의 비-휘발성 부산물보다 적은 제 2 세트의 비-휘발성 부산물을 생성하고, 전자기 방사가 배리어 윈도우를 통하여 인광 물질까지 투과될 때, 온도가 형광 반응의 감쇠율로부터 결정된다.
Figure R1020087007755
기판 온도 측정 장치, 플라즈마 처리 시스템, 인광 물질, 노치, 배리어 윈도우

Description

기판의 온도를 결정하는 장치 및 방법 {APPARATUS FOR DETERMINING A TEMPERATURE OF A SUBSTRATE AND METHODS THEREFOR}
본 발명은 전반적으로 기판 제작 기술에 관한 것이며 더 상세하게는 기판의 온도를 결정하는 장치 및 방법에 관한 것이다.
기판 처리에서, 예를 들면, 반도체 기판이나 평판 디스플레이 제작에서 쓰이는 유리 패널에서, 플라즈마가 자주 사용된다. 기판 처리의 일부로서, 예를 들면, 기판은 다수의 다이나, 직사각형 면적으로 나눠 지고, 각 부분은 집적회로가 될 것이다. 그 후, 기판은 물질이 선택적으로 제거 (에칭) 되고 증착되는 일련의 단계에 의해 처리된다. 목표 게이트 길이 각각의 나노미터 편차는 이런 디바이스의 동작 속도를 직접적으로 변화시킬 수도 있으므로, 대략 수 나노미터의 트랜지스터 게이트 임계 치수 (CD : Critical Dimension) 제어가 가장 우선순위다.
그 다음, 경화 에멀젼 영역이 선택적으로 제거되면, 하부 레이어의 컴포넌트가 노출된다. 그 다음, 기판은 척 (chuck) 이나 페데스탈 (pedestal) 이라고 불리는, 단극 전극이나 양극 전극을 구비하는 기판 지지 구조상의 플라즈마 처리 챔버에 놓여 진다. 그 다음, 적절한 에천트 소스 (etchant source) 가 챔버로 유입되고, 점화되어 기판의 노출된 영역을 에칭하기 위해서 플라즈마를 형성한다.
그러나, 이런 그리고 다른 플라즈마 처리에서, 챔버 잔여물 축적, 플라즈마가 챔버 구조에 손상을 일으키는 것 등으로 인해 플라즈마 처리 시스템에서의 처리 조건이 동적일 수도 있기 때문에 공정을 모니터링하는 것은 종종 어렵다. 예를 들어, 플라즈마 처리 장치에서 기판의 플라즈마 처리의 균일성을 증진시키기 위해서, 에칭이 일어나고, 물질이 증착되며 (예를 들어, CVD 또는 PVD 기술에 의해), 및/또는 포토레지스트가 제거되는, 기판의 노출된 표면의 온도를 제어하는 것이 바람직하다. 만약 기판의 온도가 일정 온도를 초과할 경우, 기판 손상 (예를 들어, 포토레지스트 손상) 이 일어날 수 있고, 온도-의존 화학 반응이 달라질 수 있다. 또한, 기판 온도는 기판 표면상의 폴리-불화-탄소 (poly-fluoro-carbon) 와 같은, 폴리메릭 (polymeric) 필름의 증착율을 변경하여 플라즈마 선택성에 상당하게 영향을 미칠 수도 있다. 주의 깊은 모니터링은 편차를 최소화할 수도 있고, 다른 파라미터를 위한 더 넓은 처리 윈도우를 허용할 수도 있고, 처리 제어를 개선시킬 수도 있다. 그러나, 실제로 플라즈마 처리에 영향을 미치지 않고 인시츄 (in-situ) 온도를 직접적으로 결정하는 것은 어려울 수도 있다. 그러므로 기판을 물리적으로 접촉하지 않는 간접 온도 측정 (ITM : indirect temperature measurement) 디바이스가 선호된다.
그러나, 몇몇의 ITM 디바이스에서는 근접한 다른 구조들 (예를 들어, 척 등) 로부터 전달되는 열 에너지를 기판의 온도와 충분히 차단하는 것이 불가능할 수도 있다. 예를 들어, 열전대 (thermocouple) 는 척에 부착될 수도 있고, 그 결과로, 척은 기판과 열 접촉 한다. 척은, 기판과 다른 축열제이고, 역시 다른 온도일 수도 있다. 그러므로, ITM 디바이스는 일반적으로 특정 처리 방법의 특정 기판 구성에서는 교정되어야만 한다.
반대로, 다른 ITM 디바이스는 기판을 열적으로 차단할 수도 있으나, 그것들은 측정된 온도에 영향을 미칠 기판들 사이의 물리적 편차에 민감하다. 그러므로, 이러한 ITM 디바이스도 교정되어야 한다. 예를 들어, 전자기 고온계 (pyrometer) 는 기판 온도와 상관될 수도 있는 기판의 방출된 방사 (예를 들어, 포토 루미네센스 (photoluminescence)) 의 강도를 측정하는데 사용될 수도 있다. 일반적으로, 기판은 어떤 주파수의 전자기 방사를 흡수할 수도 있고, 그 후 기판의 특정 구조, 구성, 및 품질에 따라 다른 주파수의 방사를 내보낼 수도 있다.
교정에는 일반적으로 여러 가지 방법이 있다. 특히 한 방법은 ITM 디바이스로부터 측정된 제 1 기판의 인시츄 온도와, 더 정확한 교정 디바이스에 의해 측정된 같은 기판의 제 2 온도를 비교하는 것을 포함한다. 그러나, 일반적으로 교정 디바이스의 일부분이 기판과 물리적으로 접촉되어야 하는데, 교정 디바이스가 일반적으로 표준 기판 처리에 알맞지 않기 때문에, 권장되지 않는다. 예를 들어, 특정 공정에서 특정 기판 구성 배치 (batch) 를 처리하기 전에, 교정 디바이스에 의해 ITM 디바이스가 교정된다. 일단 교정이 일어나면, 표준 기판 처리가 시작된다.
인광 온도 측정 장치 (thermometry) 는 일반적으로 ITM 디바이스를 교정하는데 사용된다. 우선, 광 (전자기 방사) 을 제 1 파장 범위내에서 무기 인광 물질에 투과시키고, 그 다음, 제 2 파장 범위내에서 형광 반응의 감쇠율을 측정하여, 인광 물질, 및 이에 따라서 인광 물질이 위치된 기판의 온도가 결정될 수 있다. 다시, 인광 물질이 기판에 물리적으로 접촉해야하므로, 인광 온도 측정 장치는 일반적으로 기판 제조의 사용에 권장되지 않는다.
일반적으로, 인광 물질은 미량 원소가 도핑된 미세한 가루이며, 미량 원소는 짧은 파장의 광 (자외선이나 청색광) 에 의해 여기될 때, 긴 파장의 광을 낸다. 세라믹 인광 물질은 일반적으로 플라즈마 처리 챔버에서 선호되는데 매우 높은 온도에 대한 그들의 내성 때문이다. 세라믹 인광 물질은 일반적으로 무기성, 비-금속성, 결정질이다 (예를 들어, Eu, Dy 또는 Tm 이 도핑된 Y3A15O12 (YAG), Eu 또는 유사한 희토류 화합물이 도핑된 Y2O3).
교정 기판의 경우에는, 일반적으로 척을 대면하는 기판의 일면에, 인광 입자가 부착될 수도 있고, 척 안의 캐비티 (cavity) 에 위치되는 레이저/센서 결합에 의해 발광할 수도 있다. 일반적으로, 교정 기판은 인광 입자가 위치하는 기판 표면상에 특수한 노치 (notch) 를 가질 수도 있다. 어떤 구성에서는, 인광 입자는 바인더 (binder) 물질을 사용하여 기판에 직접적으로 부착된다. 페인트와 같이, 바인더는 균일한 밀도와 응결뿐만 아니라, 기판 표면과의 결합을 인광 입자에 제공하는 경향이 있는 물질이다. 다른 구성에서는, 인광 입자는 차례로 기판에 부착되어 있는 패치 (patch) 에 내장되어 있다. 일반적으로, 정전기력에 의해 기판을 척 위에 고정하는 척킹 처리와 간섭되는 것을 방지하기 위해서, 바인더와 결합되거나, 인광 패치에 놓여 지는 인광입자는 노치의 오목한 위치에 놓여 진다.
도 1 을 참조하면, 기판 제조에서 쓰이는 일반적인 인광 물질 온도 측정 장치의 구성이 도시된다. 일반적으로 척 (116) 위에 위치한, 반도체 기판이나 유리판 (glass pane) 과 같은, 기판 (114) 의 노출된 부분의 처리 (예를 들어, 에칭 또는 증착) 를 위해서, 적당한 가스 세트는 플라즈마 (110) 를 만들기 위해 유입되고 이온화된다. 기판 (114) 은 전술한 인광 물질 (140) 로 더 구성된다. 또한, 광섬유 센서/투과기 (142) 는 레이저가 인광 물질 (140) 로 투과될 수도 있고, 형광 반응의 결과를 측정할 수 있도록 위치될 수도 있다. 또한, 관측된 형광 반응을 기록하고 대략적인 기판 온도와 상관할 수 있는 데이터 획득 및 분석 디바이스 (144) 는, 센서/투과기 (142) 에 결합될 수도 있다.
도 2 를 참조하면, 인광 물질 온도 측정 장치가 용량 결합된 (capacitively coupled) 플라즈마 처리 시스템의 간략화된 도면이 도시된다. 일반적으로, 용량 결합된 플라즈마 처리 시스템은 하나의 또는 여러 개의 분리된 RF 파워 소스로 구성될 수도 있다. RF 생성기 (134) 에 의해 생성된, RF 소스는 용량 결합을 통하여 플라즈마 밀도를 제어할 뿐만 아니라 플라즈마를 생성하기 위해 일반적으로 사용된다. RF 바이어스 생성기 (138) 에 의해 생성된, RF 바이어스는 일반적으로 DC 바이어스와 이온 충돌 (ion bombardment) 에너지를 제어하기 위해 사용된다. 또, 매칭 네트워크 (matching network ; 136) 는 RF 생성기 (134) 와 RF 바이어스 생성기 (138) 에 결합되고, 매칭 네트워크 (136) 는 RF 파워 소스의 임피던스와 플라즈마 (110) 의 임피던스를 매칭시키려고 시도한다. 다른 형태의 용량성 반응기는 RF 파워 소스 및 상부전극 (104) 과 연결되어 있는 매칭 네트워크를 가진다. 또한, 유사 RF 와 전극 배치를 따르는 3극 진공관 (triode) 과 같은 다중-애노드 (anode) 시스템도 있다.
일반적으로, 적절한 가스 세트는 상부전극 (104) 의 인렛 (inlet) 을 통해 가스 분배 시스템 (122) 에서부터 플라즈마 챔버 벽 (117) 을 가지는 플라즈마 챔버 (102) 로 유입된다. 그 다음, 전극으로도 사용될 수 있는 척 (116) 상의 에지링 (edge ring ; 115) 과 함께 위치한, 반도체 기판 또는 유리판과 같은 기판 (114) 의 노출된 영역을 처리하기 위하여 (예를 들어, 에칭 또는 증착), 이러한 플라즈마 처리 가스는 플라즈마 (110) 를 형성하도록 이온화될 수도 있다. 부가적으로, 이러한 광 섬유 센서/투과기 (142) 가 레이저를 인광 물질 (140) 을 통하여 전달하고, 형광 반응의 결과를 측정할 수 있도록, 척 (116) 은 또한 캐비티로 구성될 수도 있다. 또한, 벨브 (112) 및 펌프 세트 (111) 를 포함하는 진공 시스템 (113) 은 플라즈마 (110) 를 유지시키는데 요구되는 압력을 얻기 위해서 플라즈마 챔버 (102) 로부터의 공기를 빼내기 위해 일반적으로 사용된다.
부가적으로, 플라즈마가 정화될 때 온도의 평형을 이루기 위하여 어떤 종류의 냉각 시스템 (미도시) 은 척 (116) 과 결합 된다. 냉각 시스템은 보통 척 안의 캐비티를 통해 냉각제를 주입하는 냉각장치와, 척과 기판 사이에서 주입되는 헬륨가스를 포함한다. 발생된 열을 제거하는 것에 부가하여, 헬륨가스는 냉각 시스템이 열 손실을 빠르게 제어하게 한다. 즉, 헬륨 압력을 증가시켜 열 전도율이 증가 된다.
일반적으로, 즉발 (prompt) 형광 감쇠 시간
Figure 112008023049910-pct00001
는 온도 함수에 의해 변화되며 다음과 같이 정의될 수 있다:
Figure 112008023049910-pct00002
( 식1 )
I 는 형광 광도 (light intensity) (-), I0 는 초기 형광 광도 (-), t는 여기가 정지된 때부터의 시간 (s), 그리고
Figure 112011095095093-pct00003
는 즉발 형광 감쇠 시간 (s)이다. 형광 광도의 단위는 임의다. 광의 강도를 초기 값의 e-1 (36.8%) 로 줄이는데 걸리는 시간은 즉발 형광 감쇠 시간에 의해 정의될 수도 있다 (Advances In High Temperature Phosphor Thermometry For Aerospace Applications, by S.W. Allison et. al., American Institute of Aeronautics And Astronautics, p.2 참조).
도 3 을 참조하면, 각각의 인광물질의 주요 방출 라인에 대하여 0o K 에서 1900oK 온도 범위에서 안정된 인광 물질의 감쇠 수명의 개략도를 도시한다 (Fiber Optic Temperature Sensor for PEM Fuel Cells, by S.W. Allison, Oak Ridge National Laboratory, U.S. Department of Energy, p.7 참조).
도 4 를 참조하면, 실리콘 접착제와 같은 바인더로 인광 입자가 기판 표면에 부착된 기판의 간략한 도면이 도시된다. 전술한 바와 같이, 인광 입자는, 일반적으로 플라즈마 (112) 에서 먼 쪽의 기판 표면 위에 위치한 노치 (406) 에 있는 바인더 물질 (140a) 를 사용하여 기판 (108) 에 직접적으로 부착될 수도 있다. 이 예에서는, (수직축을 따른) 높이 (404) 가 약 0.006 인치이고, (수평축을 따른) 너비 (402) 는 0.25 인치이다.
도 5 를 참조하면, 인광 패치가 있는 기판의 간략한 도면이 도시된다. 전술한 바와 같이, 인광 패치 (140b) 는, 일반적으로 플라즈마 (112) 와 먼 쪽의 기판 표면 위에 위치한 노치 (406) 내에서 열 전도의 실리콘 접착제 (505) 를 사용하여 기판 (108) 에 직접적으로 부착될 수도 있다.
비록 일반적으로 기판과 척 사이에 차폐되어 있어도, 기판 노치의 세라믹 입자는 여전히 노출될 수도 있고 플라즈마에 의해 에칭될 수도 있다. 플라즈마 처리에 의해 생성된 다른 유기적이고 무기적인 부산물과 자유롭게 결합하여, 비-휘발성의 세라믹 입자는 플라즈마 챔버의 내부 표면에 증착될 수도 있다. 이러한 부산물은 결국 벗겨져 기판 결함의 민감도를 증가시킬 수도 있고, 클리닝 사이의 평균 시간 (MTBC ; mean time between cleaning) 을 줄일 수도 있고, 수율을 줄이는 등의 결과를 낼 수도 있다.
전술한 바를 고려하여, 플라즈마 챔버 오염을 최소화하면서 기판의 온도를 결정하는 장치 및 방법이 요구된다.
본 발명의 일 실시형태는, 기판의 온도를 측정하는 장치와 관련된다. 장치는 기판과 열 접촉한 인광 물질을 포함하고, 인광 물질은 제 2 파장 범위의 전자기 방사에 노출되는 경우 제 1 파장 범위의 형광 반응을 생성하고, 형광 반응은 인광 물질의 온도와 연관되는 감쇠율로 감쇠되며, 인광 물질은 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물을 생성한다. 장치는 또한 인광물질과 플라즈마 사이에 위치되는 배리어 윈도우 (barrier window) 를 포함하고, 배리어 윈도우는 제 1 파장과 제 2 파장의 적어도 일부분이 투과되도록 하고, 배리어 윈도우는 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물보다 적은 제 2 세트의 비-휘발성 부산물을 생성하고, 전자기 방사가 배리어 윈도우를 통하여 인광물질로 투과되는 경우, 온도는 형광 반응의 감쇠율로부터 결정된다.
본 발명의 일 실시형태는, 기판의 온도를 측정하는 장치와 관련된다. 장치는 인광물질을 기판과 열 결합시키는 수단을 포함하고, 인광 물질은 제 2 파장 범위의 전자기 방사에 노출되는 경우 제 1 파장 범위의 형광 반응을 생성하고, 형광 반응은 인광 물질의 온도와 연관되는 감쇠율로 감쇠되며, 인광 물질은 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물을 생성한다. 장치는 또한 배리어 윈도우를 인광물질과 플라즈마 사이에 위치시키는 수단을 포함하고, 배리어 윈도우는 제 1 파장과 제 2 파장의 적어도 일부분이 투과되도록 하고, 배리어 윈도우는 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물보다 적은 제 2 세트의 비-휘발성 부산물을 생성한다. 장치는 또한 전자기 방사가 배리어 윈도우를 통하여 인광 물질에 전달되는 경우 형광 반응의 감쇠율로부터 온도를 측정하는 수단을 포함한다.
본 발명의 일 실시형태는, 기판의 온도를 측정하는 방법과 관련된다. 이 방법은 인광물질을 기판과 열 결합시키는 수단을 포함하고, 인광 물질은 제 2 파장 범위의 전자기 방사에 노출되는 경우 제 1 파장 범위의 형광 반응을 생성하고, 형광 반응은 인광 물질의 온도와 관계된 감쇠율로 감쇠되며, 인광 물질은 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물을 생성한다. 방법은 또한 배리어 윈도우를 인광물질과 플라즈마 사이에 위치시키는 방법을 포함하고, 배리어 윈도우는 제 1 파장과 제 2 파장의 적어도 일부분이 투과되도록 하고, 배리어 윈도우는 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물보다 적은 제 2 세트의 비-휘발성 부산물을 생성한다. 방법은 또한 전자기 방사가 배리어 윈도우를 통하여 인광 물질에 투과되는 경우 형광 반응의 감쇠 속도로부터 온도를 측정하는 방법을 포함한다.
본 발명의 이러한 그리고 다른 특징들이 다음의 도면과 함께 아래 발명의 상세한 설명으로 더 자세히 기술될 것이다.
본 발명은 첨부한 도면에서 한정이 아닌 예로서 예시되며, 유사한 참조 부호가 유사한 구성 요소를 지칭한다.
도 1 은 기판 제조에서 쓰이는 일반적인 인광 물질 온도 측정 장치 구성을 도시한다.
도 2 는 인광 물질 온도 측정 장치가 용량 결합된 플라즈마 처리 시스템의 개략도를 도시한다.
도 3 은 각각의 인광물질의 주요 방출라인에 대하여 0oK 에서 1900oK 온도 범위에서 안정된 인광 물질의 감쇠 수명의 개략도를 도시한다.
도 4 는 인광 입자가 실리콘 접착제와 같은 바인더로 기판 표면에 부착되어 있는 기판의 개략도를 도시한다.
도 5 는 인광 패치가 있는 기판의 개략도를 도시한다.
도 6 은 석영 (SiO2) 의 투과 그래프를 도시한다.
도 7 은 붕규산 유리 (borosilicate glass) 의 투과 그래프를 도시한다.
도 8 은 사파이어의 투과 그래프를 도시한다.
도 9 는 불화 마그네슘 (MgF2) 의 투과 그래프를 도시한다.
도 10a 는 본 발명의 일 실시형태에 따르는, 배리어 윈도우가 접착제에 의해 기판에 고정된 기판의 개략도를 도시한다.
도 10b 는 본 발명의 일 실시형태에 따르는, 세라믹 인광 입자가 접착제에 의해 기판에 고정된 배리어 윈도우내에 제조되는 기판의 개략도를 도시한다.
도 11a 는 본 발명의 일 실시형태에 따르는, 접착제의 필요 없이 배리어 윈도우가 기판 쉘프 (shelf) 상에 고정된 기판의 개략도를 도시한다.
도 11b 는 본 발명의 일 실시형태에 따르는, 세라믹 인광 입자의 부착 레이어와 함께, 배리어 윈도우가 접착제로 기판 쉘프 상에 수평 및 수직으로 고정된 기 판의 개략도를 도시한다.
도 11c 는 본 발명의 일 실시형태에 따르는, 세라믹 인광 입자의 부착 레이어가 있는 배리어 윈도우가 접착제로 기판 쉘프 상에 수평으로 고정된 기판의 개략도를 도시한다.
도 12 는 본 발명의 일 실시형태에 따르는, 배리어 윈도우가 원형의 개스킷 (gasket) 의 세트에 의해 고정된 기판의 개략도를 도시한다.
도 13 은 본 발명의 일 실시형태에 따르는, 배리어 윈도우가 직사각의 개스킷의 세트에 의해 고정된 기판의 개략도를 도시한다.
도 14 는 본 발명의 일 실시형태에 따르는, 서로 다른 세라믹 인광 물질의 세트가 위치된 배리어 윈도우의 개략도를 도시한다.
도 15 는 본 발명의 일 실시형태에 따르는, 기판의 온도를 측정하는 간략화된 방법을 도시한다.
이하, 첨부한 도면에 예시된 바와 같은 본 발명의 몇몇의 바람직한 실시형태를 참조하여 본 발명을 상세히 설명할 것이다. 이하의 설명에서, 다수의 구체적인 세부사항들은 본 발명의 전반적인 이해를 제공하기 위해 설명되는 것이다. 그러나, 당업자에게 있어 이러한 구체적인 세부사항의 일부나 전부가 없더라도 본 발명이 실시될 수 있음은 명백할 것이다. 다른 경우에, 주지의 처리단계 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않도록 상세하게 기술하지는 않 았다.
이론에 의해 한정되지 않기를 바라면서, 본 발명자는 배리어 윈도우를 세라믹 인광 물질과 플라즈마 사이에 위치하는 것으로 플라즈마 챔버 오염을 최소화하면서 인광 온도 측정 장치로 기판의 온도를 결정할 수도 있다고 본다. 일 실시형태에서, 배리어 윈도우는 석영을 포함한다. 일 실시형태에서, 배리어 윈도우는 사파이어를 포함한다. 일 실시형태에서, 배리어 윈도우는 유리를 포함한다. 일 양태에서, 유리는 붕규산염을 포함한다. 일 실시형태에서, 배리어 윈도우는 MgF2를 포함한다.
비자명한 방법으로, 챔버에 하나의 구조를 추가하는 것은, 즉, 세라믹 인광 입자와 플라즈마 사이에 위치한 배리어 윈도우는 플라즈마 챔버 오염을 줄일 수 있다. 일반적으로, 기판과 척 사이에 위치한 세라믹 인광 물질에 의하여 야기되는 오염에 의한 위험이 거의 없다고 믿어져 왔다. 그러나, 비록 일반적으로 기판 매스에 의해서 차폐되어 있기는 하지만, 기판 노치에 있는 세라믹 입자는 여전히 플라즈마에 노출될 수도 있고 비-휘발성 부산물의 원인이 될 수도 있다. 플라즈마 처리에 의해 생성된 다른 유기적 및 무기적인 부산물을 혼합하여, 비-휘발성 부산물은 내부 표면과 플라즈마 챔버 벽에 증착될 수 있으며, 이것은 플라즈마 챔버로부터 효율적으로 제거하기 어려운 경향이 있는 필름이 된다.
오염은 디바이스 크기가 줄고 더 향상된 물질이 쓰이면 더 뚜렷해 질 수도 있다. 예로, 고유전막 (HfOx, HfSixOy, 등) 부산물, 금속 전극 (Pt, Ir, IrOx, 등) 부산물, 메모리 물질 부산물 (PtMn, NiFe, CoFe, FeW, 등), 상호 연결 부산물 (Cu, Ru, CoWP, Ta, 등) 을 포함한다. 그 결과적인 오염 증착은 결국 벗겨질 수도 있고, 따라서 기판 결함의 민감도가 증가되고, 클리닝 사이의 평균 시간 (MTBC) 이 줄고, 수율을 줄이는 등이 있을 수도 있다. 예를 들어, 플라즈마 처리에 의해, 전도성의 필름 증착은 플라즈마 소스와 바이어스의 FW 결합에 영향을 줄 수도 있는 플라즈마 챔버 내부 표면상에 생길 수도 있다. 추가로, 부산물 증착은 플라즈마 밀도 드리프트에 기여할 수도 있다.
챔버에서 표면과의 증착의 접착 정도와, 그로 인한 다음의 잠재적인 오염의 정도는 보통 특별한 플라즈마 처리 방법 (예를 들어, 화학적 성질, 파워, 및 온도) 및 챔버 처리 키트의 초기 표면 조건에 의존한다. 일반적으로, 유기적 결합은 매우 강하고 접착성인 경향이 있는데 (즉, C-H, C-C, C=C, C-O, C-N, 등), 교차결합된 상대적으로 안정된 구조가 생성되기 때문이다. 이러한 부산물은 결과적으로 벗겨질 수도 있으며 기판 결함의 민감도를 증가시키며, 클리닝 사이의 평균 시간 (MTBC) 을 줄이고, 수율을 줄이는 등의 결과를 낼 수도 있다. 예를 들어, 플라즈마 처리에 의해, 플라즈마 소스 및 바이어스의 FW 결합에 영향을 줄 수 있는 부산물의 전도성 필름이 플라즈마 챔버 내부 표면상에 생길 수도 있다.
일 실시형태에서, 배리어 윈도우는 석영 (SiO2) 을 포함함다. 석영은 플라즈마 챔버에 일반적으로 사용되는데, 석영이 플라즈마에 노출되었을 때 쉽게 제거될 수 있는 휘발성의 부산물을 생성하는 경향이 있기 때문이다. 예를 들어,
Figure 112008023049910-pct00004
( 식2 )
Figure 112008023049910-pct00005
( 식3 )
도 6 을 참조하면, 석영 (SiO2) 의 투과성 그래프가 도시된다. 일반적으로 석영은 세라믹 인광 물질을 조명하기 위해서 약 0.25 마이크론에서 약 3.5 마이크론 파장 범위의 상당한 부분의 광을 투과할 수 있다.
도 7 을 참조하면, 붕규산 유리의 투과성 그래프가 도시된다. 또한 Pyrex® 나 Borofloat®로 알려진, 붕규산 유리는 일반적으로 플라즈마에 의해 화학적으로 불활성이 되고 비-휘발성 부산물을 거의 생성하지 않는다. 일반적으로, 붕규산 유리는 세라믹 인광을 조명하기 위해서 약 0.5 마이크론에서 약 2.5 마이크론 파장 범위의 상당한 부분의 광을 투과시킬 수 있다.
도 8 을 참조하면, 사파이어의 투과성 그래프가 도시된다. 사파이어는 일반적으로 플라즈마에 화학적으로 불활성이 되기 때문에 비-휘발성 부산물을 거의 생성하지 않는다. 일반적으로, 사파이어는 세라믹 인광을 조명하기 위해서 약 0.2 마이크론에서 약 5 마이크론 파장 범위의 상당한 부분의 광을 투과할 수 있다.
도 9 를 참조하면, 불화 마그네슘 (MgF2) 의 투과성 그래프가 도시된다. 일반적으로, MgF2 는 세라믹 인광을 조명하기 위해서 약 0.2 마이크론에서 약 5 마이크론 파장 범위의 상당한 부분의 광을 투과시킬 수 있다. 플라즈마에 노출되었을 때, 매우 튼튼하고 내성이 있으며, 사파이어와 같이, 부산물을 거의 생성하지 않는다. 일 실시형태에서, 에칭과 부산물 생성을 실질적으로 줄이기 위해서 석영 배리어 윈도우의 플라즈마 측면은 사파이어 및/또는 MgF2 로 코팅된다.
일 실시형태에서, 배리어 윈도우는 낮은 투과 파면 왜곡을 가지도록 구성된다. 일반적으로, 투과 파면 왜곡은 배리어 윈도우의 굴절률의 동질성을 측정해서 평가된다.
도 10a 를 참조하면, 본 발명의 일 실시형태에 따르는, 배리어 윈도우가 접착제에 의해 기판에 고정된 기판의 개략도가 도시된다. 앞서 설명한 것과 같이, 배리어 윈도우 (1040a) 는 석영, 사파이어, 유리, 그리고 MgF2 중 하나 이상을 포함할 수도 있다. 일 실시형태에서, 접착제 (1005) 는 실리콘 접착제이다. 일 실시형태에서, 기판에 부착되기 전에 인광 입자 (1040b) 는 실리콘 접착제와 같은 바인더 물질을 이용하여 배리어 윈도우 (1040a) 에 직접적으로 부착될 수 있다. 전술한 바와 같이 노치 (1006) 는 일반적으로 플라즈마 (112) 에서 먼 쪽의 기판 표면상에 위치한다. 일 실시형태에서, 배리어 윈도우 (1040a) 는 형광 반응이 포커싱 될 수 있는 렌즈 형태이다. 이 실시형태의 장점은 비-휘발성 부산물 오염을 최소화 함과 함께 기판의 온도를 측정, 세라믹 인광 물질이 손상되면 변경하거나 교체할 수 있는 능력, 그리고 기판 제조 설비에서 세라믹 인광 입자를 부가하거나 교체할 수 있는 능력을 포함한다.
도 10b 를 참조하면, 본 발명의 일 실시형태에 따르는, 세라믹 인광 입자가 배리어 윈도우 내에 제조되는 기판의 개략도가 도시된다. 앞서 설명한 것과 같이, 배리어 윈도우 (1040c) 는 석영, 사파이어, 유리, 그리고 MgF2 중 하나 이상을 포함할 수 있다. 일 실시형태에서, 접착제 (1005) 는 실리콘 접착제이다. 노치 (1006) 는 일반적으로 플라즈마 (112) 로부터 먼 쪽의 기판 표면상에 위치된다. 이 실시형태의 장점은 비-휘발성 부산물 오염을 최소화함과 함께 기판 온도를 측정, 손상되었을 때 세라믹 인광 입자와 배리어 윈도우를 단일 유닛으로 변경하거나 교체하는 능력, 기판 제조 설비에서 세라믹 인광 물질 및 배리어 윈도우를 단일 유닛으로 부가하거나 교체하는 능력, 및 분리된 컴포넌트에서와 달리 기판당 단일 부품만이 정리될 필요가 있음으로 인한 부품 목록의 최소화를 포함한다.
도 11a 를 참조하면, 본 발명의 일 실시형태에 따라, 접착제의 필요 없이 배리어 윈도우가 기판 쉘프 (shelf) 상에 고정된 기판의 개략도가 도시된다. 전술한 바와 같이, 배리어 윈도우 (1140a) 는 석영, 사파이어, 유리, 그리고 MgF2 중의 하나 이상을 포함할 수도 있다. 일 실시형태에서, 배리어 윈도어는 실리콘 접착제와 같은 접착제로 기판 (1108) 에 고정된다. 일 실시형태에서, 배리어 윈도우 (1140a) 는 기판 노치 (1106) 의 수평 결합면 (mating surface) (1107) 및 수직 결합면 (1109) 과 압력 끼워 맞춤 (pressure fit) 의해 짝지어지게 구성된다. 일 실시형태에서, 배리어 윈도우는 기판 노치의 수평 결합면 (1107) 및 수직 결합면 (1109) 과 마찰 끼워 맞춤 (friction fit) 에 의해 짝지어지게 구성된다. 마찰력은 일반적으로 제거력 (removal force) 에 대한 표면적에 걸친 저항으로 정의된다. 일 실시형태에서, 배리어 윈도우의 결합면은 노치 (1106) 상의 상응하는 결합면에 대해 홀딩력 (holding force) 를 첨가할 수도 있고, 홀딩력은 대체로 마찰력보다 크다. 홀딩력은 일반적으로 제거력에 대한 평행 구성 요소의 저항으로 정의된다.
일 실시형태에서, 인광 입자 (1140b) 는 실리콘 접착제와 같은 바인더 물질을 사용해서 직접적으로 배리어 윈도우 (1140a) 에 부착될 수도 있다. 전술한 바와 같이, 노치 (1106) 는 일반적으로 플라즈마 (112) 에서 먼 쪽의 기판 표면 상에 위치한다. 본 실시형태의 장점은 비-휘발성 부산물 오염을 최소화함과 함께 기판 온도를 측정, 플라즈마로부터 세라믹 인광 입자를 차폐하고, 인광 두께 제어를 개선하는, 2 단의 (two tiered) 노치, 손상되었을 때 세라믹 인광 입자 및/또는 배리어 윈도우를 변경하거나 교체하는 능력, 그리고 기판 제조 설비에서 세라믹 인광 입자 및/또는 배리어 윈도우를 부가하거나 교체하는 능력을 포함한다.
도 11b 를 참조하면, 본 발명의 일 실시형태에 따르면, 세라믹 인광 입자의 부착 레이어와 함께, 배리어 윈도우가 접착제로 기판 쉘프 상에 수평 및 수직으로 고정된 기판의 개략도가 도시된다. 일반적으로, 다른 교정 기판들 중에서, 기판 (1108) 과 세라믹 인광 입자의 레이어 (1140b) 의 사이의 접착제 두께의 편차는, 온도 측정 편차를 제공할 수도 있다. 즉, 파워가 교정 기판에 인가될 때 (플라즈마 파워 온과 함께) 변화하는 두께의 접착제 레이어는 그 결과로 변화하는 비율의 열 에너지를 세라믹 인광 입자에 전도할 수도 있다. 예를 들어, ITM 디바이스의 계속적인 교정 동안에, 제 1 교정 기판 (세라믹 인광 입자와 함께) 이 제 2 교정 기판으로 교환되는 경우, 교정 기판 사이의 접착제 레이어 두께의 차이는 ITM 디바이스에 의해 부정확하게 기인한 측정 온도 편차를 보여줄 수 있고, 따라서 플라즈마 처리 시스템 그 자체에 일반적으로 주목되지 않는 교정 오류를 야기한다. 그러나, 유리한 방법으로, 배리어 윈도우 (1140a) 부분이 쉘프 (수평 결합면) (1107) 위에 위치하는 것은 배리어 윈도우와 기판 사이에 고정된 부피와 두께의 캐비티 (cavity ; 1156) 를 형성하고, 온도 측정 편차를 최소화한다.
전술한 바와 같이, 노치 (1106) 는 일반적으로 플라즈마 (112) 로부터 먼 쪽의 기판 표면상에 위치한다. 일 실시형태에서, 접착제 (1156) 는 수평 및 수직 축을 따라 세라믹 인광 입자의 레이어 (1140b) 와 접촉한다. 일 실시형태에서, 세라믹 인광 입자의 레이어 (1140b) 는 실리콘 접착제와 같은 바인더 물질을 사용하여, 배리어 윈도우 (1140a) 에 직접적으로 부착될 수도 있다. 일 실시형태에서, 인광 물질 (1140b) 을 위한 바인더는, 경화가 진행되어 줄어들고 그것에 의해 세라믹 인광 입자 레이어, 및 배리어 윈도우 (1140a) 를 노치 (1106) 쪽으로 당기는 접착제이다. 배리어 윈도우 (1140a) 는 석영, 사파이어, 유리, 그리고 MgF2 중의 하나 이상을 포함할 수도 있다. 본 실시형태의 장점은 두 개 또는 이상의 교정 기판들 사이에서의 교정 오류를 최소화할 뿐만 아니라, 비-휘발성 부산물 오염을 최소화 함과 함께 기판 온도 측정을 포함한다.
도 11c 를 참조하면, 본 발명의 일 실시형태에 따르는, 세라믹 인광 입자의 부착 레이어가 있는 배리어 윈도우가 접착제로 기판 쉘프 상에 수평으로 고정된 기 판의 개략도가 도시된다. 전술한 바와 같이, 노치 (1106) 는 일반적으로 플라즈마 (112) 로부터 먼 쪽의 기판 표면상에 위치한다. 본 실시형태에서는, 접착제 (1156) 는 수평축을 따라 세라믹 인광 입자의 레이어 (1140b) 와 부착될 수도 있다. 한 실시형태로, 세라믹 인광 입자의 레이어 (1140b) 는 실리콘 접착제와 같은 바인더 물질을 이용하여 배리어 윈도우 (1140a) 에 직접적으로 부착될 수도 있다. 일 실시형태에서, 인광 물질 (1140b) 을 위한 바인더는, 경화가 진행되어 줄어들고 그것에 의해 세라믹 인광 입자 레이어, 및 배리어 윈도우 (1140a)를 노치 (1106) 쪽으로 당기는 접착제이다. 본 실시형태의 장점은 두 개 또는 이상의 교정 기판들 사이에서의 교정 오류를 최소화할 뿐만 아니라, 비-휘발성 부산물 오염을 최소화 함과 함께 기판 온도 측정을 포함한다.
도 12 를 참조하면, 본 발명의 일 실시형태에 따르는, 배리어 윈도우가 원형의 개스킷 (gasket) 의 세트에 의해 고정된 기판의 개략도가 도시된다. 일 실시형태에서, 개스킷 (1211) 은 스크린 도어상의 고무 패리미터 (perimeter) 개스킷과 유사한 단일 피스이다. 일 실시형태에서, 개스킷 (1211) 은 기판 노치 (1106) 의 수평 결합면 (1207) 및/또는 수직 결합면 (1209) 과 압력 끼워 맞춤에 의해 짝지어지게 구성된다. 전술한 바와 같이, 배리어 윈도우 (1240a) 는 석영, 사파이어, 유리, 그리고 MgF2 중 하나 이상을 포함할 수도 있다. 일 실시형태에서, 인광 입자 (1240b) 는 실리콘 접착제와 같은 바인더 물질을 사용해서 배리어 윈도우 (1240a) 에 직접적으로 부착될 수도 있다. 전술한 것과 같이, 노치 (1206) 는 일반적으로 플라즈마 (112) 로부터 먼 쪽의 기판 표면 위에 위치한다. 일 실시형태에서, 개스킷 (1211) 은 고무를 포함한다. 일 실시형태에서, 개스킷 (1211) 은 폴리마이드 (polymide) 물질을 포함한다. 일 실시형태에서, 개스킷 (1211) 은 고무를 포함한다. 일 실시형태에서 개스킷 (1211) 은 실리콘 물질을 포함한다. 본 실시형태의 장점은 비-휘발성 부산물 오염을 최소화함과 함께 기판 온도 측정을 포함하고, 세라믹 인광 입자 및/또는 배리어 윈도우가 손상되었을 때 쉽게 변경이나 교체하게 하는 개스킷의 사용, 기판 제조 설비에서 세라믹 인광 입자 및/또는 배리어 윈도우를 부가하거나 교체하는 능력을 포함한다.
도 13 을 참조하면, 본 발명의 일 실시형태에 따르는, 배리어 윈도우가 직사각의 개스킷의 세트에 의해 고정된 기판의 개략도가 도시된다. 일 실시형태에서, 직사각의 개스킷 (1311) 은 스크린 도어상의 고무 패리미터 (perimeter) 개스킷과 유사한 단일 피스이다. 일 실시형태에서, 직사각 개스킷 (1311) 은 확실하게 배리어 윈도우를 노치 (1306) 에 붙이기 위해서 수직 결합면 (1309) 으로 연장되는 모난 돌출부를 갖는다. 일 실시형태에서, 직사각 개스킷 (1311) 은 기판 노치 (1106) 의 수평 결합면 및/또는 수직 결합면과 압력 끼워 맞춤을 통해 짝지어지게 구성된다. 일 실시형태에서, 직사각 개스킷 (1311) 은 고무를 포함한다. 일 실시형태에서, 직사각 개스킷 (1311) 은 폴리마이드 물질을 포함한다. 일 실시형태에서, 직사각 개스킷 (1311) 은 고무를 포함한다. 일 실시형태에서, 개스킷 (1311) 은 실리콘 물질을 포함한다. 본 실시형태의 장점은 비-휘발성 부산물 오염을 최소화함과 함께 기판 온도 측정을 포함하고, 세라믹 인광 물질 및/또는 배리어 윈도우가 손상되었을 때 쉽게 변경이나 교체하게 하는 개스킷의 사용, 기판 제조 설비에서 세라믹 인광 입자 및/또는 배리어 윈도우를 부가하거나 교체하는 능력을 포함한다.
도 14 를 참조하면, 본 발명의 일 실시형태에 따르는, 서로 다른 세라믹 인광 물질의 세트가 위치된 배리어 윈도우의 개략도가 도시된다. 예를 들어, 배리어 윈도우 (1440a) 상에 제 1 온도 범위를 측정할 수 있는 제 1 세라믹 인광 (1440b), 제 2 온도 범위를 측정할 수 있는 제 2 세라믹 인광 (1440c), 그리고 제 3 온도 범위를 측정할 수 있는 제 3 세라믹 인광 (1440d) 이 위치할 수 있다. 본 실시형태의 장점은 적어도 세 개의 분리된 온도 범위에서 기판 온도를 측정하는 것을 포함하고, 모든 세라믹 인광 입자를 유닛으로서 변화할 수 있는 능력을 포함한다.
도 15 를 참조하면, 본 발명의 일 실시형태에 따르는, 기판의 온도를 측정하는 간략화된 방법을 도시한다. 초기에는 (1502), 인광 물질 (예를 들어, 석영, 사파이어, 유리, 그리고 MgF2 등) 이 기판에 결합되고, 인광 물질은 제 2 파장 범위의 전자기 방사에 노출되었을 때 제 1 파장 범위의 형광 반응을 생성하고, 형광 반응은 인광 물질의 온도와 연관되는 감쇠율로 감쇠 되며, 그리고 인광 물질은 플라즈마에 노출되었을 때 제 1 세트의 비-휘발성 부산물을 생성한다. 다음에 (1504), 배리어 윈도우가 인광물질과 플라즈마 사이에 위치하고, 배리어 윈도우는 제 1 파장과 제 2 파장의 적어도 일부분이 투과되도록 하고, 배리어 윈도우는 플라즈마에 노출되었을 때 제 1 세트의 비-휘발성 부산물보다 적은 제 2 세트의 비-휘발성 부산물을 생성한다. 배리어 윈도우를 기판에 고정시키는 방법은 접착제, 개스킷 세트, 등을 포함한다. 마지막으로 (1506), 배리어 윈도우를 통해 전자기 방사가 인광 물질로 투과될 때 형광 반응의 감쇠율로부터 기판 온도를 측정한다.
본 발명을 여러 바람직한 실시형태와 관련하여 설명하였지만, 본 발명의 범위 내에 있는 변경물, 치환물 및 균등물이 있다. 예를 들어, 비록 본 발명은 램 리서치 플라즈마 처리 시스템 (예를 들어, Exelan™, Exelan™HP, Exelan™HPT, 2300™, Versys™Star, 등) 과 관련하여 기술되었지만, 다른 플라즈마 처리 시스템이 사용될 수도 있다. 본 발명에 다양한 직경의 (예를 들어, 200mm, 300mm, LCD, 등) 기판이 쓰일 수도 있다.
본 발명의 장점은 기판의 온도를 결정하는 장치 및 방법의 사용을 포함하는 것이다. 추가적인 장점은 세라믹 인광 입자 및/또는 배리어 윈도우가 손상되었을 때 실질적으로 변경하거나 교체하는 것뿐만 아니라, 플라즈마 챔버에서 세라믹 인광 입자의 오염을 실질적으로 줄이는 것을 포함한다.
이하, 청구항에 정의된 본 발명의 주제와 사상 범위 내에서, 개시된 예시적인 실시형태, 최적의 모드에 대한 변형, 및 변경이 이루어질 수도 있다.

Claims (24)

  1. 플라즈마 처리 시스템에서, 기판의 온도를 측정하는 장치로서,
    상기 기판과 열 접촉하는 인광 물질로서, 상기 인광 물질은 제 2 파장 범위의 전자기 방사에 노출되는 경우 제 1 파장 범위의 형광 반응을 생성하고, 상기 형광 반응은 상기 인광 물질의 온도와 연관되는 감쇠율로 감쇠되며, 상기 인광 물질은 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물을 생성하는, 상기 인광 물질; 및
    상기 인광 물질과 상기 플라즈마 사이에 위치되는 배리어 윈도우 (barrier window) 로서, 상기 배리어 윈도우는 상기 제 1 파장과 상기 제 2 파장의 적어도 일부분이 투과되도록 하고, 상기 배리어 윈도우는 상기 플라즈마에 노출되는 경우 상기 제 1 세트의 비-휘발성 부산물보다 적은 제 2 세트의 비-휘발성 부산물을 생성하는, 상기 배리어 윈도우를 포함하고,
    상기 전자기 방사가 상기 배리어 윈도우를 통하여 상기 인광 물질로 투과되는 경우, 상기 온도가 상기 형광 반응의 상기 감쇠율로부터 결정되며,
    상기 인광 물질 및 상기 배리어 윈도우는 상기 기판의 노치 (notch) 에 위치되고, 상기 배리어 윈도우는 상기 인광 물질보다 넓은 면적을 차지하는, 기판 온도 측정 장치.
  2. 제 1 항에 있어서,
    상기 인광 물질을 교체하기 위하여 상기 배리어 윈도우가 제거될 수 있는, 기판 온도 측정 장치.
  3. 제 1 항에 있어서,
    상기 배리어 윈도우는 석영, 사파이어, 유리, 붕규산염, 및 MgF2 중의 하나를 포함하는, 기판 온도 측정 장치.
  4. 제 1 항에 있어서,
    센서/투과기가 상기 제 2 파장 범위에서 제 2 파장을 투과시키고, 상기 센서/투과기는 상기 제 1 파장 범위에서 제 1 파장을 측정하는, 기판 온도 측정 장치.
  5. 제 1 항에 있어서,
    상기 배리어 윈도우는 상기 기판의 표면상의 2 단의 (two-tiered) 노치 (notch) 에 인광 물질을 고정하고, 상기 플라즈마로부터 상기 인광 물질을 차폐하도록 구성되는, 기판 온도 측정 장치.
  6. 제 1 항에 있어서,
    센서/투과기가 상기 기판을 지지하는 척에 위치되는, 기판 온도 측정 장치.
  7. 제 1 항에 있어서,
    상기 배리어 윈도우는 접착제, 마찰 끼워 맞춤 (friction fit), 압력 끼워 맞춤 (pressure fit), 및 개스킷 (gasket) 중의 하나로 상기 기판에 고정되는, 기판 온도 측정 장치.
  8. 제 1 항에 있어서,
    제 2 인광 물질이 상기 기판의 상기 노치 (notch) 에 위치되는, 기판 온도 측정 장치.
  9. 플라즈마 처리 시스템에서, 기판의 온도를 측정하는 장치로서,
    인광 물질을 상기 기판에 열 결합하는 수단으로서, 상기 인광 물질은 제 2 파장 범위의 전자기 방사에 노출되는 경우 제 1 파장 범위의 형광 반응을 생성하고, 상기 형광 반응은 상기 인광 물질의 온도와 연관되는 감쇠율로 감쇠되며, 상기 인광 물질은 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물을 생성하는, 상기 열 결합하는 수단;
    상기 인광 물질과 상기 플라즈마 사이에 배리어 윈도우를 위치시키는 수단으로서, 상기 배리어 윈도우는 상기 제 1 파장과 상기 제 2 파장의 적어도 일부분이 투과되도록 하고, 상기 배리어 윈도우는 상기 플라즈마에 노출되는 경우 상기 제 1 세트의 비-휘발성 부산물보다 적은 제 2 세트의 비-휘발성 부산물을 생성하는, 상기 배리어 윈도우를 위치시키는 수단; 및
    상기 전자기 방사가 상기 배리어 윈도우를 통하여 상기 인광 물질로 투과되는 경우, 상기 형광 반응의 상기 감쇠율로부터 상기 온도를 결정하는 수단을 포함하고,
    상기 인광 물질 및 상기 배리어 윈도우는 상기 기판의 노치 (notch) 에 위치되고, 상기 배리어 윈도우는 상기 인광 물질보다 넓은 면적을 차지하는, 기판 온도 측정 장치.
  10. 제 9 항에 있어서,
    상기 인광 물질을 교체하기 위하여 상기 배리어 윈도우가 제거될 수 있는, 기판 온도 측정 장치.
  11. 제 9 항에 있어서,
    상기 배리어 윈도우는 석영, 사파이어, 유리, 붕규산염, 및 MgF2 중의 하나를 포함하는, 기판 온도 측정 장치.
  12. 제 9 항에 있어서,
    센서/투과기가 상기 제 2 파장 범위에서 제 2 파장을 투과하고, 상기 센서/투과기는 상기 제 1 파장 범위에서 제 1 파장을 측정하는, 기판 온도 측정 장치.
  13. 제 9 항에 있어서,
    상기 배리어 윈도우는 상기 기판의 표면상의 2 단의 노치에 인광 물질을 고정하고, 상기 플라즈마로부터 상기 인광 물질을 차폐하도록 구성되는, 기판 온도 측정 장치.
  14. 제 9 항에 있어서,
    센서/투과기가 상기 기판을 지지하는 척에 위치되는, 기판 온도 측정 장치.
  15. 제 9 항에 있어서,
    상기 배리어 윈도우는 접착제, 마찰 끼워 맞춤 (friction fit), 압력 끼워 맞춤 (pressure fit), 및 개스킷 (gasket) 중의 하나로 상기 기판에 고정되는, 기판 온도 측정 장치.
  16. 제 9 항에 있어서,
    제 2 인광 물질이 상기 기판의 상기 노치에 위치되는, 기판 온도 측정 장치.
  17. 플라즈마 처리 시스템에서, 기판의 온도를 측정하는 방법으로서,
    인광 물질을 상기 기판에 열 결합시키는 단계로서, 상기 인광 물질은 제 2 파장 범위의 전자기 방사에 노출되는 경우 제 1 파장 범위의 형광 반응을 생성하고, 상기 형광 반응은 상기 인광 물질의 온도와 연관되는 감쇠율로 감쇠되며, 상기 인광 물질은 플라즈마에 노출되는 경우 제 1 세트의 비-휘발성 부산물을 생성하는, 상기 인광 물질을 열 결합시키는 단계;
    상기 인광 물질과 상기 플라즈마 사이에 배리어 윈도우를 위치시키는 단계로서, 상기 배리어 윈도우는 상기 제 1 파장과 상기 제 2 파장의 적어도 일부분이 투과되도록 하고, 상기 배리어 윈도우는 상기 플라즈마에 노출되는 경우 상기 제 1 세트의 비-휘발성 부산물보다 적은 제 2 세트의 비-휘발성 부산물을 생성하는, 상기 배리어 윈도우를 위치시키는 단계; 및
    상기 전자기 방사가 상기 배리어 윈도우를 통하여 상기 인광 물질로 투과되는 경우, 상기 형광 반응의 상기 감쇠율로부터 상기 온도를 결정하는 단계를 포함하고,
    상기 인광 물질 및 상기 배리어 윈도우는 상기 기판의 노치 (notch) 에 위치되고, 상기 배리어 윈도우는 상기 인광 물질보다 넓은 면적을 차지하는, 기판 온도 측정 방법.
  18. 제 17 항에 있어서,
    상기 인광 물질을 교체하기 위하여 상기 배리어 윈도우가 제거될 수 있는, 기판 온도 측정 방법.
  19. 제 17 항에 있어서,
    상기 배리어 윈도우는 석영, 사파이어, 유리, 붕규산염, 및 MgF2 중의 하나를 포함하는, 기판 온도 측정 방법.
  20. 제 17 항에 있어서,
    센서/투과기가 상기 제 2 파장 범위에서 제 2 파장을 투과하고, 상기 센서/투과기는 상기 제 1 파장 범위에서 제 1 파장을 측정하는, 기판 온도 측정 방법.
  21. 제 17 항에 있어서,
    상기 배리어 윈도우는 상기 기판의 표면상의 2 단의 노치에 인광 물질을 고정하고, 상기 플라즈마로부터 상기 인광 물질을 차폐하도록 구성되는, 기판 온도 측정 방법.
  22. 제 17 항에 있어서,
    센서/투과기가 상기 기판을 지지하는 척에 위치되는, 기판 온도 측정 방법.
  23. 제 17 항에 있어서,
    상기 배리어 윈도우는 접착제, 마찰 끼워 맞춤 (friction fit), 압력 끼워 맞춤 (pressure fit), 및 개스킷 (gasket) 중의 하나로 상기 기판에 고정되는, 기판 온도 측정 방법.
  24. 제 17 항에 있어서,
    제 2 인광 물질이 상기 기판의 상기 노치에 위치되는, 기판 온도 측정 방법.
KR1020087007755A 2005-09-22 2006-09-12 기판의 온도를 결정하는 장치 및 방법 KR101277905B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/233,561 US7578616B2 (en) 2005-09-22 2005-09-22 Apparatus for determining a temperature of a substrate and methods therefor
US11/233,561 2005-09-22
PCT/US2006/035620 WO2007037983A2 (en) 2005-09-22 2006-09-12 Apparatus for determining a temperature of a substrate and methods therefor

Publications (2)

Publication Number Publication Date
KR20080053479A KR20080053479A (ko) 2008-06-13
KR101277905B1 true KR101277905B1 (ko) 2013-06-25

Family

ID=37884028

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087007755A KR101277905B1 (ko) 2005-09-22 2006-09-12 기판의 온도를 결정하는 장치 및 방법

Country Status (6)

Country Link
US (2) US7578616B2 (ko)
JP (1) JP5010610B2 (ko)
KR (1) KR101277905B1 (ko)
CN (1) CN101268346B (ko)
TW (1) TWI408348B (ko)
WO (1) WO2007037983A2 (ko)

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7080940B2 (en) * 2001-04-20 2006-07-25 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US7578616B2 (en) * 2005-09-22 2009-08-25 Lam Research Corporation Apparatus for determining a temperature of a substrate and methods therefor
JP4916326B2 (ja) * 2007-01-31 2012-04-11 東京エレクトロン株式会社 温度モニタ用基板の検査装置及び検査方法
US8523427B2 (en) * 2008-02-27 2013-09-03 Analog Devices, Inc. Sensor device with improved sensitivity to temperature variation in a semiconductor substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014142188A (ja) * 2013-01-22 2014-08-07 Mitsubishi Cable Ind Ltd 温度センサ
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6618984B2 (ja) * 2014-07-08 2019-12-11 ワトロー エレクトリック マニュファクチュアリング カンパニー ボンド層での一体化された温度検知を有する接合アッセンブリ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10861682B2 (en) 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6722909B2 (ja) * 2016-08-30 2020-07-15 パナソニックIpマネジメント株式会社 色変換素子及び照明装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108511510B (zh) * 2017-02-28 2021-04-30 中芯国际集成电路制造(上海)有限公司 温度监控晶圆以及腔室温度的监控方法
US10746608B2 (en) * 2017-03-22 2020-08-18 Lumasense Technologies Holdings, Inc. Photoluminescent thermometric target
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20200398305A1 (en) * 2019-06-18 2020-12-24 National Technology & Engineering Solutions Of Sandia, Llc Aerosol Deposition of Thermographic Phosphor Coatings
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11630001B2 (en) * 2019-12-10 2023-04-18 Applied Materials, Inc. Apparatus for measuring temperature in a vacuum and microwave environment
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US10793772B1 (en) 2020-03-13 2020-10-06 Accelovant Technologies Corporation Monolithic phosphor composite for sensing systems
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
CN111982339B (zh) * 2020-08-26 2022-09-16 中国烟草总公司郑州烟草研究院 一种负载温敏型标识物的检测试纸及制备方法和应用
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11359976B2 (en) 2020-10-23 2022-06-14 Accelovant Technologies Corporation Multipoint surface temperature measurement system and method thereof
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11353369B2 (en) 2020-11-05 2022-06-07 Accelovant Technologies Corporation Optoelectronic transducer module for thermographic temperature measurements
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11573133B2 (en) 2021-07-08 2023-02-07 Advanced Energy Industries, Inc. Optical temperature sensor with monolithic crystalline phosphor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001139942A (ja) 1999-07-26 2001-05-22 General Electric Co <Ge> Mn2+で賦活された緑色発光性SrAl12O19発光材料
US20030209773A1 (en) 2002-05-10 2003-11-13 Applied Materials, Inc. Temperature sensor

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4215275A (en) 1977-12-07 1980-07-29 Luxtron Corporation Optical temperature measurement technique utilizing phosphors
US4437772A (en) 1979-09-10 1984-03-20 Samulski Thaddeus V Luminescent decay time techniques for temperature measurement
US4708494A (en) 1982-08-06 1987-11-24 Marcos Kleinerman Methods and devices for the optical measurement of temperature with luminescent materials
US5090818A (en) 1982-08-06 1992-02-25 Kleinerman Marcos Y Fiber optic systems for sensing temperature and other physical variables
US5222810A (en) 1982-08-06 1993-06-29 Kleinerman Marcos Y Fiber optic systems for sensing temperature and other physical variables
US4652143A (en) 1984-11-29 1987-03-24 Luxtron Corporation Optical temperature measurement techniques
US4626110A (en) 1985-05-03 1986-12-02 Luxtron Corporation Technique for optically measuring the temperature of an ultrasonically heated object
US4789992A (en) 1985-10-15 1988-12-06 Luxtron Corporation Optical temperature measurement techniques
US4883354A (en) 1985-10-25 1989-11-28 Luxtron Corporation Fiberoptic sensing of temperature and/or other physical parameters
US4752141A (en) 1985-10-25 1988-06-21 Luxtron Corporation Fiberoptic sensing of temperature and/or other physical parameters
US4988212A (en) 1985-10-25 1991-01-29 Luxtron Corporation Fiberoptic sensing of temperature and/or other physical parameters
US4785824A (en) 1987-06-22 1988-11-22 Luxtron Corporation Optical fiber probe for measuring the temperature of an ultrasonically heated object
US4859079A (en) 1988-08-04 1989-08-22 Luxtron Corporation Optical system using a luminescent material sensor for measuring very high temperatures
US5109595A (en) 1989-03-30 1992-05-05 Luxtron Corporation Method of making a fiberoptic sensor of a microwave field
US5110216A (en) 1989-03-30 1992-05-05 Luxtron Corporation Fiberoptic techniques for measuring the magnitude of local microwave fields and power
US4986671A (en) 1989-04-12 1991-01-22 Luxtron Corporation Three-parameter optical fiber sensor and system
US5107445A (en) 1990-12-04 1992-04-21 Luxtron Corporation Modular luminescence-based measuring system using fast digital signal processing
US5112137A (en) 1991-04-10 1992-05-12 Luxtron Corporation Temperature measurement with combined photo-luminescent and black body sensing techniques
US5183338A (en) 1991-04-10 1993-02-02 Luxtron Corporation Temperature measurement with combined photo-luminescent and black body sensing techniques
JPH05144777A (ja) * 1991-11-21 1993-06-11 Sony Corp 低温ドライエツチング装置
US5304809A (en) 1992-09-15 1994-04-19 Luxtron Corporation Luminescent decay time measurements by use of a CCD camera
US5470155A (en) 1993-06-11 1995-11-28 Luxtron Corporation Apparatus and method for measuring temperatures at a plurality of locations using luminescent-type temperature sensors which are excited in a time sequence
US5414266A (en) 1993-06-11 1995-05-09 Luxtron Corporation Measuring system employing a luminescent sensor and methods of designing the system
US5876119A (en) * 1995-12-19 1999-03-02 Applied Materials, Inc. In-situ substrate temperature measurement scheme in plasma reactor
US5775808A (en) * 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
WO2000071971A1 (en) 1999-05-24 2000-11-30 Luxtron Corporation Optical techniques for measuring layer thicknesses
US6616332B1 (en) * 1999-11-18 2003-09-09 Sensarray Corporation Optical techniques for measuring parameters such as temperature across a surface
US6481886B1 (en) 2000-02-24 2002-11-19 Applied Materials Inc. Apparatus for measuring pedestal and substrate temperature in a semiconductor wafer processing system
JP3480442B2 (ja) * 2000-11-21 2003-12-22 ソニー株式会社 ウエハ処理装置及びウエハ処理方法
US7080940B2 (en) 2001-04-20 2006-07-25 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US6572265B1 (en) 2001-04-20 2003-06-03 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
JP3971617B2 (ja) * 2002-02-12 2007-09-05 東京エレクトロン株式会社 真空処理装置用の被処理体温度検出装置、及び該被処理体温度検出装置を備える真空処理装置
US7255474B2 (en) * 2003-07-28 2007-08-14 Symyx Technologies, Inc. Parallel infrared spectroscopy apparatus and method
US7578616B2 (en) 2005-09-22 2009-08-25 Lam Research Corporation Apparatus for determining a temperature of a substrate and methods therefor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001139942A (ja) 1999-07-26 2001-05-22 General Electric Co <Ge> Mn2+で賦活された緑色発光性SrAl12O19発光材料
US20030209773A1 (en) 2002-05-10 2003-11-13 Applied Materials, Inc. Temperature sensor

Also Published As

Publication number Publication date
US7578616B2 (en) 2009-08-25
TW200730803A (en) 2007-08-16
TWI408348B (zh) 2013-09-11
US20080019418A1 (en) 2008-01-24
US7497614B2 (en) 2009-03-03
WO2007037983A3 (en) 2008-01-24
US20070064767A1 (en) 2007-03-22
JP2009509356A (ja) 2009-03-05
CN101268346A (zh) 2008-09-17
WO2007037983A2 (en) 2007-04-05
CN101268346B (zh) 2012-11-14
JP5010610B2 (ja) 2012-08-29
KR20080053479A (ko) 2008-06-13

Similar Documents

Publication Publication Date Title
KR101277905B1 (ko) 기판의 온도를 결정하는 장치 및 방법
US11054453B2 (en) Photonic-crystal vapor cells for imaging of electromagnetic fields
US8178444B2 (en) Substrate processing method and substrate processing apparatus
US6894769B2 (en) Monitoring erosion of system components by optical emission
EP3171393B1 (en) Sealed elastomer bonded si electrodes and the like for reduced particle contamination in dielectric etch and method of manufacturing such electrodes
CN109346394B (zh) 在用于原位测量的传感器晶片上的抗蚀涂层
US20180261481A1 (en) Sensing system, sensing wafer, and plasma processing apparatus
US20050041238A1 (en) Method of using a sensor gas to determine erosion level of consumable system components
US20090246406A1 (en) Plasma processing apparatus, chamber internal part, and method of detecting longevity of chamber internal part
CN112534546A (zh) 低粒子等离子体蚀刻的方法和设备
US7110110B2 (en) Sensing component used to monitor material buildup and material erosion of consumables by optical emission
US5129994A (en) Method and apparatus to inhibit obstruction of optical transmission through semiconductor etch process chamber viewport
JP2001313285A (ja) プラズマ処理装置及び試料の処理方法
US11261521B2 (en) Thick optical quality synthetic polycrystalline diamond material with low bulk absorption and low microfeature density
US5985092A (en) Endpoint detection system
JP2004031398A (ja) プラズマプロセスにおける発光モニタ用プローブ
JP2006245509A (ja) プラズマ処理装置およびプラズマ処理方法
Smith et al. Optimizing chamber cleans for better film deposition performance
JPH09129617A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160607

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170609

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190611

Year of fee payment: 7