JPH08337876A - 反応ガス噴射ヘッド及び薄膜気相成長装置 - Google Patents

反応ガス噴射ヘッド及び薄膜気相成長装置

Info

Publication number
JPH08337876A
JPH08337876A JP7168040A JP16804095A JPH08337876A JP H08337876 A JPH08337876 A JP H08337876A JP 7168040 A JP7168040 A JP 7168040A JP 16804095 A JP16804095 A JP 16804095A JP H08337876 A JPH08337876 A JP H08337876A
Authority
JP
Japan
Prior art keywords
gas
nozzle
reaction
reaction gas
injection head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7168040A
Other languages
English (en)
Other versions
JP3380091B2 (ja
Inventor
Takeshi Murakami
武司 村上
Noriyuki Takeuchi
則行 竹内
Hiroyuki Shinozaki
弘行 篠崎
Kiwamu Tsukamoto
究 塚本
Yukio Fukunaga
由紀夫 福永
Akihisa Hongo
明久 本郷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Original Assignee
Ebara Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp filed Critical Ebara Corp
Priority to JP16804095A priority Critical patent/JP3380091B2/ja
Priority to TW085106835A priority patent/TW301014B/zh
Priority to EP96109191A priority patent/EP0747503B1/en
Priority to KR1019960020255A priority patent/KR100427426B1/ko
Priority to DE69630484T priority patent/DE69630484T2/de
Priority to US08/662,763 priority patent/US5728223A/en
Publication of JPH08337876A publication Critical patent/JPH08337876A/ja
Application granted granted Critical
Publication of JP3380091B2 publication Critical patent/JP3380091B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【目的】 濃度や成分の均一な混合ガスを流量や方向を
制御した状態で基板に向けて噴射することができる反応
ガス噴射ヘッドを提供する。 【構成】 薄膜気相成長装置に用いる反応ガス噴射ヘッ
ド5であって、反応ガスを導入する少なくとも2本以上
の反応ガス導入路21a,21bと、この反応ガス導入
路から導入された反応ガスを混合するガス混合室26
と、このガス混合室26の下流に設けられ、混合ガスを
一様な流れに整流して基板11に吹き付けるノズル29
とを有する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は薄膜気相成長装置に係
り、特に、チタン酸バリウム/ストロンチウム等の高誘
電率薄膜を気相成長させるのに好適な反応ガス噴射ヘッ
ド及びこれを備えた薄膜気相成長装置に関する。
【0002】
【従来の技術】近年、半導体産業における集積回路の集
積度の向上はめざましく、現状のメガビットオーダか
ら、将来のギガビットオーダを睨んだDRAMの研究開
発が行われている。かかるDRAMの製造のためには、
小さな面積で大容量が得られる素子が必要である。この
ような大容量素子の製造に用いる誘電体薄膜として、誘
電率が10以下であるシリコン酸化膜やシリコン窒化膜
に替えて、誘電率が20程度である五酸化タンタル(T
a25 )薄膜、あるいは誘電率が300程度であるチタ
ン酸バリウム(BaTiO3 )、チタン酸ストロンチウム
(SrTiO3 )又はこれらの混合物であるチタン酸バリ
ウムストロンチウム等の金属酸化物薄膜材料が有望視さ
れている。
【0003】このような金属酸化物薄膜を気相成長させ
る際には、1又は複数の有機金属化合物のガス原料と酸
素含有ガスとを混合し、一定の温度に加熱した基板に噴
射する。一般に、有機金属化合物ガスと酸素含有ガスの
混合ガスは反応抑制温度域が狭く、基板へ導く途中で温
度の不均一などがあると早期反応が起こりやすい。この
ため、有機金属化合物ガス導入管と、酸素ガス導入管と
を別々に基板近傍に導き、急速な均一混合化を図ること
により基板上に金属酸化物薄膜を成長させることが望ま
しい。
【0004】このための装置として、例えば特開平5−
299351号公報あるいは特開平6−10138号公
報に開示された技術がある。前者は、二重壁構造のホー
ンの壁間と内側壁とに導き、基板近くで混合するように
している。一方、後者は、原料ガスと酸素含有ガスをコ
ーン型ノズル内へノズル断面の接線方向に入射して、両
ガスの乱流を形成するようにしたものである。
【0005】
【発明が解決しようとする課題】上記のような従来の技
術においては、いずれも反応室内で原料ガスを酸素含有
ガスと混合させるため、ガス導入路内で反応が進行して
析出する事態は避けられるが、以下のような課題を残し
ている。特開平5−299351号公報の装置において
は、混合位置が基板に近づけられるため、途中での生成
物発生は抑制できるが、広い基板面での成膜に必要とな
る一様なダウンフローが形成できないこと、ホーン形状
を構成するために一定の高さが必要となり、装置が肥大
化すること、等の問題があった。
【0006】一方、特開平6−10138号公報におい
ては、渦巻状の乱流を生じさせても両ガスは充分混合さ
れない。また、成膜させる基板に対してガス混合位置が
かなり上流に位置するため、基板に至る前に生成物が発
生し、基板上での成膜の品質を著しく損なう可能性があ
るという問題があった。特に、近年、生産性を高めるた
めに、基板の寸法を大きくし、さらに、基板を高速回転
させながら気相成長させるなどの方法が検討されてお
り、上記のような従来の方法ではこれらに対応すること
が困難であった。
【0007】本発明は、上述した事情に鑑みて為された
もので、濃度や成分の均一な混合ガスを流量や方向を制
御した状態で基板に向けて噴射することができる反応ガ
ス噴射ヘッドを提供することを目的とする。
【0008】
【課題を解決するための手段】上記の目的を達成するた
めの請求項1に記載の発明は、薄膜気相成長装置に用い
る反応ガス噴射ヘッドであって、反応ガスを導入する少
なくとも2本以上の反応ガス導入路と、この反応ガス導
入路から導入された反応ガスを混合するガス混合室と、
このガス混合室の下流に設けられ、混合ガスを一様な流
れに整流して基板に吹き付けるノズルとを有することを
特徴とする反応ガス噴射ヘッドである。請求項2に記載
の発明は、上記ノズルが、上記ガス混合室に開口する絞
り部と、この絞り部に続いて徐々に拡径して形成された
ディフューザ部とを有することを特徴とする請求項1に
記載の反応ガス噴射ヘッドである。
【0009】請求項3に記載の発明は、上記混合室の上
記導入ガスの開口部に対向する位置に、ガス流れを変え
る偏向面が形成されていることを特徴とする請求項1又
は2に記載の反応ガス噴射ヘッドである。請求項4に記
載の発明は、上記偏向面が、上記開口部から上記絞り部
に向かうに従い断面積が小さくなるように設定されてい
ることを特徴とする請求項3に記載の反応ガス噴射ヘッ
ドである。請求項5に記載の発明は、上記偏向面が円錐
面であることを特徴とする請求項3又は4に記載の反応
ガス噴射ヘッドである。請求項6に記載の発明は、上記
偏向面は平坦面であることを特徴とする請求項3又は4
に記載の反応ガス噴射ヘッドである。
【0010】請求項7に記載の発明は、上記反応ガス導
入路が、互いに平行に配置されていることを特徴とする
請求項1ないし6のいずれかに記載の反応ガス噴射ヘッ
ド。請求項8に記載の発明は、上記反応ガス導入路は、
互いに所定角度で交差する位置に配置されていることを
特徴とする請求項1ないし6のいずれかに記載の反応ガ
ス噴射ヘッドである。請求項9に記載の発明は、上記ノ
ズルはガス混合室毎に設けられていることを特徴とする
請求項1ないし8のいずれかに記載の反応ガス噴射ヘッ
ドである。請求項10に記載の発明は、上記ガス混合室
とノズルが一体のノズル構造体として形成されているこ
とを特徴とする請求項1ないし9のいずれかに記載の反
応ガス噴射ヘッドである。請求項11に記載の発明は、
上記ノズル構造体が複数設けられていることを特徴とす
る請求項10に記載の反応ガス噴射ヘッドである。
【0011】請求項12に記載の発明は、上記ノズル構
造体が同一ノズル盤に配置されていることを特徴とする
請求項11に記載の反応ガス噴射ヘッドである。請求項
13に記載の発明は、上記ノズル盤と、反応ガス導入路
からの反応ガスを各ガス混合室へ導くガス導入路が形成
された分配盤とが積層されて構成されている請求項12
に記載の反応ガス噴射ヘッドである。請求項14に記載
の発明は、上記分配盤は、盤面に沿った方向に延びる溝
が形成された板状部材と、盤面に交差する方向に延びる
交差路が形成された板状部材とを有して構成されている
請求項13に記載の反応ガス噴射ヘッドである。請求項
15に記載の発明は、上記ノズル及び/又はガス混合室
を所定の温度に保つ熱媒体流路が形成されている請求項
1ないし14のいずれかに記載の反応ガス噴射ヘッドで
ある。請求項16に記載の発明は、請求項1ないし15
のいずれかに記載の反応ガス噴射ヘッドと、上記ノズル
が開口する反応室と、該反応室内に基板を保持する基板
保持機構とを有することを特徴とする薄膜気相成長装置
である。
【0012】
【作用】請求項1に記載の発明においては、反応ガス導
入路から導入された少なくとも2種以上の反応ガスがガ
ス混合室で混合され、さらにノズルから基板に吹き付け
られる。反応ガス、つまり原料ガスと酸化ガスを混合室
で強制的に混合するので、混合ガスの濃度や成分が不均
一となることがなく、混合場所がノズル直近であるの
で、基板上に成膜する前段階での反応が抑制されてコン
タミ発生が防止され、成膜の信頼性が向上する。請求項
2に記載の発明においては、ノズルにガス混合室に続く
絞り部及びこれに続くディフューザ部が設けられている
ので、ここで一様な流れに整流され、方向や圧力が制御
されて基板に向けて噴射される。
【0013】請求項3に記載の発明においては、混合室
の偏向面に導入路の開口部から噴射されたガスが当たっ
てその流れが変えられ、乱流が形成されて反応ガスどう
しの均一な混合が促進される。請求項4に記載の発明に
おいては、反応ガスが乱流を形成しながら混合する過程
で、徐々に絞り部に導かれる。請求項5に記載の発明に
おいては、反応ガスが円錐面に当たって乱流が形成され
る。請求項6に記載の発明においては、反応ガスが平坦
面に当たって乱流が形成される。請求項7に記載の発明
においては、互いに平行に配置された反応ガス導入路か
ら反応ガスが導入され、反応ガスは偏向面に当たってか
ら混合される。
【0014】請求項8に記載の発明においては、互いに
所定角度で交差する位置に配置された反応ガス導入路か
ら反応ガスが導入され、混合室内で直接に流れが当たっ
て混合され、あるいは、偏向面に当たってから混合され
る。請求項9に記載の発明においては、ノズル毎に混合
室が設けられており、混合したガスが滞留することなく
ノズルに導かれる。請求項10に記載の発明において
は、ノズル構造体の一方の面にガス混合室が、他方の面
にディフューザ部がそれぞれ外側に向けて拡径するよう
に形成され、板厚中央部にこれらを結ぶ絞り部が形成さ
れる。このように単一部品として構成されるので、部品
点数が増えず、組立も容易となる。請求項11に記載の
発明においては、複数のノズルから均一に混合されかつ
整流された反応ガスが噴射される。広い面積を持つ基板
に好適である。請求項12に記載の発明においては、部
材の一方の面にガス混合室が、他方の面にディフューザ
部がそれぞれ外側に向けて拡径するように形成され、板
厚中央部にこれらを結ぶ絞り部が形成され、このような
ユニットが同一ノズル盤に平面的に配置される。構造が
簡単で、製造、組立が容易である。請求項13に記載の
発明においては、反応ガス導入路からの反応ガスは、分
配盤に形成された分配路を介してノズル盤の各混合室に
導かれる。
【0015】請求項14に記載の発明においては、第1
分配盤の水平導入路を盤面に開口する溝として、第2分
配盤の交差導入路を盤面に貫通する孔として形成すれば
加工が容易に行われる。請求項15に記載の発明におい
ては、熱媒体流路に流れる熱媒体によってガス混合室及
びノズルが所定の温度、例えば250〜260゜Cに保
たれ、反応ガスが事前に反応したり凝縮することが防止
される。請求項16に記載の発明においては、反応ガ
ス、つまり原料ガスと酸化ガスをノズル直近の混合室で
強制的に混合し、絞り部及びこれに続くディフューザ部
で一様な流れに整流し、均一な濃度及び成分の混合反応
ガスを、方向や圧力を制御した流れとして反応室内の基
板に向けて噴射し、品質と歩留まりのよい成膜を高能率
で行なう。
【0016】
【実施例】以下、本発明の一実施例について添付図面を
参照しながら説明する。なお、各図中同一符号は同一又
は相当部分を示す。
【0017】図1は、本発明の反応ガス噴射ヘッドを組
み込んだ薄膜気相成長装置Aを示す。この薄膜気相成長
装置においては、釜状の容器1と支持台2で囲まれて反
応室3が構成されている。反応室3は気密に構成され、
生成ガスを排気する排気孔4が設けられ、また、容器壁
には熱媒体流路が形成されて容器壁や室内を所定温度に
保つようにしている。容器1の頂部には反応噴射ヘッド
5が装着され、支持台2の中央開口部には筒状部6が設
けられてこの中を基板支持部材(ステージ)7が昇降す
るようになっている。筒状部6には、ゲート8を有する
搬送路9が形成され、ロボットアーム10により基板1
1を出し入れするようになっている。筒状部6の下側に
は、ステージ7を昇降させる駆動機構12が設けられて
いる。ステージ7には基板11を所定反応温度に加熱す
るヒータ13が設けられている。
【0018】図2は、この発明の第1実施例の反応ガス
噴射ヘッド5を示すもので、この実施例では、反応ガス
噴射ヘッド5が一つの混合室とノズルを有する構成とな
っている。この反応ガス噴射ヘッド5は、反応ガス(原
料ガス、酸化ガス)を導入する導入路21a,21bが
形成された分配盤22と、ノズル構造体23とが接合さ
れて構成され、ガス導入路21a,21bにはそれぞれ
の反応ガス供給管24a,24bが接続されている。
【0019】ノズル構造体23には、その一方の面に、
円錐面状の壁(偏向面)25aを持つガス混合室26
が、他方の面にやはりテーパ壁を持つディフューザ部2
7がそれぞれ外側に向けて拡径するように形成され、板
厚中央部にこれらを結ぶ直管状の絞り部28が形成され
ている。絞り部28とディフューザ部27がノズル29
を構成し、ノズル29とガス混合室26がノズル構造体
23を構成している。導入路21a,21bは混合室2
6の円錐面25aに対向する位置に開口して形成されて
いる。
【0020】このように構成された反応ガス噴射ヘッド
においては、反応ガス、すなわち、原料ガスと酸化ガス
が、図示しない供給源から供給管24a,24bと導入
路21a,21bを介して混合室26内に各々所定量噴
射され、偏向面25aに当たって偏向させられる。原料
ガスは、例えばBa(DPM)2 、Sr(DPM)2 及び
Ti(i−OC374 等の有機金属溶液が混合されて気
化され、Ar等のキャリアガスと混合させられたもので
あり、酸化ガスは、O2 ,N2O ,H2O等の酸素含有
ガスにオゾナイザにより生成されたオゾン(O3)を含
むようにされたものである。
【0021】反応ガスは、混合室26の偏向面25aに
当たって中央側に向けて偏向させられ、乱流を形成しな
がら混合室26中央で合流して均一な混合が促進され、
絞り部28からディフューザ部27に導かれ、整流され
て反応室3中に所定量噴射される。反応室3内では、原
料ガスと酸化ガスとが反応して、チタン酸バリウムある
いはチタン酸ストロンチウム等の金属酸化物分子が形成
され、半導体等の基板11上に金属酸化物薄膜が成長し
て堆積する。反応が終了したガスや余剰ガスは、生成ガ
ス排気孔4を介して反応室3から排出される。
【0022】本発明の反応ガス噴射ヘッドから噴射され
た反応ガスは、事前に混合室26で強制的に混合させら
れており、絞り部28とディフューザ部27を有するノ
ズル29により整流されて均一なダウンフローとなって
基板11面に吹き付けられるので、コンタミのない高品
質の成膜が高速度で行われる。また、この実施例では、
混合室26の偏向面25aを円錐面としており、簡単な
加工工程で、かつ充分な強制混合作用を果たす。
【0023】図3は、この発明の反応ガス噴射ヘッドの
他の実施例を示すもので、混合室の形状を変えたもので
ある。すなわち、混合室26の内壁を円錐面ではなく、
球面25bに形成している。また、図4は、ガス混合室
26を、水平方向断面が長方形の角錐台状に形成し、す
なわち平坦面25cを偏向面としたものである。これら
の混合室の形状や大きさは、所要の噴射条件を考慮して
適宜に選択されてよい。
【0024】図5ないし図8は、複数のノズル構造体4
2が同一のノズル盤37に設けられている反応ガス噴射
ヘッド5の実施例であり、大きい基板11に対して均一
なガスフローを与えることができる例である。この反応
ガス噴射ヘッド5は、図6にその断面を示すように、上
側の3層の板状部材31,32,33で反応ガスを各ガ
ス混合室に分配する分配盤34が構成され、その下側に
複数のガス混合室35とノズル36の対が平面的に配置
されたノズル盤37が設けられている。
【0025】ノズル盤37の個々のガス混合室35やノ
ズル36の構造は第1実施例と同様である。この例で
は、ノズル盤37を直接加工してガス混合室35とノズ
ル36を形成しているが、例えば両者を形成したユニッ
ト部品を組み込んで構成してもよい。
【0026】分配盤34は、酸化ガスを供給管24aか
ら各ガス混合室35の対応位置に導びく分配路38が形
成された第1の板状部材31と、原料ガスを供給管24
bから各ガス混合室の対応位置に導びく分配路39が形
成された第2の板状部材32と、これらの分配路38,
39と各混合室35とを結ぶ貫通孔(導入路)40,4
1が形成された第3の板状部材33とが積層されて構成
されている。第2の板状部材32にも、第1の板状部材
の分配路38と各混合室35を結ぶ貫通孔40が形成さ
れている。第1及び第2の板状部材31,32の分配路
38,39は、下側に開口する断面矩形の溝として形成
されており、第2及び第3の板状部材32,33によっ
て貫通孔40,41以外の箇所が覆われて導入路が構成
されている。
【0027】図8は、これらの分配路38,39、貫通
孔40,41、ガス混合室35及びノズル36の平面的
配置を示すもので、各分配路38,39は、供給管24
a,24bへの接続口から枝状に分岐して延びて形成さ
れている。この分配路38,39の配置は、ノズル盤3
7のノズル36及びガス混合室35の配置に対応してお
り、その位置に導かれていればどのように分岐させても
よい。また、供給管24a,24bへの接続口の位置や
数も適宜に設定してよい。板状部材の積層には、溶接、
圧接、ボルトによる締結、接着等適宜の方法が採用され
る。
【0028】このように構成された反応ガス噴射ヘッド
5においては、供給管24a,24bから供給された原
料ガスや酸化ガスが分配路38,39、貫通孔(導入
路)40,41を通して個々のガス混合室35に導かれ
て混合され、絞り部43とディフューザ部44で整流さ
れて噴射される。ノズル36がノズル盤37に均等に分
散配置されているので、基板11に対して広い範囲にわ
たって制御されたガス流れが形成され、歩留まりの良い
成膜を高速度で行うことができる。
【0029】また、この実施例では、反応ガスの導入路
を、溝が形成された板状部材と、所定位置に貫通孔が形
成された板状部材との組み合わせで構成しているので、
複雑な配置の流路を簡単な加工で製作することができ
る。この実施例では、原料ガスと酸化ガスの2種の反応
ガスを用いているが、複数種の原料ガスを用いる場合は
3つ以上の分配路が必要となり、これに応じて板状部材
を増やせばよい。
【0030】図9及び図10は、この発明の第3実施例
を示すもので、反応ガス噴射ヘッド5の内部に熱媒体の
流路51を形成して、ヘッド5の温度を一定に保つよう
にしたものである。すなわち、ノズル盤52は、側壁5
3を有する上板54と下板55と、その間に嵌め込まれ
た複数のノズル構造体56とから構成されている。ノズ
ル構造体56は、ガス混合室57、絞り部58、ディフ
ューザ部59が形成された筒状の部材で、上下端部に上
板54及び下板55と接するフランジ60,61が形成
されている。上板54と下板55の間に形成されたノズ
ル構造体56を取り囲む空間が適当に仕切られて熱媒体
流路51が形成され、これに配管62を介して媒体供給
源が接続されている。
【0031】熱媒体は、図9に示すように反応室3を取
り囲む容器1、支持台2、筒状体6等にも熱媒体配管6
2を介して供給されており、その一部が反応ガス噴射ヘ
ッド5に供給されるようになっている。熱媒体配管62
には、熱媒体を所定温度に加熱するヒータ63と、ポン
プ等の抽送手段64が設けられている。
【0032】
【発明の効果】以上説明したように、この発明によれ
ば、原料ガスと酸化ガスを混合室で強制的に混合し、濃
度や成分を均一としてからノズルにより整流して基板に
噴射するので、基板に成膜する前段階での反応が抑制さ
れてコンタミ発生が防止され、成膜の信頼性が向上する
とともに、圧力や方向を制御して対象基板の大きさや成
膜される材質に適合したガスフローを提供できる。ま
た、ノズルと混合室を一体化したノズル構造体を構成で
きるので、ガス混合の促進が簡単な構造、コンパクトな
装置で達成でき、装置全体のコスト削減に寄与する。ま
た、複数ノズルを集合させたノズル盤を構成することに
より、広い範囲で基板に対して垂直で一様なダウンフロ
ーを形成することができ、大きいサイズのウエハへの対
応が可能となり、生産能率の向上と歩留り向上が達成で
きる。また、ノズル盤を加熱する熱媒体流路を簡単な構
造で組み込むことができ、これによって、反応ガスの温
度に対する安定条件の厳しい高誘電率の誘電体薄膜の形
成への対応も容易である、
【図面の簡単な説明】
【図1】本発明の実施例の薄膜気相成長装置の概略を示
す断面図である。
【図2】本発明の第1実施例の反応ガス噴射ヘッドの断
面図である。
【図3】本発明の反応ガス噴射ヘッドの第2の実施例の
断面図である。
【図4】本発明の反応ガス噴射ヘッドの第3の実施例の
断面図である。
【図5】本発明の反応ガス噴射ヘッドの第4の実施例の
下斜視図である。
【図6】図5のVI断面図である。
【図7】図5のVII断面図である。
【図8】(a)ないし(d)は、図5のそれぞれa,
b,c,d矢視図である。
【図9】本発明の他の実施例の薄膜気相成長装置の構成
図である。
【図10】本発明の反応ガス噴射ヘッドの第5の実施例
の断面図である。
【符号の説明】
3 反応室 11 基板 21a,21b,40,41 導入路 23,42,56 ノズル構造体 25a,25b,25c 偏向面 26,35,57 ガス混合室 27,44,59 ディフューザ部 28,43,58 絞り部 29,36 ノズル 34 分配盤 37,52 ノズル盤 51 熱媒体流路
───────────────────────────────────────────────────── フロントページの続き (72)発明者 塚本 究 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内 (72)発明者 福永 由紀夫 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内 (72)発明者 本郷 明久 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 薄膜気相成長装置に用いる反応ガス噴射
    ヘッドであって、 反応ガスを導入する少なくとも2本以上の反応ガス導入
    路と、 この反応ガス導入路から導入された反応ガスを混合する
    ガス混合室と、 このガス混合室の下流に設けられ、混合ガスを一様な流
    れに整流して基板に吹き付けるノズルとを有することを
    特徴とする反応ガス噴射ヘッド。
  2. 【請求項2】 上記ノズルは、上記ガス混合室に開口す
    る絞り部と、この絞り部に続いて徐々に拡径して形成さ
    れたディフューザ部とを有することを特徴とする請求項
    1に記載の反応ガス噴射ヘッド。
  3. 【請求項3】 上記混合室には、上記導入ガスの開口部
    に対向する位置にガス流れを変える偏向面が形成されて
    いることを特徴とする請求項1又は2に記載の反応ガス
    噴射ヘッド。
  4. 【請求項4】 上記偏向面は、上記開口部から上記絞り
    部に向かうに従い断面積が小さくなるように設定されて
    いる請求項3に記載の反応ガス噴射ヘッド。
  5. 【請求項5】 上記偏向面は円錐面であることを特徴と
    する請求項3又は4に記載の反応ガス噴射ヘッド。
  6. 【請求項6】 上記偏向面は平坦面であることを特徴と
    する請求項3又は4に記載の反応ガス噴射ヘッド。
  7. 【請求項7】 上記反応ガス導入路は、互いに平行に配
    置されていることを特徴とする請求項1ないし6のいず
    れかに記載の反応ガス噴射ヘッド。
  8. 【請求項8】 上記反応ガス導入路は、互いに所定角度
    で交差する位置に配置されていることを特徴とする請求
    項1ないし6のいずれかに記載の反応ガス噴射ヘッド。
  9. 【請求項9】 上記ノズルはガス混合室毎に設けられて
    いることを特徴とする請求項1ないし8のいずれかに記
    載の反応ガス噴射ヘッド。
  10. 【請求項10】 上記ガス混合室とノズルが一体のノズ
    ル構造体として形成されていることを特徴とする請求項
    1ないし9のいずれかに記載の反応ガス噴射ヘッド。
  11. 【請求項11】 上記ノズル構造体が複数設けられてい
    ることを特徴とする請求項10に記載の反応ガス噴射ヘ
    ッド。
  12. 【請求項12】 上記ノズル構造体が同一ノズル盤に配
    置されていることを特徴とする請求項11に記載の反応
    ガス噴射ヘッド。
  13. 【請求項13】 上記ノズル盤と、反応ガス導入路から
    の反応ガスを各ガス混合室へ導くガス導入路が形成され
    た分配盤とが積層されて構成されている請求項12に記
    載の反応ガス噴射ヘッド。
  14. 【請求項14】 上記分配盤は、盤面に沿った方向に延
    びる溝が形成された板状部材と、盤面に交差する方向に
    延びる交差路が形成された板状部材とを有して構成され
    ている請求項13に記載の反応ガス噴射ヘッド。
  15. 【請求項15】 上記ノズル及び/又はガス混合室を所
    定の温度に保つ熱媒体流路が形成されている請求項1な
    いし14のいずれかに記載の反応ガス噴射ヘッド。
  16. 【請求項16】 請求項1ないし15のいずれかに記載
    の反応ガス噴射ヘッドと、上記ノズルが開口する反応室
    と、該反応室内に基板を保持する基板保持機構とを有す
    ることを特徴とする薄膜気相成長装置。
JP16804095A 1995-06-09 1995-06-09 反応ガス噴射ヘッド及び薄膜気相成長装置 Expired - Fee Related JP3380091B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP16804095A JP3380091B2 (ja) 1995-06-09 1995-06-09 反応ガス噴射ヘッド及び薄膜気相成長装置
TW085106835A TW301014B (ja) 1995-06-09 1996-06-07
EP96109191A EP0747503B1 (en) 1995-06-09 1996-06-07 Reactant gas injector for chemical vapor deposition apparatus
KR1019960020255A KR100427426B1 (ko) 1995-06-09 1996-06-07 반응가스분사헤드및증기상박막성장장치
DE69630484T DE69630484T2 (de) 1995-06-09 1996-06-07 Reaktivgasinjektor für Vorrichtung zur chemischen Gasphasenabscheidung
US08/662,763 US5728223A (en) 1995-06-09 1996-06-10 Reactant gas ejector head and thin-film vapor deposition apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP16804095A JP3380091B2 (ja) 1995-06-09 1995-06-09 反応ガス噴射ヘッド及び薄膜気相成長装置

Publications (2)

Publication Number Publication Date
JPH08337876A true JPH08337876A (ja) 1996-12-24
JP3380091B2 JP3380091B2 (ja) 2003-02-24

Family

ID=15860709

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16804095A Expired - Fee Related JP3380091B2 (ja) 1995-06-09 1995-06-09 反応ガス噴射ヘッド及び薄膜気相成長装置

Country Status (6)

Country Link
US (1) US5728223A (ja)
EP (1) EP0747503B1 (ja)
JP (1) JP3380091B2 (ja)
KR (1) KR100427426B1 (ja)
DE (1) DE69630484T2 (ja)
TW (1) TW301014B (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6176929B1 (en) 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
KR100438491B1 (ko) * 2001-11-16 2004-07-03 주식회사 유진테크 박막 제조용 화학기상증착 장치
KR100663369B1 (ko) * 2005-07-22 2007-01-02 삼성전자주식회사 혼합가스공급유로 및 이를 갖는 반도체 소자 제조용확산설비
JP2008231568A (ja) * 2007-03-05 2008-10-02 Applied Materials Inc コーティング装置及びガス供給システム
JP2009127131A (ja) * 2007-11-23 2009-06-11 Applied Materials Inc コーティング装置及び電極アセンブリの製造方法
KR100944186B1 (ko) * 2009-07-14 2010-02-24 주식회사 시스넥스 화학기상증착 반응기의 가스분사장치
WO2012169332A1 (ja) * 2011-06-09 2012-12-13 シャープ株式会社 シャワープレートの製造方法、シャワープレートおよびこれを用いた気相成長装置
JP2015143384A (ja) * 2013-12-27 2015-08-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP2019525447A (ja) * 2017-07-14 2019-09-05 君泰創新(北京)科技有限公司Beijing Juntai Innovation Technology Co.,Ltd 均等流板及びプロセスチャンバ等化装置
CN112795905A (zh) * 2020-12-25 2021-05-14 北京北方华创微电子装备有限公司 进气结构及半导体沉积设备
JP2021094486A (ja) * 2019-12-13 2021-06-24 クアーズテック株式会社 ブレイクフィルタ及びブレイクフィルタの製造方法
JP2021109997A (ja) * 2020-01-08 2021-08-02 東京エレクトロン株式会社 ガス供給構造及び基板処理装置
CN115386860A (zh) * 2022-08-31 2022-11-25 北京北方华创微电子装备有限公司 进气装置及半导体工艺腔室

Families Citing this family (425)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW331652B (en) * 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
DE19921744B4 (de) * 1999-05-11 2008-04-30 Applied Materials Gmbh & Co. Kg Verfahren zum Transport von mindestens einer dampfförmigen Substanz durch die Wand einer Vakuumkammer in die Vakuumkammer sowie Vorrichtung zur Durchführung des Verfahrens und deren Verwendung
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
KR100974848B1 (ko) * 2001-12-03 2010-08-11 가부시키가이샤 알박 혼합기, 박막 제조 장치 및 박막 제조 방법
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
KR100889758B1 (ko) * 2002-09-03 2009-03-20 삼성모바일디스플레이주식회사 유기박막 형성장치의 가열용기
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
US7654975B2 (en) * 2003-04-24 2010-02-02 Northgate Technologies, Inc. Mixed-gas insufflation system
KR100626366B1 (ko) * 2003-07-18 2006-09-20 삼성전자주식회사 기상 증착 시스템
KR100541050B1 (ko) * 2003-07-22 2006-01-11 삼성전자주식회사 가스공급장치 및 이를 이용한 반도체소자 제조설비
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
DE202004021703U1 (de) * 2003-10-07 2010-05-27 Northgate Technologies Inc., Elgin Vorrichtung zur Abgabe einer Substanz in eine Körperhöhle
EP1680173B1 (en) * 2003-10-31 2011-01-12 Trudell Medical International System for manipulating a catheter for delivering a substance to a body cavity
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050147763A1 (en) * 2003-12-24 2005-07-07 Macronix International Co., Ltd. Method and apparatus for uniform deposition onto semiconductor wafers
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP2006179770A (ja) * 2004-12-24 2006-07-06 Watanabe Shoko:Kk 基板表面処理装置
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
KR100599056B1 (ko) * 2005-07-21 2006-07-12 삼성전자주식회사 포토레지스트 제거 장치 및 방법
US7918938B2 (en) * 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
WO2008015566A2 (en) 2006-08-04 2008-02-07 Northgate, Technologies, Inc. In-dwelling port for access into a body
DE102006043543B4 (de) * 2006-09-12 2012-05-10 Innovent E.V. Homogenisator für der Beschichtung von Oberflächen dienende Gasströme
DE102006043542B4 (de) * 2006-09-12 2012-05-16 Innovent E.V. Verfahren zum Beschichten von Oberflächen
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
WO2008117832A1 (ja) * 2007-03-27 2008-10-02 Canon Anelva Corporation 真空処理装置
DE102007026349A1 (de) 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7744720B2 (en) * 2007-12-06 2010-06-29 Tokyo Electron Limited Suppressor of hollow cathode discharge in a shower head fluid distribution system
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100139562A1 (en) * 2008-12-10 2010-06-10 Jusung Engineering Co., Ltd. Substrate treatment apparatus
US8931431B2 (en) * 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101084234B1 (ko) 2009-11-30 2011-11-16 삼성모바일디스플레이주식회사 증착원, 이를 구비하는 증착 장치 및 박막 형성 방법
EP2360292B1 (en) * 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US8845806B2 (en) 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014119177A1 (ja) * 2013-01-30 2014-08-07 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9572595B1 (en) 2014-03-05 2017-02-21 Northgate Technologies Inc. In-dwelling port for access into a body
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105695951B (zh) * 2016-04-20 2018-10-02 肖志凯 一种适用于局部生长薄膜和涂层的装置及其应用
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
CN105970188A (zh) * 2016-07-11 2016-09-28 中山德华芯片技术有限公司 一种旋转圆盘式mocvd反应室的进气结构
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
TWI580807B (zh) * 2016-10-28 2017-05-01 財團法人工業技術研究院 蒸鍍設備與利用此設備之蒸鍍方法
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017204257A1 (de) * 2017-03-14 2018-09-20 Schunk Kohlenstofftechnik Gmbh Beschichtetes Produkt und Verfahren zur Herstellung
CN106756887A (zh) * 2017-03-21 2017-05-31 北京化工大学 一种微分混合式化学气相沉积装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN109609929A (zh) * 2018-11-20 2019-04-12 沈阳拓荆科技有限公司 混气结构及反应设备
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109518166B (zh) * 2019-01-28 2023-09-22 南京爱通智能科技有限公司 一种适用于超大规模原子层沉积的气体匀流系统
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN110158055B (zh) * 2019-05-15 2022-01-14 拓荆科技股份有限公司 多段喷淋组件
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US4401052A (en) * 1979-05-29 1983-08-30 The University Of Delaware Apparatus for continuous deposition by vacuum evaporation
JPS591671A (ja) * 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6027690A (ja) * 1983-07-25 1985-02-12 Ulvac Corp 気相エピタキシヤル成長用化学反応装置
JPS60189928A (ja) * 1984-03-12 1985-09-27 Fujitsu Ltd 減圧気相成長装置
JPS60192323A (ja) * 1984-03-13 1985-09-30 Nec Corp 半導体の気相成長装置
JPS62158317A (ja) * 1985-12-28 1987-07-14 Ulvac Corp Cvd装置用ガスノズル
FR2599558B1 (fr) * 1986-05-27 1988-09-02 Labo Electronique Physique Procede de realisation d'un dispositif semi-conducteur, incluant le depot en phase vapeur de couches sur un substrat
DE3715644A1 (de) * 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
DD271776A1 (de) * 1988-05-06 1989-09-13 Elektromat Veb Vorrichtung zur gaszufuehrung und -ableitung fuer die gasphasenbearbeitung von werkstuecken
JPH01283375A (ja) * 1988-05-09 1989-11-14 Fujitsu Ltd Cvd装置
WO1990010092A1 (en) * 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
JPH0344470A (ja) * 1989-07-12 1991-02-26 Toshiba Corp 半導体基板の薄膜形成装置
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
JPH03106039A (ja) * 1989-09-20 1991-05-02 Fujitsu Ltd ガス混合装置及びそれを用いた気相エピタキシャル成長装置
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH0610138A (ja) * 1991-07-01 1994-01-18 Kokusai Chodendo Sangyo Gijutsu Kenkyu Center Mocvd法による酸化物超電導体の製造方法
JPH0590169A (ja) * 1991-09-25 1993-04-09 Hitachi Ltd ガス供給装置およびそれを備えたマイクロ波プラズマ成膜装置
JPH05299351A (ja) * 1992-04-20 1993-11-12 Nec Kansai Ltd 気相成長装置

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6176929B1 (en) 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
KR100438491B1 (ko) * 2001-11-16 2004-07-03 주식회사 유진테크 박막 제조용 화학기상증착 장치
KR100663369B1 (ko) * 2005-07-22 2007-01-02 삼성전자주식회사 혼합가스공급유로 및 이를 갖는 반도체 소자 제조용확산설비
JP2008231568A (ja) * 2007-03-05 2008-10-02 Applied Materials Inc コーティング装置及びガス供給システム
JP2009127131A (ja) * 2007-11-23 2009-06-11 Applied Materials Inc コーティング装置及び電極アセンブリの製造方法
KR100944186B1 (ko) * 2009-07-14 2010-02-24 주식회사 시스넥스 화학기상증착 반응기의 가스분사장치
WO2012169332A1 (ja) * 2011-06-09 2012-12-13 シャープ株式会社 シャワープレートの製造方法、シャワープレートおよびこれを用いた気相成長装置
JP2012256730A (ja) * 2011-06-09 2012-12-27 Sharp Corp シャワープレートの製造方法、シャワープレート及びこれを用いた気相成長装置
JP2015143384A (ja) * 2013-12-27 2015-08-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP2019525447A (ja) * 2017-07-14 2019-09-05 君泰創新(北京)科技有限公司Beijing Juntai Innovation Technology Co.,Ltd 均等流板及びプロセスチャンバ等化装置
JP2021094486A (ja) * 2019-12-13 2021-06-24 クアーズテック株式会社 ブレイクフィルタ及びブレイクフィルタの製造方法
JP2021109997A (ja) * 2020-01-08 2021-08-02 東京エレクトロン株式会社 ガス供給構造及び基板処理装置
CN112795905A (zh) * 2020-12-25 2021-05-14 北京北方华创微电子装备有限公司 进气结构及半导体沉积设备
CN115386860A (zh) * 2022-08-31 2022-11-25 北京北方华创微电子装备有限公司 进气装置及半导体工艺腔室
CN115386860B (zh) * 2022-08-31 2023-10-13 北京北方华创微电子装备有限公司 进气装置及半导体工艺腔室

Also Published As

Publication number Publication date
KR100427426B1 (ko) 2004-07-12
KR970003441A (ko) 1997-01-28
US5728223A (en) 1998-03-17
JP3380091B2 (ja) 2003-02-24
EP0747503B1 (en) 2003-10-29
DE69630484D1 (de) 2003-12-04
TW301014B (ja) 1997-03-21
DE69630484T2 (de) 2004-08-19
EP0747503A1 (en) 1996-12-11

Similar Documents

Publication Publication Date Title
JP3380091B2 (ja) 反応ガス噴射ヘッド及び薄膜気相成長装置
KR101022684B1 (ko) 혼합기, 박막 제조 장치 및 박막 제조 방법
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7452424B2 (en) Vaporizer
JP3565799B2 (ja) 薄膜蒸着用反応容器
CN100505175C (zh) 气体处理装置和成膜装置
US7427425B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20080134976A1 (en) Apparatus for Forming Thin Film
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR20100124198A (ko) 가스 공급 장치
JPH0517696B2 (ja)
CN101665927A (zh) 成膜装置、基板处理装置及成膜方法
US20060070575A1 (en) Solution-vaporization type CVD apparatus
JP2000144432A (ja) ガス噴射ヘッド
US5814153A (en) Semiconductor device manufacturing apparatus
JP3649267B2 (ja) 反応ガス噴射ヘッド
JP2000212749A (ja) 薄膜形成装置、及び窒化タングステン薄膜製造方法
JP2001064777A (ja) ガス噴射ヘッド
JP2003303819A (ja) 基板処理装置および半導体装置の製造方法
JP2000252270A (ja) ガス噴射ヘッド
JP4773469B2 (ja) 薄膜形成装置及び薄膜形成方法
JPH07130662A (ja) 縦型減圧cvd装置
JPH0626188B2 (ja) 気相成長装置
JPH1074746A (ja) 液体原料気化装置
TW202143291A (zh) 處理基板之裝置、準備所述裝置之方法及處理基板之方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees