JPH0473287B2 - - Google Patents

Info

Publication number
JPH0473287B2
JPH0473287B2 JP58157826A JP15782683A JPH0473287B2 JP H0473287 B2 JPH0473287 B2 JP H0473287B2 JP 58157826 A JP58157826 A JP 58157826A JP 15782683 A JP15782683 A JP 15782683A JP H0473287 B2 JPH0473287 B2 JP H0473287B2
Authority
JP
Japan
Prior art keywords
plasma
gas
surface treatment
vacuum chamber
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP58157826A
Other languages
English (en)
Other versions
JPS6050923A (ja
Inventor
Keizo Suzuki
Takeshi Ninomya
Shigeru Nishimatsu
Sadayuki Okudaira
Osami Okada
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP58157826A priority Critical patent/JPS6050923A/ja
Priority to US06/642,801 priority patent/US4579623A/en
Publication of JPS6050923A publication Critical patent/JPS6050923A/ja
Publication of JPH0473287B2 publication Critical patent/JPH0473287B2/ja
Granted legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】 〔発明の利用分野〕 本発明はプラズマを用いた表面処理方法および
その装置に係り、特に半導体集積回路用のプラズ
マエツチングや、プラズマデポジシヨン(プラズ
マCVD(Chemical Vapour Deposition))装置
に関する。
〔発明の背景〕
プラズマを用いた表面処理技術が工業的に活発
に用いられている。このプラズマ表面処理装置は
真空室、真空室を排気する手段、真空室にガスを
導入する手段、真空室内またはその一部にプラズ
マを発生する手段、および試料と試料を保持する
手段から構成される。プラズマ表面処理の特性
は、プラズマ発生用ガス(放電ガス)の種類、組
成、濃度によつて極端に変化する。表面処理の目
的によつては、放電ガスの種類、組成、濃度を処
理期間の途中で一定時間(周期的に)変化させ、
特定の処理特性を強調することが必要となる場合
が有る。しかし、従来装置ではガス導入手段にガ
スの種類、組成、濃度を変化させる機能はなく、
処理期間全搬に渡つて一定の特性の処理しか行う
ことができなかつた。
第1図と第2図に従来のプラズマを用いたエツ
チング装置(プラズマエツチング装置)の構成例
を示してある(管野卓雄編「半導体プラズマプロ
セス技術」、産業図書株式会社、1980、pp101〜
164)。第1図は有磁場マイクロ波放電を用いた装
置であり、第2図はRF放電を用いた方法である。
有磁場マイクロ波放電を発生させる手段は、マイ
クロ波発振器1(通常マグネトロン)、マイクロ
発振器用電源2、導波管3、放電管4、電磁石
5、永久磁石12により構成される。場合によつ
ては電磁石5と永久磁石12の両方は必要でな
く、どちらか片方だけで良い。RF放電を発生さ
せる手段は、RF電源15、コンデンサー16、
およびRF上下電極13,14より構成される。
第2図ではRF電極15は真空室6内にあるが、
場合によつてはRF電極13,14を真空外に設
置する場合も有る。
プラズマエツチング装置を半導体素子製造プロ
セスに適用するためには次のことが重要な課題と
なる。
(1) エツチング速さが大きいこと。
(2) 第3a図に示す被エツチング物質24をマス
ク25を用いて、第3c図のようにアンダーカ
ツトのない垂直エツチング(マスク通りのエツ
チング)が可能なこと。即ち、微細加工性が良
いこと。
エツチング速さを大きくするためには、例えば
被エツチング物質24がSi(またはpoly−Si)で
ある場合にはSF6またはF2を放電ガスとして用い
ると良い。しかし、この放電ガスは第3b図のよ
うにアンダーカツト26が大きく条件(2)が満足さ
れない。後に示すごとく本発明を用いて放電ガス
の組成を周期的に変化させることによつて、上記
(1),(2)の条件を同時に満足させることが可能とな
る。
第1図,第2図の装置は、放電ガスの種類を変
えることによつてプラズマデポジシヨン装置(プ
ラズマCVD(Chemical Vapour Deposition)装
置)として使うこともできる。たとえば、第1,
2図の装置で放電ガスとしてSiH4とNH3の混合
ガスを用いると試料表面にSiとNの混合膜(窒化
シリコン(Si−N)膜)が形成され、半導体素子
の保護膜として用いることができる。しかし、こ
の窒化シリコン膜中には多量(原子密度比で10%
以上)の水素が混入し素子特性を劣下させる
(R.B.Fair et al.;IEEE,ED−28,83−94
(1981))。また、第1図の装置で放電ガスとして
SiF4とN2の混合ガスを用いると同様に窒化シリ
コン膜を形成することができる。しかし、この場
合では膜中に混入する弗素が問題となる。本発明
による放電ガスの組成を周期的に変化させること
によつて上記の水素または弗素の混入量を極めて
微量にすることが可能となる。
〔発明の目的〕
本発明の目的は、放電ガスの種類、組成、濃度
を処理途中で、1回のみ、または複数回、または
周期的に変化させる手段を設けることによつて、
従来のプラズマ表面処理装置では不可能であつた
特性を実現することにある。
〔発明の概要〕
放電ガスの種類、組成、濃度はプラズマ表面処
理特性を最も有効に変化させるパラメータであ
る。したがつて、放電ガスの種類、組成、濃度を
処理途中で変化させることによつて、特定の表面
処理特性を強調することが可能となる。
〔発明の実施例〕
以下、本発明の実施例を説明する。第4図は本
発明を用いたプラズマエツチング装置の一構成例
である。プラズマ発生手段としては有磁場マイク
ロ波放電を用い、被エツチング物質としてはSi
(またはpoly−Si)の例を示してある。ガス供給
手段以外は第1図の装置と同じである。ガス供給
手段はSF6,N2,He,H2の4種類のガス源9
a,9b,9c,9dと、各ガス源につけられた
ガス流量調整用ニードルバルブ8a,8b,8
c,8d、およびガス配管7から構成される。た
だし、SF6のニードルバルブ9aによるガス供給
量がコントローラ18によつて電気的に制御され
るようになつていることが本発明の特徴である。
本実施例では、まず真空室6を高真空(約1×
10-6Torr)に排気し、次に放電ガスを所定量供
給する。例えば真空室6内の分圧でSF6が5×
10-4Torr、N2が5×10-5Torr、Heが5×
10-5Torr、H2が2.5×10-5Torrが一例である。し
かし、各分圧を広範囲(1×10-5Torr〜5×
10-3Torr程度)に変えても本発明の効果は変わ
らない。次に電磁石5により放電管4部に磁場を
形成し、マグネトロンによるマイクロ波(周波数
=1〜10GHz、通常は周波数=2.45GHz)を放電
管4内に導入すると有磁場マイクロ波放電が発生
する。すると、放電中で発生した活性な粒子(例
えば、F+イオンやFラジカル)とSi表面との物
理・化学反応によりエツチングが進行する。本実
施例の特徴は、エツチング中のSF6ガスの流量を
第5図に示すごとく変化させることである。ガス
流量の変化は、コントローラとニードルバルブに
より自動的に行う。SF6ガスの真空室への供給
は、τ1の時間はQ1のガス流量で行われ、次いでτ2
の時間だけQ2のガス流量で行われる。Q1,Q2
値は任意であるが、一例としてはQ1は5×
10-4Torrの分圧を与えるに必要なガス流量(通
常の排気系を用いる場合はQ1=1〜10SCC/
min)でありQ2=0とすることができる。τ1,τ2
を決定する条件は後に述べるが、一例としてτ1
25sec、τ2=5secを選ぶことができる。このよう
にすることにより、SF6ガスによる高速エツチン
グ(エツチング速さ>200nm/min)がアンダー
カツトなく実現される。このような、高速、垂直
エツチングが本発明により初めて可能となつたこ
とを以下に述べる。
エツチング開始後最初のτ1の期間ではプラズマ
中に多量の活性な粒子(例えばF+イオンとFラ
ジカル)が発生してエツチングが進行する。試料
はプラズマに対して負の浮遊電位V(V=約−
20V)になつており、F+イオンは試料表面に垂直
に入射する。したがつてF+イオンによるエツチ
ングは試料表面に垂直となりアンダーカツトを発
生しない。一方、Fラジカルは電気的に中性であ
るため試料表面に等方的に入射してアンダーカツ
トを発生させる。ところが、SF6ガスによるエツ
チングでは、F+イオンによる効果よりFラジカ
ルによる効果の方が大きくエツチング形状は第6
a図に示すごとく等方的となる。即ちτ1の間に表
面に垂直にd1深さだけエツチングされると横方向
にも約d1のアンダーカツトが発生している。次い
でSF6ガスの供給を停止するとプラズマ中のF+
オンやFラジカルは排気されてなくなり、N2
He、H2のみの放電となる。この放電中で発生し
たN+イオンやNラジカルによつて第6b図に示
すようにSi表面(水平面と側面の両方)が窒化さ
れる(表面に窒化シリコン膜が形成される)。
SF6ガスの供給をストツプしたのは、F+イオンや
Fラジカルが存在していてエツチングが進行して
いると強固な(緻密な)窒化シリコン膜が形成さ
れないからである。Heガスを混入しているのは、
以下の理由による。即ち、SF6ガス供給を停止す
ると、N2の分圧(5×10-5Torr)のみでは安定
な放電が維持されない。化学的に不活性なHeを
混入して放電ガス圧(全圧)を大きくすることに
よつて放電を安定化させることができるからであ
る。したがつて、HeをNe,Ar,Kr,Xe等の他
の希ガスに置き替えても同様の効果が得られる。
また、H2ガスを混入しているのはSF6ガス供給時
でのFラジカル濃度を適当に減少させるためであ
る。さて、次に再びSF6ガスを供給すると試料表
面にF+イオン、Fラジカルが入射してくる。し
かし、Fラジカルだけでは窒化シリコン膜はほと
んどエツチングされないため、窒化シリコン膜で
覆われた側面のエツチングは行われず、垂直方向
のエツチングと新たに現われた側面のエツチング
が行なわれる。この時のアンダーカツトの大きさ
はやはりd1である。即ち、第6c図のようにな
る。これをくり返すことによつて第6d図のよう
な断面形状をしたエツチングが行なわれる。τ0
τ1+τ2を1周期として、SF6ガス供給の断続をn
回くり返したとすると、全エツチング時間t〓は t〓=nτ0 …… であり、垂直方向のエツチング深さdp、および水
平方向のエツチング量(アンダーカツト量)dVは dP=nd1 …… dV=d1 …… である。垂直エツチングとしては一般にdP/dV
10が必要であるから n>10 …… が必要である。ただし、SF6ガスが低ガス圧力で
あり、アンダーカツト量の少ないエツチングが可
能な場合には、nの値は小さくてもよい。また、
SF6ガス供給を停止して残りのF+イオンやFラジ
カルが排気されるに要する時間をτrとすると τ2≫τr …… であることが必要である。τrは真空室内に存在す
るガス分子、原子の滞在時間であり、真空室の体
積をV()とし、排気系の排気速さをS(/
sec)とするとτr=V/Sである。通常の装置で
はV=約20、S=約1000/secであるため、
τr≒0.02sec=20msecである。したがつてより τ2≫20msec …… である必要がある。また実験によれば、τ1の間に
エツチングされる垂直方向のエツチング深さは
200nm以下であることが必要であつた。これ以上
τ1を大きくすると一旦形成された側壁の窒化シリ
コン膜がエツチングされてアンダーカツトが大き
くなるからである。即ち最終的な垂直方向のエツ
チング速さがε(nm/min)とすると τ1<(200/ε)×60 …… である必要がある。例えばε=200nm/minとす
るとτ1<60secが必要である。また、τ2の間では
エツチングが行なわれないからτ2≪τ1も実用的に
は必要となる。以上の条件により、前述では、τ1
=25sec、τ2=5secの結果を述べたが、τ1=5〜
60secでτ2=(1/5〜1/50)×τ1としても同様の
効果 (即ち、Siのエツチング速さε≒200nm/minで、
アンダーカツトがほとんどないエツチング)が得
られることを実験的に確認している。
第4図の実施例では有磁場マイクロ波放電を用
いた装置について述べているが、RF放電を用い
たプラズマエツチング装置に本発明を適用しても
同様の効果が得られる。また、第4図の実施例で
は、SF6+N2+He+H2の混合ガスについて示し
たが、SF6のかわりにF2さらには他のハロゲン元
素を含むガス(例えば、CoFn(CF4,C2F6
C3F8,C4F8,C4F10等)ガス、NF3,Cl2ガス、
CoCln(CCl4、C2Cl6等)ガス、CoFnClk(n,m,
k;整数)ガス、CoFnClkHi(n,m,k,i;
整数)ガス、BCl3、その他、BrやIを含むガス
等)を用いても効果は同様である。また、N2
かわりにO2やC−H系化合物ガスさらには、N,
O,Cのうちいずれか一つ、又は複数の元素を含
むガスを用いても効果は同様である(なぜなら、
酸化シリコンやSiC膜は窒化シリコン膜と同様に
Fラジカルではエツチングされないからである)。
ただし、O2を用いると、マスク材として用いる
光レジストがエツチングされやすいという問題が
発生する。また、SF6ガス分圧が適当な時にはH2
混入をやめても、本発明の効果はかわらない。ま
た、本実施例では被エツチング物質としてSi(ま
たはpoly−Si)の場合について示したが、被エツ
チング物質が、Mo,W,Alまたはこれらのシリ
サイドであつても効果は同じである。また、第4
図の実施例では、試料台および試料が放電管の下
部に位置しているが、これらを放電管内に設置し
ても良い。こうすることによつて試料表面に入射
するF+イオン、Fラジカルが増大してエツチン
グ速さを増大させることができる。
第7図に別の実施例が示してある。第4図の実
施例と異なる点は、以下の通りである。
(1) 試料台11および試料10に外部電圧15を
印加する手段を設けてある。外部電圧としては
直流、交流のいずれでも良いが、試料10表面
に電気的に絶縁性の薄膜が存在する場合は交流
電圧(高周波電圧(RF電圧))の方が絶縁薄膜
表面での帯電を防止するために優れている。実
験的では、高周波の周波数は100〜1000KHz、
高周波電圧の振幅は0〜200Vが適当であつた。
(2) 試料台11を冷却する機能が設けられてい
る。
(3) N2とHe、H2ガス(場合によつては、N2
He、H2のどちらか一つ)が、試料台11内
部、試料台11と試料10との間隙を通つて真
空室6内に導入される。
(2)と(3)の機能によつてエツチング中での試料1
0温度上昇を防ぐことができる。これは、マスク
材の光レジストの変質防止に有効である。(1)の機
能によつて試料に入射するイオンを上り加速でき
るため、エツチング速さの増大、およびアンダー
カツトの減少に有効である。高周波電圧(外部電
圧)は、エツチング中(表面処理中)常時印加し
ていても構わない。しかし、高周波電圧印加によ
つてマスク材の変質消耗が加速されるため、SF6
ガスの供給を停止する期間(即ち第5図のτ2の期
間)高周波電圧印加を停止することはマスク材の
変質、消耗防止に有効である。本実施例の効果
は、 (1) 被エツチング物質をSi、poly−Si以外の物質
(Mo,W,Alおよびこれらのシリサイド等)
にかえても、 (2) SF6ガスを他のハロゲン元素を含むガス(第
4図の実施例の説明参照)にかえても、 (3) Heを他の希ガスにしても、 (4) H2ガスを除いても、 同様に有効である。
また試料台冷却と試料台を通してのガス導入の
方法は、本発明を適用した他のプラズマエツチン
グ装置やプラズマ表面処理装置全搬に有効であ
る。また、高周波(RF)電圧印加の方法は本発
明を用いたプラズマ表面処理装置全搬に有効であ
る。
第8図に別の実施例を示してある。本実施例の
特徴は、SF6ガスのガス流量のみでなく、N2ガス
のガス流量と高周波電源15の駆動、停止がコン
トローラ18からの電気的信号によつて制御され
ていることである。また、HeとH2ガスを使用し
ていない。第9a図にSF6とN2のガス流量と高周
波電圧の制御の一例が示してある。τ1,τ2,τ0
第4図の実施例で説明した値が適当である。例え
ばτ1=27.5sec、τ0=2.5secとすることができる。
ガス流量Q1,Q2,Q1′,Q2′は自由であるが、例
えばQ1=Q1′,Q2=Q2′=0とすることができる。
Q1,Q1′の値としては、例えば真空室内のガス圧
力が5×10-4Torrとなるようにとることができ
る。また、V1,V2は自由であるが、例えばV1
100V、V2=0Vとすることができる。高周波電圧
振幅を変化させる理由は第7図の実施例で述べた
のと同じである。本実施例では(第4図の実施例
と異なつて)、SF6ガスの供給を停止すると同時
にN2のガス供給を増大することによつて次の利
点が生ずる。
(1) 真空室内のガス圧力が、常に放電維持に十分
な高ガス圧力(一般に1×10-4Torr以上)に
なつているために、第4図の実施例のように放
電補助ガス(He等の希ガス)の導入を必要と
しない。
(2) τ2の期間中でのN2の分圧が高くなるので、
短いτ2時間で緻密な窒化シリコン保護膜を形成
できる。
本実施例の方法で、シリコンのエツチング速さ
が250nm/minのときアンダーカツトのないエツ
チングが実現した。使用するガスの種類を変えれ
ば、本実施例の方法が他の被エツチング物質のエ
ツチングや、プラズマ表面処理装置全般に適用可
能なことは当然である。
本実施例の方法では、SF6やN2ガスの供給量を
変えたり、高周波印加電圧を変えるタイミングが
同時になつているが、これらのタイミングを互い
にずらしてもかまわない(第9b図参照)。τa
τbは互いの位相のずれを表わす。例えば、高周波
電圧を印加する時間τ1をτ1より小さくし、必要最
小限にすることによつて、高周波印加による素子
特性の劣化を最小にすることができる。
第10図は、第8図で説明した方法をRF放電
を用いたエツチング装置に適用した実施例を示し
ている。
第11図は、公転(自公転)板20を用いて複
数枚の試料10を同時にエツチングする装置に本
発明を適用した例を示している。試料表面にはプ
ラズマが間歇的に照射されてエツチングが進行す
る。プラズマ発生手段としては有磁場マイクロ波
放電を用いている。試料台に高周波電圧が印加可
能なことと、ガス流量と高周波電圧印加がコント
ローラ18で制御されることは第8図の実施例と
同じである。本実施例で注意しなければならない
ことは、試料10間のエツチング進行のバラつき
(誤差)をなくすためには、公転板20の回転と
ガス流量および高周波電圧印加の制御とを連動さ
せて行う必要があることである。したがつて図に
示した如く、コントローラ18からの電気信号に
従つて公転板駆動機構21を働かせる(または、
その逆)ことが望ましい。一般的には公転板20
の周期(1回転に要する時間)をτrとすると、第
9図に示したコントローラ18の周期τ0はτr/τ0
≒整数、またはτ0/τr≒整数の関係を持つことが
望ましい。本実施例はガス種を変えればプラズマ
CVD装置にも適用可能である。
第12図に、終点検知機構22を有したエツチ
ング装置に本発明を適用した例を示してある。プ
ラズマ発生方法としては有磁場マイクロ波放電を
用いた例について示してある。一般に終点検知
は、被エツチング物質がなくなつた時のプラズマ
の状態の変化を捉えて行う。一方、供給ガスの種
類、組成、濃度を変えるとプラズマの状態が大き
く変化するため、プラズマからの終点検知の信号
を取り入れる時期をガス流量および高周波電圧印
加の制御と連動させる必要がある。したがつて、
本実施例では終点検知機構22がコントローラ1
8からの信号を受けて動作するようになつてい
る。本実施例の方法が、他のプラズマエツチング
装置や、他の放電ガスによるエツチングにも適用
可能なことは第4図,第8図の実施例の場合と同
様である。
エツチングの断面形状としては必ずしも垂直エ
ツチングによる矩形ばかりでなく、若干のアンダ
ーカツトによる台形や逆台形(素子間のアイソレ
ーシヨン用エツチングやオーバーハング除去のエ
ツチングに有効)が望まれる場合がある。このよ
うな要望は、第9図のτ1,τ2,τ0,Q1,Q2,Q1′,
Q2′,V1,V2をエツチング処理の途中で適当に変
えることによつて実現できる。
第13図は、プラズマCVD装置に本発明を適
用した例を示している。プラズマ発生手段とし
て、有磁場マイクロ波放電を用いている。一例と
してSiF4とN2ガスによつて窒化シリコン膜(Si
−N膜)を形成する例を示してある。構造として
は第8図と同じであるが、SF6ガスがSiF4ガスに
替わつている。ガス流量の調整、高周波電圧印加
の制御の一例が第14図に示してある。τ1,τ2
τ0の条件は後に説明するが、例えばτ1=3秒、τ2
=3秒、τ0=τ1+τ2=6秒とすることができる。
Q1,Q2,Q1′,Q2′も自由であるが、例えばQ1
Q1′としては真空室内のSiF4とN2ガス圧力がそれ
ぞれ4×10-4Torrと8×10-4Torrになるように
選ぶことができる。また、Q2=0,Q2′=1/2
Q1′とするのが適当である。また、V1,V2も自由
であるが、例えばV1=100V、V2=50Vが一例で
ある。本実施例を用いることによつて、F元素の
混入の少ないSi−N膜を形成できる。その理由は
以下の通りである。即ち、τ1の時間に(SiF4
N2)の放電によつて試料表面にSi−N膜が形成
されるが、この時に膜内にF元素が混入する。
次に、SiF4ガス供給が停止され、N2ガス供給
量が増大される(τ2の期間)と、N2放電で形成
されるNラジカルがSi−N膜表面に入射して 2(Si−F)+2N→2(Si−N)+F2↑ の反応によつてF元素を膜中から遊離蒸発させ
る。これをくり返すことによつてF元素混入の少
ないSi−N膜が形成される。第4図の実施例と同
様に、τ2は真空室6中のガス分子、原子の滞在時
間τrより十分大きいことが必要である。即ち、 τ2≫τr≒20msec …… が必要である。また、実験によればτ2の期間中に
F元素を十分に除去するためには、τ1の期間中に
形成される膜厚が10nm以下である必要がある。
即ち、τ2=0secとして連続に膜形成した時の膜形
成速さをD(nm/min)とするとτ1は τ1<(10/D)×60 …… である必要がある。通常D≒100nm/minである
から τ1<6sec …… が必要である。本実施例と同様の方法は、RF放
電を用いた他のプラズマCVD装置に適用可能で
ある。またガス種をかえれば、Si−N膜以外のプ
ラズマCVD装置にも適用可能である。
第15図は開閉バルブを用いてガス流量を制御
する方法を示すものであり、本発明の実施例すべ
てに適用可能である。本実施例は、各ガス種(例
えばガスA)に対して、ニードルバルブと2つの
開閉弁23a,23a′およびこれらを継なぐ配管
系から構成されている。2つの開閉弁23a,2
3a′はコントローラ18からの信号によつて開閉
を制御される。ボンベ9a,9bから出たガスは
ニードルバルブ8a,8bによつて常に一定量が
流れるように調整されている。開閉バルブ23a
を開け23a′を閉じればガスを真空室内に導入で
きる。また、バルブ23aを閉じれば真空室への
ガス導入は停止されるが、このままではバルブ2
3aとニードルバルブ8aの間にガスがたまつて
しまい、次に23aを開けた時にガスが真空室へ
突出してしまう。これを防ぐためにバルブ23a
を閉じると同時に23a′を開き、バルブ23aと
ニードルバルブ8aの間にたまるガスを排気する
ようになつている。次に再び真空室へガスを導入
するには、バルブ23a′を閉じ23aを開ければ
良い。この方法の特徴は、ニードルバルブの開口
度を直接制御するよりも、流量制御の再現性、制
御性が良いことである。2つの開閉バルブ23
a,23a′は、一つの三方バルブに置き替え可能
である。第15図のガス種は必要に応じて増やす
ことが可能である。
〔発明の効果〕
本発明によつてプラズマ表面処理装置の放電ガ
ス種、組成、濃度を処理途中で変化させれば、表
面処理の特性を時系列的に変化させることができ
特定の処理特性を一定期間強調することが可能と
なる。この結果、従来装置では不可能であつた表
面処理特性を実現することが可能となる。
【図面の簡単な説明】
第1図および第2図は、従来のプラズマ表面処
理装置を示す図、第3図は、垂直エツチングと非
垂直エツチングの説明図、第4図は本発明の一実
施例を示す図、第5図は第4図におけるSF6ガス
流量の制御例を示す図、第6図はエツチングの進
行説明図、第7図は本発明の別の実施例を示す
図、第8図は本発明のさらに別の実施例を示す
図、第9図は第8図の実施例におけるガス流量と
高周波電圧付加の制御例を示す図、第10図ない
し第13図はさらに別の実施例を示す図、第14
図は第13図に示す実施例でのガス流量と高周波
電圧付加の制御例を示す図、第15図は導入ガス
流量の制御機構例を示す図である。 1…マイクロ波発振器、2…マイクロ波発振器
用電源、3…導波管、4…放電管、5…電磁石、
6…真空室、7…配管、8…ニードルバルブ、9
…ボンベ、10…試料、11…試料保持手段(試
料台)、12…永久磁石、13…上側電極、14
…下側電極、15…高周波(RF)電源、16…
コンデンサー、17…絶縁物、18…コントロー
ラ、19…試料台冷却機構、20…公転板(又は
自公転板)、21…公転板(自公転板)駆動機構、
22…終点検知機構、23…開閉バルブ、24…
被エツチング物質、25…マスク。

Claims (1)

  1. 【特許請求の範囲】 1 真空室内を排気した後、該真空室内に単種ま
    たは複数種のガスを導入し、該真空室内にプラズ
    マを発生させ、該プラズマにより試料の表面を処
    理する方法において、上記プラズマによる試料表
    面処理の途中において上記真空室内に導入するガ
    スの種類、組成、圧力または分圧を複数回にわた
    つて周期的に変化させることを特徴とするプラズ
    マ表面処理方法。 2 前記真空室内に導入するガスの種類、組成、
    圧力または分圧の変化は、予め定められたプログ
    ラムに従つて行なわれるものであることを特徴と
    する特許請求の範囲第1項に記載のプラズマ表面
    処理方法。 3 前記真空室内に導入するガスの種類、組成、
    圧力または分圧の変化は、表面処理の進行状況に
    応じて行なわれるものであることを特徴とする特
    許請求の範囲第1項に記載のプラズマ表面処理方
    法。 4 真空室、該真空室内を排気する手段、前記真
    空室内にガスを導入する手段、および前記真空室
    内にプラズマを発生させる手段を有し、この発生
    プラズマにより試料の表面処理を行なうプラズマ
    表面処理装置において、上記試料の表面処理の途
    中において、上記真空室内に導入するガスの種
    類、組成、圧力または分圧を複数回にわたつて周
    期的に変化させる機構を付設してなることを特徴
    とするプラズマ表面処理装置。 5 前記導入ガスの種類、組成、圧力または分圧
    を複数回にわたつて周期的に変化させる機構は、
    コントローラを介して上記導入ガスの種類、組
    成、圧力または分圧を自動的に複数回にわたつて
    周期的に変化させるものであることを特徴とする
    特許請求の範囲第4項に記載のプラズマ表面処理
    装置。 6 前記導入ガスの種類、組成、圧力または分圧
    を複数回にわたつて周期的に変化させる機構は、
    前もつて定められたプログラムに従つて、上記導
    入ガスの種類、組成、圧力または分圧を自動的に
    複数回にわたつて周期的に変化させる機能を有す
    るものであることを特徴とする特許請求の範囲第
    4項に記載のプラズマ表面処理装置。 7 前記導入ガスの種類、組成、圧力または分圧
    を複数回にわたつて周期的に変化させる周期が、
    前記真空室内における上記導入ガスの分子又は原
    子の滞在時間よりも長いことを特徴とする特許請
    求の範囲第5または6項に記載のプラズマ表面処
    理装置。 8 前記導入ガスの種類、組成、圧力または分圧
    を複数回にわたつて周期的に変化させる機構は、
    試料の表面処理の進行状況を測定し、該測定結果
    をフイードバツクさせるプログラムに従つて、上
    記導入ガスの種類、組成、圧力または分圧を変化
    させるものであることを特徴とする特許請求の範
    囲第4項に記載のプラズマ表面処理装置。 9 真空室、該真空室内を排気する手段、前記真
    空室内にガスを導入する手段、前記真空室内にプ
    ラズマを発生させる手段、および該発生プラズマ
    により表面処理されるべき試料に外部電圧を印加
    する手段を有するプラズマ表面処理装置におい
    て、上記試料の表面処理の途中において、上記導
    入ガスの種類、組成、圧力または分圧を複数回に
    わたつて周期的に変化させる機構を付設してなる
    ことを特徴とするプラズマ表面処理装置。 10 前記の外部電圧印加手段により試料に印加
    する外部電圧が高周波電圧であることを特徴とす
    る特許請求の範囲第9項に記載のプラズマ表面処
    理装置。 11 前記の外部電圧印加手段は、上記導入ガス
    の種類、組成、圧力または分圧の変化に応じて、
    試料に印加する外部電圧を変化させる機能を有す
    るものであることを特徴とする特許請求の範囲第
    9項または10項に記載のプラズマ表面処理装
    置。 12 真空室、該真空室内を排気する手段、前記
    真空室内にガスを導入する手段、および前記真空
    室内にプラズマを発生させる手段を有し、該発生
    プラズマを試料表面に照射することにより、該試
    料表面の表面処理を行なわせるプラズマ表面処理
    装置において、上記試料の表面処理の途中におい
    て、上記導入ガスの種類、組成、圧力または分圧
    を複数回にわたつて周期的に変化させる手段と、
    該導入ガスの種類、組成、圧力または分圧の変化
    に応じて上記試料表面へのプラズマの照射状態を
    周期的に変化させる手段とを付設してなることを
    特徴とするプラズマ表面処理装置。
JP58157826A 1983-08-31 1983-08-31 プラズマ表面処理方法 Granted JPS6050923A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP58157826A JPS6050923A (ja) 1983-08-31 1983-08-31 プラズマ表面処理方法
US06/642,801 US4579623A (en) 1983-08-31 1984-08-21 Method and apparatus for surface treatment by plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP58157826A JPS6050923A (ja) 1983-08-31 1983-08-31 プラズマ表面処理方法

Publications (2)

Publication Number Publication Date
JPS6050923A JPS6050923A (ja) 1985-03-22
JPH0473287B2 true JPH0473287B2 (ja) 1992-11-20

Family

ID=15658159

Family Applications (1)

Application Number Title Priority Date Filing Date
JP58157826A Granted JPS6050923A (ja) 1983-08-31 1983-08-31 プラズマ表面処理方法

Country Status (2)

Country Link
US (1) US4579623A (ja)
JP (1) JPS6050923A (ja)

Families Citing this family (440)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890004881B1 (ko) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
JPH0752718B2 (ja) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
JPS61222534A (ja) * 1985-03-28 1986-10-03 Anelva Corp 表面処理方法および装置
US5053104A (en) * 1985-04-01 1991-10-01 International Business Machines Corporation Method of plasma etching a substrate with a gaseous organohalide compound
JPS61263125A (ja) * 1985-05-15 1986-11-21 Tokuda Seisakusho Ltd ドライエツチング装置
US4689112A (en) * 1985-05-17 1987-08-25 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
JPH0646630B2 (ja) * 1985-06-07 1994-06-15 株式会社日立製作所 プラズマ処理方法
US4733746A (en) * 1985-06-17 1988-03-29 Honda Giken Kogyo Kabushiki Kaisha Vacuum treating method and apparatus
JP2564482B2 (ja) * 1985-07-23 1996-12-18 キヤノン株式会社 堆積膜形成装置
JP2635021B2 (ja) * 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US4908094A (en) * 1986-04-14 1990-03-13 International Business Machines Corporation Method for laminating organic materials via surface modification
US4715941A (en) * 1986-04-14 1987-12-29 International Business Machines Corporation Surface modification of organic materials to improve adhesion
CA1327769C (en) * 1986-06-20 1994-03-15 Shoji Ikeda Powder treating method and apparatus used therefor
NL8601824A (nl) * 1986-07-11 1988-02-01 Hauzer Holding Werkwijze en inrichting voor het met een geleidend plasmakanaal ontsteken van een boog.
US5354416A (en) * 1986-09-05 1994-10-11 Sadayuki Okudaira Dry etching method
US4713141A (en) * 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4687539A (en) * 1986-10-29 1987-08-18 International Business Machines Corp. End point detection and control of laser induced dry chemical etching
JP2660244B2 (ja) * 1986-12-16 1997-10-08 株式会社 半導体エネルギー研究所 表面処理方法
US4734158A (en) * 1987-03-16 1988-03-29 Hughes Aircraft Company Molecular beam etching system and method
US4956043A (en) * 1987-05-25 1990-09-11 Hitachi, Ltd. Dry etching apparatus
FR2616030A1 (fr) * 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US4846920A (en) * 1987-12-09 1989-07-11 International Business Machine Corporation Plasma amplified photoelectron process endpoint detection apparatus
JPH0610356B2 (ja) * 1988-02-18 1994-02-09 松下電器産業株式会社 プラズマ処理装置およびプラズマ温度測定方法
JPH01231323A (ja) * 1988-03-11 1989-09-14 Sumitomo Metal Ind Ltd プラズマエッチング装置
JPH0817169B2 (ja) * 1988-03-11 1996-02-21 株式会社日立製作所 プラズマエッチング方法
JPH01315135A (ja) * 1988-03-11 1989-12-20 Sumitomo Metal Ind Ltd プラズマエッチング装置
JP2695822B2 (ja) * 1988-03-22 1998-01-14 株式会社日立製作所 プラズマエッチング方法
US4961820A (en) * 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
JPH0622218B2 (ja) * 1988-08-06 1994-03-23 富士通株式会社 エッチング方法
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
JPH02230729A (ja) * 1989-03-03 1990-09-13 Fujitsu Ltd 半導体製造装置
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JPH02308530A (ja) * 1989-05-24 1990-12-21 Hitachi Ltd プラズマ処理方法およびその装置
JP2611001B2 (ja) * 1989-07-17 1997-05-21 株式会社日立製作所 終点判定方法および装置
US5173146A (en) * 1989-08-31 1992-12-22 Toyoda Gosei Co., Ltd. Plasma treatment method
US4948462A (en) * 1989-10-20 1990-08-14 Applied Materials, Inc. Tungsten etch process with high selectivity to photoresist
US5500393A (en) * 1990-05-21 1996-03-19 Sumitomo Electric Industries, Ltd. Method for fabricating a schottky junction
US5024722A (en) * 1990-06-12 1991-06-18 Micron Technology, Inc. Process for fabricating conductors used for integrated circuit connections and the like
US4992137A (en) * 1990-07-18 1991-02-12 Micron Technology, Inc. Dry etching method and method for prevention of low temperature post etch deposit
JP2516099B2 (ja) * 1990-11-16 1996-07-10 国際電気株式会社 ドライエッチング方法
US5318667A (en) * 1991-04-04 1994-06-07 Hitachi, Ltd. Method and apparatus for dry etching
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
JPH05267249A (ja) * 1992-03-18 1993-10-15 Hitachi Ltd ドライエッチング方法及びドライエッチング装置
JP3371143B2 (ja) * 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法
JP3024317B2 (ja) * 1991-10-25 2000-03-21 日本電気株式会社 半導体装置の製造方法
JP3198586B2 (ja) * 1992-02-14 2001-08-13 ソニー株式会社 ドライエッチング方法
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5314576A (en) * 1992-06-09 1994-05-24 Sony Corporation Dry etching method using (SN)x protective layer
JP3111661B2 (ja) * 1992-07-24 2000-11-27 ソニー株式会社 ドライエッチング方法
JPH06232099A (ja) * 1992-09-10 1994-08-19 Mitsubishi Electric Corp 半導体装置の製造方法,半導体装置の製造装置,半導体レーザの製造方法,量子細線構造の製造方法,及び結晶成長方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
JP3223661B2 (ja) * 1993-08-31 2001-10-29 ソニー株式会社 プラズマ堆積方法
US5516722A (en) * 1994-10-31 1996-05-14 Texas Instruments Inc. Method for increasing doping uniformity in a flow flange reactor
US6409828B1 (en) * 1994-10-31 2002-06-25 Texas Instruments Incorporated Method and apparatus for achieving a desired thickness profile in a flow-flange reactor
US5575888A (en) * 1995-04-14 1996-11-19 The United States Of America As Represented By The Secretary Of The Navy Sidewall passivation by oxidation during refractory-metal plasma etching
DE69725245T2 (de) * 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US5910341A (en) * 1996-10-31 1999-06-08 International Business Machines Corporation Method of controlling the spread of an adhesive on a circuitized organic substrate
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
KR100521120B1 (ko) 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
US6660647B1 (en) 1998-03-12 2003-12-09 Hitachi, Ltd. Method for processing surface of sample
KR100639841B1 (ko) 1998-07-23 2006-10-27 서페이스 테크놀로지 시스템스 피엘씨 이방성 에칭 장치 및 방법
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
ATE420454T1 (de) * 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
WO2005055303A1 (ja) * 2003-12-01 2005-06-16 Matsushita Electric Industrial Co., Ltd. プラズマエッチング方法
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
TWI334450B (en) * 2004-03-12 2010-12-11 Hitachi Int Electric Inc Wafer treatment device and the manufacturing method of semiconductor device
CN100517596C (zh) * 2004-06-29 2009-07-22 优利讯美国有限公司 减少时分复用蚀刻工艺中蚀刻纵横比相关度的方法和装置
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
JP2006190493A (ja) * 2004-12-28 2006-07-20 Tohoku Techno Arch Co Ltd プラズマ処理装置およびプラズマ処理方法
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7517812B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
US7977037B2 (en) 2006-08-24 2011-07-12 Micron Technology, Inc. Photoresist processing methods
US7786019B2 (en) 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US20100116206A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Gas delivery system having reduced pressure variation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5179339B2 (ja) * 2008-12-22 2013-04-10 東京エレクトロン株式会社 混合ガスの供給方法及び混合ガスの供給装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2579299B1 (en) * 2010-05-26 2015-07-22 SPP Technologies Co., Ltd. Plasma etching method
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5937385B2 (ja) 2012-03-16 2016-06-22 東京エレクトロン株式会社 半導体製造装置のガス供給方法、ガス供給システム及び半導体製造装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2013219198A (ja) * 2012-04-09 2013-10-24 Nissin Electric Co Ltd 薄膜製造方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104167347A (zh) * 2014-08-04 2014-11-26 苏州工业职业技术学院 连续性处理的板式水冷电极组等离子体表面处理装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6334369B2 (ja) 2014-11-11 2018-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP6764383B2 (ja) 2017-09-20 2020-09-30 株式会社日立ハイテク プラズマ処理装置
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11081320B2 (en) 2019-03-06 2021-08-03 Hitachi High-Tech Corporation Plasma processing apparatus, plasma processing method, and ECR height monitor
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11387110B2 (en) 2019-06-20 2022-07-12 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN114664656A (zh) 2020-05-22 2022-06-24 北京屹唐半导体科技股份有限公司 使用臭氧气体和氢自由基的工件加工
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52141443A (en) * 1976-05-21 1977-11-25 Nippon Electric Co Method of etching films
JPS5683943A (en) * 1979-12-12 1981-07-08 Matsushita Electronics Corp Plasma etching of aluminum film
JPS56116880A (en) * 1980-02-20 1981-09-12 Toshiba Corp Plasma etching method
JPS57198258A (en) * 1981-05-29 1982-12-04 Ulvac Corp Surface treating device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4417947A (en) * 1982-07-16 1983-11-29 Signetics Corporation Edge profile control during patterning of silicon by dry etching with CCl4 -O2 mixtures
US4426246A (en) * 1982-07-26 1984-01-17 Bell Telephone Laboratories, Incorporated Plasma pretreatment with BCl3 to remove passivation formed by fluorine-etch

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52141443A (en) * 1976-05-21 1977-11-25 Nippon Electric Co Method of etching films
JPS5683943A (en) * 1979-12-12 1981-07-08 Matsushita Electronics Corp Plasma etching of aluminum film
JPS56116880A (en) * 1980-02-20 1981-09-12 Toshiba Corp Plasma etching method
JPS57198258A (en) * 1981-05-29 1982-12-04 Ulvac Corp Surface treating device

Also Published As

Publication number Publication date
US4579623A (en) 1986-04-01
JPS6050923A (ja) 1985-03-22

Similar Documents

Publication Publication Date Title
JPH0473287B2 (ja)
US4985114A (en) Dry etching by alternately etching and depositing
Samukawa Highly selective and highly anisotropic SiO2 etching in pulse-time modulated electron cyclotron resonance plasma
US6200651B1 (en) Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US5266154A (en) Dry etching method
US20100003827A1 (en) Method and device for etching a substrate by means of plasma
JPH08264510A (ja) シリコン窒化膜のエッチング方法およびエッチング装置
JP2957403B2 (ja) プラズマエッチング方法とその装置
EP1119033A1 (en) Plasma processing method
JP2000294540A (ja) 半導体装置の製造方法と製造装置
US6107215A (en) Hydrogen plasma downstream treatment equipment and hydrogen plasma downstream treatment method
JPS61113778A (ja) 表面処理装置
JPH0892765A (ja) エッチング方法
Lee et al. Effects of magnetic field on oxide etching characteristics in planar type radio frequency inductively coupled plasma
JP3013576B2 (ja) ドライクリーニング方法
JPH0626199B2 (ja) エッチング方法
JPH08172081A (ja) プラズマ表面処理装置
JPH0458176B2 (ja)
JP4061691B2 (ja) 表面加工方法
JPH031825B2 (ja)
WO2023286192A1 (ja) プラズマ処理方法
WO2023203591A1 (ja) プラズマ処理方法
JPH07273089A (ja) プラズマ処理装置及びプラズマ処理方法
JP2728483B2 (ja) 試料後処理方法と装置
JPH07263421A (ja) 表面処理方法及び表面処理装置