JP5779598B2 - 高スループットのための基板ロードおよびアンロードメカニズム - Google Patents

高スループットのための基板ロードおよびアンロードメカニズム Download PDF

Info

Publication number
JP5779598B2
JP5779598B2 JP2012553922A JP2012553922A JP5779598B2 JP 5779598 B2 JP5779598 B2 JP 5779598B2 JP 2012553922 A JP2012553922 A JP 2012553922A JP 2012553922 A JP2012553922 A JP 2012553922A JP 5779598 B2 JP5779598 B2 JP 5779598B2
Authority
JP
Japan
Prior art keywords
substrate
carrier
linear
arms
carrier arms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012553922A
Other languages
English (en)
Other versions
JP2013520027A (ja
JP2013520027A5 (ja
Inventor
レンズ・エリック・エイチ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013520027A publication Critical patent/JP2013520027A/ja
Publication of JP2013520027A5 publication Critical patent/JP2013520027A5/ja
Application granted granted Critical
Publication of JP5779598B2 publication Critical patent/JP5779598B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/0084Programme-controlled manipulators comprising a plurality of manipulators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

優先権の主張
本願は、2010年2月16日出願の米国特許出願第12/706,397号(代理人整理番号第2904.040US1)の優先権の利益を主張する。後者は、2008年6月25日出願の米国特許出願第12/145,707号(代理人整理番号第2904.004US1)の一部継続出願であり、それに対して優先権の利益を主張する。また、後者は、2008年5月27日出願の米国仮特許出願第61/040,023号(代理人整理番号第2904.004PRV)に対して優先権の利益を主張する。これらの出願の各々の優先権の利益はこれにより主張され、各出願は参照によって本明細書にその全体が組み込まれる。
本願は、一般に、半導体処理の分野に関し、特定の例示的な実施形態においては、処理チャンバ内で基板を迅速に搬送するシステムおよび方法に関する。
半導体デバイスの製造では、頻繁に処理チャンバを結合して、例えば、結合されたチャンバ間でウエハまたは基板を移送できるようにする。移送は、通例、例えば結合されたチャンバの隣接する壁に提供されたスロットまたはポートを通してウエハを移動させる移送モジュールを介して実行される。移送モジュールは、一般に、半導体エッチングシステム、材料堆積システム、および、フラットパネルディスプレイエッチングシステムを含みうる様々なウエハ処理モジュール(PM)と組み合わせて用いられる。
半導体デバイスの形状(すなわち、集積回路の設計ルール)は、かかるデバイスが数十年前に最初に導入されて以来、劇的にサイズを小さくしてきた。集積回路(IC)は、一般に、「ムーアの法則」に従うが、この法則は、「一つの集積回路チップに実装されるデバイスの数は、2年ごとに倍になる」というものである。現代のIC製造施設(「fab」)は、通常、65nm(0.065μm)以下のフィーチャサイズのデバイスを製造している。間もなく、将来のfabが、さらに小さいフィーチャサイズを有するデバイスを製造することになるだろう。
おそらく、より重要なことには、歩留まりおよび原価基準の観点から、製造処理で用いられる種類の装置(例えば、処理ツール)が、主な技術上の原動力になっている。製造処理は、効果的である必要があるが、高速である必要もある。多くの用途における現行世代の300mmウエハに対する現在のスループットの要求は、毎時360ウエハである。現在、システムは、ウエハキャリアが処理ツール内の開始点に戻される間の非生産的な期間を必要とする単一キャリアによる直線的なウエハ移動のみを用いている。したがって、ウエハのハンドリングが遅い。スループットを上げるために提案された解決法は、複数の処理ツールを並列に連結することに焦点を当てている。かかる解決法は、ウエハのスループットを増大させうるが、ツールの設置面積、装置のコストの増大、および、信頼性の低下という犠牲を伴う。したがって、装置の信頼性、スループット、および、効率に特に重点を置きつつ、半導体処理の分野での改善が必要とされている。
添付の図面は、単に、本発明の例示的な実施形態を図示したものであり、本発明の範囲を限定するものではない。
装置フロントエンドモジュール(EFEM)と、処理モジュールと、電子機器筐体とを備え、少なくとも本明細書に記載の本発明の主題の態様を組み込んだ例示的な基板処理ツールを示す分解斜視図。
処理チャンバを含む図1Aの処理モジュールを示す斜視図。
図1Bの処理チャンバ内で用いられる例示的なクロックアーム基板キャリアメカニズムを示す斜視図。
図2のクロックアーム基板キャリアシステムに駆動力を供給するための動作駆動ハブの一例を示す斜視図。
図1Bの処理チャンバ内で用いられる例示的な基板横移動メカニズムを示す斜視図。
図4Aの例示的な基板メカニズムシステムを示す正面図。
例示的な実施形態において、図4Aおよび図4Bの基板横移動メカニズムと共に用いられる図2のクロックアーム基板キャリアメカニズムを示す平面図。
図2の例示的なクロックアーム基板キャリアメカニズムならびに図4Aおよび図4Bの例示的な基板横移動メカニズムと共に用いられるリフタメカニズムを示す詳細な平面図。
以下の記載は、本発明の主題の様々な態様を具現化するシステム、方法、および、技術の例を含む。以下では、説明の目的で、本発明主題の様々な実施形態の理解を与えるために、数多くの具体的な詳細事項が記載されている。しかしながら、当業者にとって明らかなように、本発明主題の実施形態は、これら具体的な詳細事項がなくとも実施可能である。さらに、周知の動作、構造、および、技術については、詳細に示されていない。
本明細書で用いられているように、「または」という用語は、包括的な意味もしくは排他的な意味に解釈されうる。同様に、「例示的な」という用語は、何かの例すなわち例示を意味すると解釈され、目的を達成する好ましいまたは理想的な手段を必ずしも意味しない。さらに、以下で説明する様々な例示的な実施形態は、基板の移送メカニズムに焦点を置いているが、それらの実施形態は、開示が明瞭になるように与えられているにすぎない。したがって、任意のタイプの基板移送メカニズムが、本明細書に記載のシステムの様々な実施形態を利用でき、本発明の主題の範囲内にあると見なされる。
さらに、本明細書で用いているように、「基板」という用語は、半導体および同類の産業において用いられる様々な基板の種類の内のいずれかを指す便宜上の用語として単に選択されている。したがって、基板の種類は、シリコンウエハ、化合物ウエハ、薄膜ヘッドアセンブリ、フォトマスクブランクおよびレクチル、または、当該分野で周知の多くの他の種類の基板を含みうる。
例示的な一実施形態において、基板キャリアシステムが開示されている。基板キャリアシステムは、複数のキャリアアームを備えており、各アームは両端部の間に中点を有する。アームは、互いに平行な平面内で中点を中心に回転するよう構成されており、両端部の各々には少なくとも1つの基板キャリアが取り付けられている。同軸に取り付けられた複数の駆動部を備えたハブが、各キャリアアームの中点付近に結合される。キャリアアームの少なくとも一部が、残りのアームと独立して駆動されうる。キャリアアームを回転させるために、駆動モータが、同軸取り付けされた駆動部の各々に結合されている。線形横移動メカニズムが、キャリアアーム付近に結合される。横移動メカニズムは、複数の線形基板キャリアを有しており、各キャリアは、キャリアアームの平面と実質的に平行な平面内で基板を搬送することができる。線形基板キャリアの各々は、複数の基板を同時に搬送することを可能にするために、互いに異なる平面に存在する。
別の例示的な実施形態において、高いウエハスループットを提供するウエハ搬送システムが開示されている。システムは、処理チャンバ内で動作する複数のキャリアアームを備えたウエハ処理チャンバを備える。各キャリアアームは、両端部の間の中点に同軸に取り付けられている。キャリアアームは、互いに平行な平面内で中点を中心に回転するよう構成される。キャリアアームの両端部の各々に、ウエハキャリアが取り付けられている。複数の同軸取り付けされた駆動部を有するハブが、キャリアアームの中点付近に結合されている。キャリアアームの少なくとも一部が、残りのキャリアアームと独立して駆動されうる。キャリアアームの内の結合された1つを回転させるために、駆動モータが、同軸取り付けされた駆動部の各々に結合されている。線形横移動メカニズムが、キャリアアームに近接して結合される。線形横移動メカニズムは、キャリアアームの平面と実質的に平行な平面内でウエハを搬送するために、複数の線形ウエハキャリアを有する。ウエハキャリアの各々は、複数のウエハを同時に搬送することを可能にするために、互いに異なる平面に存在する。ウエハキャリアの経路の近傍に、少なくとも1つの洗浄剤供給ヘッドが配置されている。
別の例示的な実施形態において、基板を搬送するための線形横移動メカニズムが開示されている。線形横移動メカニズムは、互いに実質的に平行であり互いに別個の平面内で基板を搬送するために、複数の基板キャリアを備えており、それによって、複数の基板を同時に搬送することが可能になる。少なくとも2つの上側軌道および少なくとも2つの下側軌道が、各々、基板キャリアに対して別個に結合された線形駆動メカニズムを有する。
図1Aによると、半導体ウエハなどの基板を処理するために用いられる装置の分解斜視図が示されている。図に示すように、処理ツール100(一般に、プロセスツールと呼ばれる)は、装置フロントエンドモジュール(EFEM)110、処理モジュール130、および、電子機器筐体150を備える。
動作時には、EFEM110、処理モジュール130、および、電子機器筐体150は、単一のユニットとして一体化される。処理モジュール130は、処理チャンバ131を備えており、その中で、様々な処理が1バッチの基板に対して実行される。処理は、例えば、半導体および関連技術分野において独立して周知の様々なタイプの基板洗浄および湿式エッチング(例えば、化学エッチング)工程を含みうる。さらに、処理モジュール130は、一般に、処理モジュール130および処理チャンバ131内の基板に対する任意の粒子汚染、有機汚染、または、その他の汚染を低減するために密閉される。さらに、エンクロージャ(図示せず)は、装置のオペレータと、処理モジュール130内で移動するメカニズムとの間の危険な相互作用のリスクを最小化することにより、オペレータの安全性を高める。動作電力は、電子機器筐体150によって、EFEM110および処理モジュール130に供給される。
図に示すように、EFEM110は、複数の基板ロードステーション111、第1のオペレータ制御インターフェース115A、および、第2のオペレータ制御インターフェース115Bを備える。これらの制御インターフェースの1つから、オペレータは、例えば、特定のバッチの基板のための処理レシピを入力して実行することができる。また、図に示すように、EFEM110は、基板ロードステーション111の1つの上に配置された前開き一体型ポッド(FOUP)113を備える。FOUP113は、半導体ウエハ(例えば、一般にはシリコンウエハ(Si)であるが、ゲルマニウム(Ge)などの元素半導体材料、もしくは、ガリウムヒ素(GaAs)またはインジウムヒ素(InAs)などの化合物半導体材料から形成された様々な他のウエハタイプも含みうる)を保持するよう設計された特定のタイプのプラスチック容器である。FOUP113は、制御された環境下でウエハ(図示せず)をしっかりと安全に保持する。図1Aに明示していないが、当業者は、FOUPが基板ロードステーション111の各々の上に同時に存在してもよいことが容易にわかるだろう。1または複数のロボット(図示せず)が、各FOUPと関連してよい。
FOUP113が基板ロードステーション111の1つの上に配置されると、EFEM110内のロボット(図示せず)は、FOUP113内に収容されたウエハに直接アクセスできる。したがって、EFEM110は、オペレータが、FOUP113から処理チャンバ131内に、例えば、2ブレードまたは4ブレードロボット(図示しないが、独立して当業者に周知)を介して、基板をロードおよびアンロードすることを可能にする。特定のロボットのタイプに限定されないが、利用できる1つのロボットは、例えば、米国ミシガン州WixonのKawasaki(USA)社製のモデルFC06Nである。特定の例示的な一実施形態において、ロボットは、隣接するブレードの間の間隔が約10mmである4つの3.8mmブレードを有する折りたたみ式エンドエフェクタを備えてよい。10mmの間隔は、典型的なFOUPにおけるウエハ間の間隔に適合する。処理チャンバ131内で行われる様々な搬送処理の詳細については、後に図4A、図4B、および、図5を参照して説明する。
ここで、図1Aと同時に図1Bを参照すると、基板(図示せず)は、クロックアーム基板キャリアメカニズム(図1Aにも図1Bにも明示されていないが、後に図2および図3を参照して詳述する)上に配置された複数の基板キャリア135(すなわち、回転取り付け基板キャリア)の1つにFOUP113からロボットによって搬送される。基板は、基板搬送スロット133を通して処理チャンバ131内へロードまたはそこからアンロードされる。
図2は、クロックアーム基板キャリアメカニズム200の例示的な一実施形態を示す。図に示すように、クロックアーム基板キャリアメカニズム200は、基板キャリア135の対応する1つを各端部に有する複数の回転アーム201と、内側軌道部203と、外側軌道部205と、基板リフタ207とを備える。後に詳述するように、各回転アーム201は、独立して駆動されてよく、したがって、残りの回転アーム201と独立して始動、停止、および、加速されてよい。さらに、回転アーム201が4つだけ図示されているが、クロックアーム基板キャリアメカニズム200は、任意の数のアームを操作するよう適合されうる。アームの数は、例えば、外側軌道部205の直径および各基板キャリア135の物理的サイズなど、物理的サイズに少なくとも部分的に依存する。回転アーム201および基板キャリア135は、所与の基板サイズに適合する必要に応じて拡大縮小されてよい。例えば、基板キャリア135は、300mmシリコンウエハ、100mmガリウムヒ素(GaAs)ウエハ、または、次世代の450mmウエハに対応するよう設計されてよい。
特定の例示的な実施形態において、外側軌道部205は、回転アーム201の中点から基板キャリア135の中心に至る30インチ(約760mm)の半径に対応するよう物理的に構成される。上述したように、外側軌道部205は、用いられる回転アームの数および操作される基板のサイズに応じて適切なサイズを有しうる。
基板リフタ207は、半導体業界で周知であり利用されている任意の一般的な種類のものであってよい。図に示すように、2つの例示的な基板リフタ207は、互いに約180°離されている。別の実施形態(図示せず)では、用いられる基板リフタ207の数が多くてもよい。
さらに、基板リフタ207の一方または両方が、クロックアーム基板キャリアメカニズム200による基板の180°回転を修正するために180°回転されてよい。回転は、以下で説明するように、クロックアームキャリアおよび線形キャリアの間で基板を移動する時に行われる。基板リフタ207の一方のみが180°回転する場合、180°の回転が、クロックキャリアから線形キャリアへの基板の移動時、および、線形キャリアからクロックキャリアへの基板の移動時に行われる。
一般的な動作では、基板キャリア135の特定の1つが基板リフタ207の一方の上に配置されると、外部ロボット(図示せず)が、基板キャリア(例えば、ウエハボートまたはFOUP113)に、または、基板キャリアから基板リフタ207の一方の上にウエハを配置してよい。次いで、基板リフタ207の選択された一方は、基板キャリア135の特定の1つの上に基板を降ろし、クロックアーム基板キャリアメカニズム200内に含まれる任意の回転アーム201または任意のその他の移動するメカニズムとの衝突を避けるのに十分な程度まで下がり続ける。
続けて図2を参照すると、クロックアーム基板キャリアメカニズム200は、さらに、上側化学剤放出ヘッド211および下側化学剤放出ヘッド213を備えており、それらは、基板が近くを通過する時に、化学剤(例えば、様々な組み合わせの洗浄またはエッチング剤など)を噴霧またはその他の方法で供給するように配置されている。少なくとも2つのヘッドを利用することで、基板を反転させる必要なしに、1回の通過でウエハの両側に化学剤を供給することができる。あるいは、上側化学剤放出ヘッド211および下側化学剤放出ヘッド213は、基板の両側に化学剤を同時に供給するよう構成されてもよい。当業者にとって明らかなように、任意の数の化学剤放出ヘッドを利用してよい。
具体的な一実施形態において、上側化学剤放出ヘッド211および下側化学剤放出ヘッド213は各々、「切り分けたパイ形」に設計されており、クロックアーム基板キャリアメカニズム200の内周よりも外周において広い断面幅を有する。パイ形は、内側部分よりも大きい基板の最外部での角速度に対応する。このように、例えば、基板に向けられた噴霧ノズルの数を増やして、基板の外側部分により多くの化学剤を供給することで、化学剤が均一に基板の各面を覆うようにすることができる。
本明細書に記載の様々な特徴の結果として、クロックアーム基板キャリアメカニズム200は連続フロー製造を実現することが可能であり、連続する基板間で大きい時間的ギャップなしに処理を行うことに役立つ。上述のように、湿式化学洗浄またはエッチングは、多くの様々な工程を含みうる。湿式化学剤の開始および停止は、制御が困難で、無駄が多く、非効率的である。クロックアーム基板キャリアメカニズム200は、360°全周で基板キャリア135の各々を移動させることによって、連続モードで基板を処理する。ウエハの洗浄も処理も実行されない180°の返送を必要とする線形システムのみを提供する様々な従来技術のシステムと異なり、クロックアーム基板キャリアメカニズム200は、両側で同時並行に洗浄処理を実行しうる。結果として、化学剤の制御を共有できることによって、制御システムの経費および余分な回路が削減される。また、現在の線形システムから、300%の化学剤の節約が可能である(すなわち、化学剤使用量において、4倍の削減)。
処理チャンバ131(図1B参照)内で、少なくとも2つの並列の処理が同時に起こる:すなわち、化学剤制御および基板移動である。図3を参照して以下で詳述するように、基板キャリア135の速度および加速度を独立制御することによって、終了工程ならびに1または複数の基板のロードおよびアンロードを実質的に同時に行うことができる。また、基板キャリア135の独立制御によると、後に詳述するように、キャリアがロードまたはアンロードされた後に、キャリアを加速させて処理フローに追いつかせることができる。
ここで、図3によると、例示的な実施形態の回転駆動システム300は、回転アーム201(図2参照)の各々に対して1つずつ、同軸的に取り付けられた4つのアーム駆動ギア301を含むハブを備える。同軸的に取り付けられたアーム駆動ギア301の各々に対して1つずつ、4つの駆動モータ303が用いられる。回転アーム201の各々は、回転アーム201の各々のための別個のモータを用いて、個別に駆動することができる。様々な他の例示的な実施形態において、回転アーム201の2つ以上が互いに結合されてもよく、その場合には、結合されたアームの動作に対して1つずつのモータがあればよい。
駆動力は、駆動モータ303の各々から、同軸取り付けされたアーム駆動ギア301の対応する1つに、例えばベルトによって伝達される。その他の駆動システムを用いてもよいが、ベルト駆動システムは、ギア駆動などの他のシステムよりも総システム効率を向上させる。さらに、バランスのとれた全体的なシステム設計(例えば、この例示的な実施形態における対称的に設計された回転アーム201の構成)により、4つの駆動モータ303の各々について電力消費が非常に低くなる。この例示的な実施形態では、典型的な電力消費は、モータ当たり約4.5ワットにすぎない。当業者であれば、他の種類の回転駆動構成を用いてもよいことがわかる。
特定の例示的な一実施形態では、モータ303の各々は、積分エンコーダを備えたSM2315Dサーボモータ(米国カリフォルニア州サンタクララ、パトリックヘンリードライブ3200、Animatics社から入手可能)など、標準的なNEMA23フレーム寸法であってよい。この実施形態の駆動モータ303の各々は、完全一体型の閉ループサーボ技術に基づくことができ、速度プロフィールプログラムがホストコンピュータ(例えば、第1のオペレータ制御インターフェース115Aまたは第2のオペレータ制御インターフェース115B)からダウンロードされて各モータに独立的に保存されることを可能にする内部不揮発性メモリを備えてよい。さらに、この特定の例示的な実施形態では、プログラムの監視および制御のための定義済み変数を用いて、すべての入力、出力、および、内部ステータス情報にアクセス可能である。例えば、50:1の遊星減速機および4:1の駆動ベルトを用いて、ギア減速が実現されてよく、そうすれば、回転ステッピングモータ当たり2000エンコーダカウントで、1回転当たり400,000カウントが提供される。この特定の例示的な実施形態によると、約1メートル/秒の最大速度、および、0.1Gすなわち0.98メートル/秒2の最大加速度が可能になる。したがって、回転アーム201(図2参照)は、約12.5回転/分の最大角速度で動きうる。
ここで、図4Aを参照すると、例示的な実施形態の基板横移動メカニズム400が示されており、一対の上側軌道401、一対の下側軌道403、一対の右取り付け基板キャリア405、および、一対の左取り付け基板キャリア407を備えている。基板キャリアは、図に示すように、互いに平行な異なる平面内で移動可能であり、クロックアーム基板キャリアメカニズム200の回転アーム201と平行な平面内に存在する。また、キャリアの各々は、単に以下で基板の全移動および搬送について説明する際の助けとなるように、半導体基板411を保持するものとして図示されている。基板搬送スロット133を参照して基板横移動メカニズム400が配置される場所も図4Aに示されている。
一対の右取り付け基板キャリア405および一対の左取り付け基板キャリア407の各々は、モータ409によって独立して直線的に駆動される。モータは、図3を参照して上述した標準的なNEMA23モータなど、多くのモータタイプから選択できる。明示されていないが、キャリアは、線形アクチュエータ(例えば、線形ベルト駆動システム)によって特定のキャリアに関連付けられたモータ409によって駆動される。かかる線形アクチュエータシステムは、独立して当業者に周知である。例えば、Festo(登録商標)EGC−50ベルト駆動線形アクチュエータ(ドイツ連邦、エスリンゲン、Ruiter Strasse 82、FESTO社製)が、基板横移動メカニズム400のためのキャリア駆動メカニズムとして用いられてよい。
様々な例示的な実施形態で本明細書に記載するように、基板横移動メカニズム400は、特定の数の軌道、基板キャリア、モータ、および、関連する駆動メカニズムのみを有するものとして図示されている。しかしながら、当業者にとって明らかなように、本明細書に記載の概念は、任意の数の軌道および基板キャリアに容易に適用されうる。
図4Bは、この実施形態において、様々な構成要素のより良好な様々な関係性を理解するために、基板横移動メカニズム400を示す正面図である。正面図は、基板搬送スロット133(図1Bおよび図4A参照)の斜視図から図示されたものである。一対の上側軌道401および一対の下側軌道403の各々は、例えば、軌道の前方部および後方部で、取り付けブラケット413を介して、処理チャンバ(図1B参照)内の構造415に取り付けられる。取り付けブラケット413は、軌道の垂直方向の調節を実現するために、スロット(図示せず)を通して機械ネジ417で構造415に結合されてよい。角度調節は、例えば、取り付けブラケット413の下側部分の狭くなった箇所の湾曲421と、取り付けブラケット413の各々の上側部分に配置された水平向きの機械ネジ419とによって実現できる。一対の右取り付け基板キャリア405および一対の左取り付け基板キャリア407は、特定の例示的な実施形態において、例えば、一対の左取り付け基板キャリア407が一対の右取り付け基板キャリア405の下方(例えば、20mm下方)に取り付けられるように構成されてよい。この構成は、例えば、一対の左取り付け基板キャリア407(例えば、下側の一対のキャリア)上の処理前の(「汚い」)基板の上方に取り付けられた一対の右取り付け基板キャリア405(例えば、上部の一対のキャリア)上に清浄な基板が含まれる特定の処理状況で有利でありうる。さらに、右取り付け基板キャリア405および左取り付け基板キャリア407の各々は、上述のようなフロントエンドロボットおよびFOUP113(図1A参照)のエンドエフェクタの間隔(例えば、約10mm)と実質的に同じ距離だけ離間されてよい。上述のような基板横移動メカニズム400の構成全体によれば、基板横移動メカニズム400の基板キャリアが互いに垂直に並んだ地点で複数の基板のハンドオフ(受け渡し)を行うことが可能である。右取り付け基板キャリア405および左取り付け基板キャリア407の各々が互いに10mm離間されているため、ロードおよびアンロードを行うロボットは、一度にFOUP113から複数の基板(例えば、この例では2つの基板)を取り、実質的に同時に基板横移動メカニズム400上に配置することができる。
ここで、図5は、例示的な実施形態において、基板横移動メカニズム400(図4Aおよび図4B参照)と共にクロックアーム基板キャリアメカニズム200(図2参照)を示す平面図である。この例示的な実施形態において、基板横移動メカニズム400は、クロックアーム基板キャリアメカニズム200の上方で動作する。
ここで、複合クロックアーム/横移動メカニズムの動作の一例を、図2および図5を同時に参照して説明する。基板が処理チャンバ131(図1B参照)で処理された後、回転アーム201の1つが、基板リフタ207の1つ(例えば、基板搬送スロット133の反対側に位置するリフタ)の上方で一時的に停止される。その基板リフタ207は、回転アーム201上に配置された基板キャリア135から半導体基板411を持ち上げる。まだ所定の位置にない場合、基板横移動メカニズム400上の基板キャリアの1つ、例えば、右取り付け基板キャリア405の1つが、基板リフタ207と当たらない位置に(すなわち、基板搬送スロット133の反対側の横移動装置の最端部の位置またはその付近に)横移動される。次いで、基板リフタ207は、右取り付け基板キャリア405のキャリア面最上部を越えるのに十分な高さまで半導体基板411を持ち上げる。次いで、キャリアは、半導体基板411を受けるために(すなわち、基板を載置したリフタの下でキャリアが中心に位置するように)横移動し、基板リフタ207が下がることによって、右取り付け基板キャリア405上に基板が配置される。基板リフタ207は、基板キャリア135の最下部によって形成された平面よりも低く下がり続ける。この時点で、すでに停止されている回転アーム201は、別の位置に移動されてよい。半導体基板411は、右取り付け基板キャリア405上に載置されると、直線的に基板搬送スロット133に向かって搬送され、ロボット(図示せず)によってFOUP113(図1A参照)のスロットに戻されてよい。
上述の基板除去プロセスと実質的に同時に、未処理基板が、FOUP113からロボットによって除去され、例えば、左取り付け基板キャリア407の1つの上に載置されてよい。(図4Bを再び参照して、左取り付け基板キャリア407は汚れた基板のキャリアと見なされてよく、右取り付け基板キャリア405は清浄な基板のキャリアと見なされてよいことを思い出すこと)。基板リフタ207の1つを用いて、未処理基板は、現在停止されている回転アーム201の1つの基板キャリア上に載置されてよい。例えば、未処理基板は、上述の処理済み基板がちょうど除去された同じ基板キャリア135上に載置されてよい。(図4Bを続けて参照して、基板横移動メカニズム400上の基板キャリアの各々は、互いに異なる高さで横移動されるため、処理チャンバ131から除去される処理済み基板と処理チャンバ131に入る未処理基板との間の干渉が避けられることを思い出すこと)。あるいは、未処理基板は、処理済みウエハが除去された回転アーム201の反対側の基板キャリア上に載置されてもよい。さらに別の代替方法では、未処理基板は、任意の回転アーム201のどちらかの端部の基板キャリア上に載置されてもよい。当業者は、さらなる回転アーム、基板リフタ、および、線形基板キャリアが、基板スループットの向上のために追加されてもよいことを理解されたい。
さらに、クロックアーム基板キャリアメカニズム200および基板横移動メカニズム400の記載した設計によれば、基板の各ハンドオフを単一軸の移動で実現することができる。例えば、ハンドオフは、2つの構成要素、すなわち、基板を移送するための第1のメカニズムおよび基板を受けるための第2のメカニズムを必要とする。しかしながら、本明細書に記載したように、2つのメカニズムの一方は移動しない(すなわち、固定されている)ため、2つのメカニズム間の連携の問題が実質的に低減されて(例えば、一方のメカニズムが移動しないので厳しいタイミングの問題が少なくなって)、基板移送動作の信頼性が高くなる。したがって、ロボットは常に、基板を移動させる際に比較的固定された位置を有する。固定された位置は、十分な時間間隔を伴う(クロックアーム基板キャリアメカニズム200の回転アーム201が互いに独立していることによる)。結果的に、1時間当たり500基板を越える高スループットを容易に達成できる。さらに、ロボットを除けば、本明細書に記載したすべての移動は単一軸であるため、クロックアーム基板キャリアメカニズム200および基板横移動メカニズム400を比較的安価に生産することができる。
図5を続けて参照しつつ、さらに図6を参照すると、クロックアーム基板キャリアメカニズム200(図2参照)および基板横移動メカニズム(図4参照)と共に用いられる基板リフタ207の1つの詳細な平面図600が示されている。右取り付け基板キャリア405および左取り付け基板キャリア407のc字形構造が、基板リフタ207のいずれかが基板キャリアからの干渉なしに上昇および下降されることを可能にすることに注意されたい。基板リフタ207が垂直に(すなわち、図の紙面から外に)持ち上げられる時、基板リフタ207のフィンガが、基板キャリア135のスロットを横切る。基板リフタ207が持ち上げられ続ける時に、左取り付け基板キャリア407は、基板リフタ207のフィンガひいては基板(この図をわかりやすくするため図示せず)と同心になる(すなわち、中心が合う)まで、図面の下方に水平移動されてよい。次いで、基板リフタ207が降下して(すなわち、図面の背面に向かって降下して)、基板は、左取り付け基板キャリア407に捕らえられて保持される。c字形構造は、本明細書に記載の発明の主題の態様が機能するために必要ではないが、当業者であれば、c字形キャリアの動作上のいくつかの利点がわかるだろう。さらに、当業者にとって明らかなように、回転アーム201はすべて、互いに独立して移動されうるため、アームの1つがロードまたはアンロードのために停止する時に、他のアームが移動し続けてよく、それにより、システム全体の効率およびスループットが大幅に改善される。
当業者であればわかるように、本明細書に記載の高スループットに向けた基板ロードおよびアンロードメカニズムのためのシステムの例は、様々なツール上、および、処理ラインの複数のポイントに実装できる。さらに、当業者であればわかるように、そのシステムは、典型的な製造施設の様々な部分(例えば、フロントエンドオブライン、バックエンドオブライン、および、試験動作)において、複数の処理および計測ツールに容易に組み込むことができる。
さらに、特定の例示的な実施形態を参照しつつ、本発明の主題の要旨について説明したが、本発明のより広い精神および範囲から逸脱することなく、これらの実施形態に対して様々な変形および変更がなされてもよい。かかる本発明主題の実施形態は、本明細書において、個別にまたは集合的に、「発明」という用語で呼ばれてよく、それは、単に便宜上のものであり、任意の単一の発明または発明の概念(2以上が実際に開示される場合)に本願の範囲を自発的に限定することを意図するものではない。本明細書に示された実施形態は、開示されている教示を当業者が実施することを可能にするために、十分詳細に説明されている。別の実施形態を用いたり本願から導いたりすることも可能であり、その際、本開示の目的から逸脱することなく、構造上および論理上の置換および変更を行うことができる。したがって、発明を実施するための形態は、限定を意味するものではなく、様々な実施形態の範囲は、添付の特許請求の範囲と、その等価物全体とによってのみ規定される。
さらに、一例として本明細書に記載された構造的要素または動作に対して、複数の例が提供されてもよい。他の機能配分も想定される。他の配分も、本発明の主題の様々な実施形態の範囲に含まれうる。一般に、構成例において別個のリソースとして提示された構造および機能が、一体的な構造またはリソースとして実装されてもよい。同様に、単一のリソースとして提示された構造および機能が、別個のリソースとして実装されてもよい。さらに、半導体産業と同類の多くの産業が、本明細書に記載のシステムを利用できる。例えば、データストレージ産業における薄膜ヘッド(TFH)処理、フラットパネルディスプレイ産業におけるアクティブマトリクス液晶ディスプレイ(AMLCD)、または、微小電気機械(MEM)産業は、記載のシステムおよび技術を容易に利用することができる。したがって、「半導体」という用語は、前述の産業および関連業界を含むものとして認識されるべきである。これらおよびその他のバリエーション、変形、追加、および、改良は、添付の特許請求の範囲によって表される本発明の範囲内に含まれる。したがって、明細書および図面は、限定ではなく例示を意図したものであると見なされる。
本発明は、たとえば、以下のような態様で実現することもできる。

適用例1
高い基板スループットを提供するための基板キャリアシステムであって、
両端部の間の中点を各々有し、互いに平行な平面内で前記中点を中心に回転するよう構成され、前記両端部の各々の上に取り付けられた少なくとも1つの回転取り付け基板キャリアを有する複数のキャリアアームと、
複数の同軸取り付けされた駆動部を含むハブであって、前記複数の駆動部の各々は、前記複数のキャリアアームの内の対応する1つの前記中点付近に結合され、前記複数のキャリアアームの少なくとも一部は、残りの前記複数のキャリアアームと独立して駆動されるよう構成される、ハブと、
前記同軸取り付けされた駆動部の各々にそれぞれ結合され、前記複数のキャリアアームの内の結合された1つを回転させるよう構成された駆動モータと、
前記複数のキャリアアームに近接して結合され、その上に取り付けられた複数の線形基板キャリアを有する線形横移動メカニズムであって、前記複数の線形基板キャリアの各々は、前記複数のキャリアアームの前記平面と実質的に平行な平面内で基板を搬送するよう構成され、互いに異なる平面内に存在する、線形横移動メカニズムと、
を備える、システム。

適用例2
適用例1の基板キャリアシステムであって、さらに、前記複数のキャリアアームが通る回転経路の外周に近接して配置された少なくとも1つの基板搬送スロットを備える、システム。

適用例3
適用例2の基板キャリアシステムであって、さらに、前記複数のキャリアアームが通る前記回転経路の下方、かつ、前記複数のキャリアアームの前記中点と前記少なくとも1つの基板搬送スロットとの間の半径方向の線の上に配置された基板リフタを備える、システム。

適用例4
適用例1の基板キャリアシステムであって、さらに、前記ハブと同軸に各々取りつけられ、前記回転取り付け基板キャリアの各々の内周および外周をそれぞれ支持するよう構成された内側軌道部および外側軌道部を備える、システム。

適用例5
適用例1の基板キャリアシステムであって、前記複数の線形基板キャリアの各々の搬送面は、互いから約10mmに配置される、システム。

適用例6
適用例1の基板キャリアシステムであって、前記複数の線形基板キャリアの各々は、別個のモータによって独立して駆動される、システム。

適用例7
適用例1の基板キャリアシステムであって、さらに、前記複数のキャリアアームに結合された基板処理チャンバを備える、システム。

適用例8
適用例1の基板キャリアシステムであって、前記線形横移動メカニズムは、前記複数のキャリアアームの上方に動作可能に結合される、システム。

適用例9
適用例1の基板キャリアシステムであって、前記複数の線形基板キャリアの各々は、基板リフタの上方で同軸に前記基板の1つを配置するためにc字形構造を有する、システム。

適用例10
適用例1の基板キャリアシステムであって、前記線形横移動メカニズムは、さらに、
少なくとも2つの上側軌道と、
少なくとも2つの下側軌道と、
前記少なくとも2つの上側軌道および前記2つの下側軌道の各々にそれぞれ結合された線形駆動メカニズムであって、前記複数の線形基板キャリアの各々は、前記線形駆動メカニズムの固有の1つと機械的に結合される、線形駆動メカニズムと、
を備える、システム。

適用例11
適用例1の基板キャリアシステムであって、さらに、少なくとも1つの化学剤放出ヘッドを備え、前記少なくとも1つの化学剤放出ヘッドは、前記回転取り付け基板キャリアの各々の回転経路に近接して配置される、システム。

適用例12
適用例11の基板キャリアシステムであって、前記少なくとも1つの化学剤放出ヘッドは、前記回転取り付け基板キャリアの経路の上方に配置される、システム。

適用例13
適用例11の基板キャリアシステムであって、前記少なくとも1つの化学剤放出ヘッドは、前記回転取り付け基板キャリアの経路の下方に配置される、システム。

適用例14
適用例11の基板キャリアシステムであって、前記少なくとも1つの化学剤放出ヘッドは、前記ヘッドの内周よりも前記ヘッドの外周において広い断面幅を有する、システム。

Claims (14)

  1. 高い基板スループットを提供するための基板キャリアシステムであって、
    両端部の間の中点を各々有し、互いに平行な平面内で前記中点を中心に回転するよう構成され、前記両端部の各々の上に取り付けられた少なくとも1つの回転取り付け基板キャリアを有する複数のキャリアアームと、
    複数の同軸取り付けされた駆動部を含むハブであって、前記複数の駆動部の各々は、前記複数のキャリアアームの内の対応する1つの前記中点付近に結合され、前記複数の同軸取り付けされた駆動部の各々は、前記複数のキャリアアームの対応する1つを同時にいずれかの方向に少なくとも360°全周で移動させるように構成されており、前記複数のキャリアアームの少なくとも一部は、残りの前記複数のキャリアアームと独立して駆動されるよう構成される、ハブと、
    前記同軸取り付けされた駆動部の各々にそれぞれ結合され、前記複数のキャリアアームの内の結合された1つを回転させるよう構成された駆動モータと、
    前記複数のキャリアアームに近接して結合され、その上に取り付けられた複数の線形基板キャリアを有する線形横移動メカニズムであって、前記複数の線形基板キャリアの各々は、前記複数のキャリアアームの前記平面と実質的に平行な平面内で前記複数のキャリアアームとは独立に基板を搬送するよう構成され、互いに異なる平面内に存在する、線形横移動メカニズムと、
    前記ハブと同軸に各々取りつけられ、前記回転取り付け基板キャリアの各々の内周および外周をそれぞれ支持するよう構成された内側軌道部および外側軌道部と、を備える、システム。
  2. 請求項1に記載の基板キャリアシステムであって、さらに、前記複数のキャリアアームが通る回転経路の外周に近接して配置された少なくとも1つの基板搬送スロットを備える、システム。
  3. 請求項2に記載の基板キャリアシステムであって、さらに、前記複数のキャリアアームが通る前記回転経路の下方、かつ、前記複数のキャリアアームの前記中点と前記少なくとも1つの基板搬送スロットとの間の半径方向の線の上に配置された基板リフタを備える、システム。
  4. 請求項1に記載の基板キャリアシステムであって、前記複数の線形基板キャリアの各々の搬送面は、互いから約10mmに配置される、システム。
  5. 請求項1に記載の基板キャリアシステムであって、前記複数の線形基板キャリアの各々は、別個のモータによって独立して駆動される、システム。
  6. 請求項1に記載の基板キャリアシステムであって、さらに、前記複数のキャリアアームに結合された基板処理チャンバを備える、システム。
  7. 請求項1に記載の基板キャリアシステムであって、前記線形横移動メカニズムは、前記複数のキャリアアームの上方に動作可能に結合される、システム。
  8. 請求項1に記載の基板キャリアシステムであって、前記複数の線形基板キャリアの各々は、基板リフタの上方で同軸に前記基板の1つを配置するためにc字形構造を有する、システム。
  9. 請求項1に記載の基板キャリアシステムであって、前記線形横移動メカニズムは、さらに、
    少なくとも2つの上側軌道と、
    少なくとも2つの下側軌道と、
    前記少なくとも2つの上側軌道および前記2つの下側軌道の各々にそれぞれ結合された線形駆動メカニズムであって、前記複数の線形基板キャリアの各々は、前記線形駆動メカニズムの固有の1つと機械的に結合される、線形駆動メカニズムと、
    を備える、システム。
  10. 請求項1に記載の基板キャリアシステムであって、さらに、少なくとも1つの化学剤放出ヘッドを備え、前記少なくとも1つの化学剤放出ヘッドは、前記回転取り付け基板キャリアの各々の回転経路に近接して配置される、システム。
  11. 請求項10に記載の基板キャリアシステムであって、前記少なくとも1つの化学剤放出ヘッドは、前記回転取り付け基板キャリアの経路の上方に配置される、システム。
  12. 請求項10に記載の基板キャリアシステムであって、前記少なくとも1つの化学剤放出ヘッドは、前記回転取り付け基板キャリアの経路の下方に配置される、システム。
  13. 請求項10に記載の基板キャリアシステムであって、前記少なくとも1つの化学剤放出ヘッドは、前記ヘッドの内周よりも前記ヘッドの外周において広い断面幅を有する、システム。
  14. 基板キャリアシステムであって、
    両端部の間の中点を各々有し、互いに平行な平面内で前記中点を中心に回転するよう構成され、前記両端部の各々の上に取り付けられた少なくとも1つの回転取り付け基板キャリアを有する複数のキャリアアームと、
    複数の同軸取り付けされた駆動部を含むハブであって、前記複数の駆動部の各々は、前記複数のキャリアアームの内の対応する1つの前記中点付近に結合され、前記複数の同軸取り付けされた駆動部の各々は、前記複数のキャリアアームの対応する1つを移動させるように構成されており、前記複数のキャリアアームの少なくとも一部は、残りの前記複数のキャリアアームと独立して駆動されるよう構成される、ハブと、
    前記同軸取り付けされた駆動部の各々にそれぞれ結合され、前記複数のキャリアアームの内の結合された1つを回転させるよう構成された駆動モータと、
    前記ハブと同軸に各々取りつけられ、前記回転取り付け基板キャリアの各々の内周および外周をそれぞれ支持するよう構成された内側軌道部および外側軌道部と、
    前記複数のキャリアアームに近接して結合され、その上に取り付けられた複数の線形基板キャリアを有する線形横移動メカニズムであって、前記複数の線形基板キャリアの各々は、前記複数のキャリアアームの前記平面と実質的に平行な平面内で前記複数のキャリアアームとは独立に基板を搬送するよう構成され、互いに異なる平面内に存在する、線形横移動メカニズムと、を備える、システム。
JP2012553922A 2010-02-16 2011-01-28 高スループットのための基板ロードおよびアンロードメカニズム Active JP5779598B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/706,397 US8562272B2 (en) 2010-02-16 2010-02-16 Substrate load and unload mechanisms for high throughput
US12/706,397 2010-02-16
PCT/US2011/022870 WO2011102952A2 (en) 2010-02-16 2011-01-28 Substrate load and unload mechanisms for high throughput

Publications (3)

Publication Number Publication Date
JP2013520027A JP2013520027A (ja) 2013-05-30
JP2013520027A5 JP2013520027A5 (ja) 2014-03-20
JP5779598B2 true JP5779598B2 (ja) 2015-09-16

Family

ID=44369764

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012553922A Active JP5779598B2 (ja) 2010-02-16 2011-01-28 高スループットのための基板ロードおよびアンロードメカニズム

Country Status (7)

Country Link
US (1) US8562272B2 (ja)
JP (1) JP5779598B2 (ja)
KR (1) KR20130025369A (ja)
CN (1) CN102770954B (ja)
SG (1) SG183364A1 (ja)
TW (1) TWI563591B (ja)
WO (1) WO2011102952A2 (ja)

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
AU2010213749B2 (en) * 2009-02-11 2015-01-22 Dow Agrosciences Llc Pesticidal compositions
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
WO2012150644A1 (ja) * 2011-05-02 2012-11-08 村田機械株式会社 自動倉庫
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013105660B3 (de) * 2013-06-03 2014-07-24 Amicra Microtechnologies Gmbh Bestückungsvorrichtung und Verfahren zum Aufbringen von elektrischen und/oder optoelektrischen Bauteilen auf Substrate
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9410742B2 (en) * 2014-09-08 2016-08-09 Tokyo Electron Limited High capacity magnetic annealing system and method of operating
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110127342A (zh) * 2019-06-04 2019-08-16 上海亚力机械科技有限公司 预充式导管冲洗器针筒进料机构
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
EP3754070B1 (de) 2019-06-17 2023-06-07 Groz-Beckert KG Vorrichtung und verfahren zur handhabung von webgeschirrelementen
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111003449B (zh) * 2019-12-17 2021-08-17 湖南城市学院 一种机械制造用原材料调运装置及方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH067542B2 (ja) * 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US5096364A (en) * 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (fr) * 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPH0237742A (ja) 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置
JP2706665B2 (ja) 1990-01-18 1998-01-28 東京エレクトロン株式会社 基板移載装置及び処理装置
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0531472A (ja) * 1990-11-17 1993-02-09 Tokyo Electron Ltd 洗浄装置
EP0496006A1 (en) * 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JP3309416B2 (ja) * 1992-02-13 2002-07-29 松下電器産業株式会社 連結式クリーン空間装置
US5229615A (en) * 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) * 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (ko) * 1993-04-12 1999-04-15 마스다 쇼오이치로오 크린장치가 부착된 하물보관설비
DE4340522A1 (de) * 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
US5979475A (en) 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5765444A (en) * 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) * 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP3218425B2 (ja) * 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US5843231A (en) * 1996-09-24 1998-12-01 Alcatel Telecommunications Cable Apparatus for bubble stripping and coating a fiber
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
WO1999010257A1 (en) * 1997-08-28 1999-03-04 Cvc Products, Inc. Wafer handler for multi-station tool
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) * 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
JP4404481B2 (ja) * 1998-02-18 2010-01-27 アプライド マテリアルズ インコーポレイテッド 真空処理システム、ウェーハハンドラーおよびエンドエフェクタ
US6158951A (en) 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
AU2041000A (en) 1998-12-02 2000-06-19 Kensington Laboratories, Inc. Specimen holding robotic arm end effector
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (nl) 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) * 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
KR100433067B1 (ko) 2001-01-22 2004-05-27 주식회사 라셈텍 반도체 제조장치
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP2003229466A (ja) 2002-02-04 2003-08-15 Seiko Instruments Inc 真空処理装置
US20030202865A1 (en) * 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) * 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004193418A (ja) 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
JP2004200329A (ja) 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR100483428B1 (ko) * 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
JP4468021B2 (ja) * 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
US7934513B2 (en) * 2003-10-08 2011-05-03 Semes Co., Ltd. Facility with multi-storied process chamber for cleaning substrates and method for cleaning substrates using the facility
WO2005121027A2 (en) * 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
KR101279819B1 (ko) 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 방사-편향 연마 패드
CN100362620C (zh) * 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100814238B1 (ko) * 2006-05-03 2008-03-17 위순임 기판 반송 장치 및 이를 이용한 기판 처리 시스템
KR100818044B1 (ko) 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
WO2009012396A2 (en) 2007-07-17 2009-01-22 Brooks Automation, Inc. Substrate processing apparatus with motors integral to chamber walls
JP4359640B2 (ja) * 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) * 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool

Also Published As

Publication number Publication date
US20110200415A1 (en) 2011-08-18
JP2013520027A (ja) 2013-05-30
US8562272B2 (en) 2013-10-22
SG183364A1 (en) 2012-09-27
WO2011102952A2 (en) 2011-08-25
KR20130025369A (ko) 2013-03-11
CN102770954B (zh) 2015-06-17
TWI563591B (en) 2016-12-21
CN102770954A (zh) 2012-11-07
TW201145443A (en) 2011-12-16
WO2011102952A3 (en) 2012-01-05

Similar Documents

Publication Publication Date Title
JP5779598B2 (ja) 高スループットのための基板ロードおよびアンロードメカニズム
TWI501344B (zh) 高處理量之清理腔室
US8504194B2 (en) Substrate processing apparatus and substrate transport method
KR100953010B1 (ko) 기판처리장치 및 기판처리방법
JP2019521869A (ja) 間隔を置いて配置された上腕部と交互に配置されたリストとを含むデュアルロボット、及びこれらを含むシステム及び方法
US20060045722A1 (en) Substrate reversing device, substrate transporting device, substrate processing device, substrate reversing method, substrate transporting method and substrate processing method
US20090087285A1 (en) Substrate processing apparatus
TWI668784B (zh) 基板處理方法及基板處理裝置
JP6045869B2 (ja) 基板処理装置および基板処理方法
KR101453189B1 (ko) 반송 장치
US8893642B2 (en) Airflow management for low particulate count in a process tool
CN112439737A (zh) 用于处理基板的装置和方法
TW202044470A (zh) 基板處理裝置
JP4199432B2 (ja) ロボット装置及び処理装置
TW202218021A (zh) 基板搬運系統
JPH11251398A (ja) 基板搬送装置およびこれを用いた基板洗浄装置ならびに基板搬送方法
TWI789834B (zh) 基板處理裝置及基板搬送方法
KR100845919B1 (ko) 기판 반송 장치 및 이를 이용한 기판 처리 시스템
US20240071802A1 (en) Operations of robot apparatuses within rectangular mainframes
KR100924944B1 (ko) 기판 처리 장치 및 이를 이용한 기판 이송 방법
KR100784954B1 (ko) 기판 이송 장치
WO2024072615A1 (en) Method and apparatus for processing a substrate in cleaning modules
KR20220029979A (ko) 기판 처리 장치
KR20070107320A (ko) 반도체 기판의 이송 장치 및 이를 이용한 기판의 이송 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140128

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141021

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150616

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150713

R150 Certificate of patent or registration of utility model

Ref document number: 5779598

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250