CN102770954A - 用于获得高产率的衬底加载和卸载机构 - Google Patents

用于获得高产率的衬底加载和卸载机构 Download PDF

Info

Publication number
CN102770954A
CN102770954A CN2011800111742A CN201180011174A CN102770954A CN 102770954 A CN102770954 A CN 102770954A CN 2011800111742 A CN2011800111742 A CN 2011800111742A CN 201180011174 A CN201180011174 A CN 201180011174A CN 102770954 A CN102770954 A CN 102770954A
Authority
CN
China
Prior art keywords
substrate
substrate carrier
linear
vector
carrier according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800111742A
Other languages
English (en)
Other versions
CN102770954B (zh
Inventor
艾瑞克·H·伦兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102770954A publication Critical patent/CN102770954A/zh
Application granted granted Critical
Publication of CN102770954B publication Critical patent/CN102770954B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/0084Programme-controlled manipulators comprising a plurality of manipulators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

在本发明所描述的各种实施方式中,一种系统包括多个载体臂,每个载体臂具有位于载体臂相对的端部之间的按同心方式安装的中点,所述载体臂的相对的端部中的每一个安装有晶片载体。轮毂包括多个按同心方式安装的驱动装置,所述多个驱动装置中的每一个邻近所述多个载体臂中的相应的一个的所述中点联接。所述多个驱动装置中的每一个被设置成独立于其余多个按同心方式安装的驱动装置被控制。各自的马达被联接到所述按同心方式安装的驱动装置中的每一个上并被设置成以旋转方式移动所联接的载体臂。线性晶片移动机构来往于所述多个载体臂上的所选择的晶片载体上移动晶片至易于由加载/卸载机器人传递的位置。

Description

用于获得高产率的衬底加载和卸载机构
优先权主张
本申请主张于2010年2月16日提交的申请序列号为No.12/706,397的美国专利的优先权利益,该专利的全部内容通过引用并入本申请中。
技术领域
本申请总体上涉及半导体处理领域,在具体的示例性实施方式中,涉及在处理室内快速运输衬底的系统和方法。
背景技术
在半导体器件的制造过程中,处理室往往是连通的(interface),使得晶片或者衬底例如能够在连通的室之间进行传输。该传输通常通过传输模块执行,该传输模块使晶片移动,例如通过设置在连通的室的相邻壁中的槽或孔。传输模块通常与各种晶片处理模块(PM)结合使用,所述晶片处理模块可以包括半导体蚀刻系统、材料沉积系统以及平板显示器蚀刻系统。
自从几十年以前半导体器件最初被推广以来,半导体器件的几何尺寸(即,集成电路设计尺度)已经显著减小。集成电路(IC)通常遵循“莫尔定律”(“Moore’s Law”),莫尔定律意指安装到单个的集成电路芯片上的器件的数量每两年翻一番。当前的IC制造设施(下文简称“制造设备”)通常生产65nm(0.065μm)的特征尺寸器件或者更小的特征尺寸器件。未来的制造设备不久将会生产出具有甚至更小特征尺寸的器件。
从产量和成本角度考虑,也许更重要的是,在制造工艺中使用的设备(例如,处理工具)的类型正成为主要的技术驱动器。该制造过程必须是有效的,而且其还必须是快速的。在许多的应用中,对于目前的一代300mm晶片的当前产量的需求是每小时360块晶片。目前,系统仅仅使用单载体线性晶片运动,当该晶片载体在处理工具内返回起点时,需要非生产时间。因此晶片的处置是慢的。对于提高产率所建议的方案聚焦在将多个处理工具并行连接。尽管这样的方案可以提高晶片的产率,但是是以牺牲工具的占板面积、设施成本增加以及可靠性下降为代价的。因此,在半导体处理领域,所做的改善特别需要强调设施的可靠性、产率以及效率。
附图说明
附图中的各个图仅仅图解了本发明的示例性的实施方式,并且不能被视为限制本发明的保护范围。
图1A为示例性的包括设备前端模块(EFEM)、处理模块和电子产品封壳,并整合了本文所描述的本发明主题的至少一些方案的衬底处理工具的分解透视图,
图1B是图1A的包括处理室的处理模块的透视图;
图2为在图1B的处理室内使用的示例性的钟臂状(clock-arm)的衬底载体机构的透视图;
图3为给图2的钟臂状衬底载体系统提供驱动力的示例性的运动驱动轮毂的透视图;
图4A是在图1B的处理室内所使用的示例性衬底移动机构的透视图;
图4B是图4A的示例性衬底机构系统的正视图;
图5是在示例性的实施方式中结合图4A和图4B的衬底移动机构所使用的图2的钟臂状衬底载体机构的俯视图;
图6是与图2的示例性的钟臂状衬底载体机构和图4A和图4B的示例性的衬底移动机构一起使用的升降机构的详细的俯视图。
具体实施方式
下述说明包括实现本发明主题的各种方案的例证性的系统、方法和技术。在下述说明中,基于阐明之目的,阐述了许多具体的细节,以能理解本发明主题的各种实施方式。然而,对于本领域技术人员而言,显而易见,没有这些具体的细节,本发明主题的实施方式也可以实施。此外,公知的工序、结构和技术没有详细介绍。
在本文中,术语“或”可以解释为包含的意思,或者解释为排除的意思。类似地,术语“示例性”被解释为:仅仅意指某事的示例或者范例,而并非必然地意指实现目标的优选的或理想的方案。另外,尽管下文所讨论的各种示例性实施方式集中在衬底运输机构上,但是这些实施方式仅仅基于清楚披露的目的而给出。因此,任何类型的衬底运输机构能够使用本文所描述的系统的各种实施方式,并且被视为是在本发明主题的保护范围之内。
此外,在本文中,术语“衬底”仅仅选择作为指代在半导体行业及相关行业中使用的各种类型的衬底的方便用语。因此衬底类型可以包括硅晶片、复合晶片、薄膜头组件、光掩膜坯料和光网、或者本技术领域公知的许多其他类型的衬底。
在示例性的实施方式中,披露了衬底载体系统。该衬底载体系统包括多个载体臂,每个载体臂有位于相对的端部之间的中点。该些臂被设置为在彼此平行的平面内绕该中点旋转,其中至少一个衬底载体被安装在相对的端部的每一端部上。具有多个按同心方式安装的驱动装置的轮毂联接在每个载体臂的中点的附近。载体臂的至少一部分能够独立于载体臂的其余部分被驱动。驱动马达联接到该按同心方式安装的驱动装置的每一个上,从而通过旋转方式移动载体臂。线性移动机构联接在载体臂附近。该移动机构有多个线性衬底载体,每个载体能够在基本平行于该些载体臂的平面的平面内运输衬底。该些线性衬底载体中的每一个是在彼此不同的平面内,以便能同时运输多个衬底。
在另一示例性的实施方式中,公开了提供高晶片产率的晶片运输系统。该系统包括具有多个载体臂的晶片处理室,该多个载体臂在该处理室中运行。该些载体臂中的每一个具有在相对的端部之间的按同心方式安装的中点。该些载体臂被设置成在彼此平行的平面内绕该中点旋转。晶片载体被安装在该些载体臂的相对的端部中的每一端部。具有多个按同心方式安装的驱动装置的轮毂邻近该些载体臂的中点联接。该些载体臂的至少一部分能够独立于该些载体臂的其余部分被驱动。驱动马达联接到该按同心方式安装的驱动装置的每一个上,从而以旋转方式移动该些载体臂中的被联接的一个载体臂。线性移动机构邻近这些载体臂联接。该线性移动机构有多个线性晶片载体,以便在基本平行于该些载体臂的平面的平面内运输晶片。该些晶片载体中的每一个是在彼此不同的平面内,以便能同时运输多个晶片。至少一个清洁化学供应头定位成邻近晶片载体路径。
在另一示例性实施方式中,公开了运输衬底的线性移动机构。该线性移动机构包括多个衬底载体,以便在彼此基本平行并且彼此不同的平面内运输衬底,从而能同时运输多个衬底。至少两个上部轨道和至少两个下部轨道,每个轨道具有分离地联接到该些衬底载体上的线性驱动机构。
参考图1A,其示出了用于处理诸如半导体晶片等衬底的设备的部件的分解图。处理工具100(通常称作处理工具)被显示包括设备前端模块(EFEM)110、处理模块130以及电子产品封壳150。
在运行中,EFEM110、处理模块130以及电子产品封壳150联合作为单个的装置。处理模块130包括处理室131,在处理室131中,在批量衬底上进行各种处理。该处理可以包括各种类型的处理,例如在半导体领域以及相关的技术领域独立地公知的衬底清洁和湿法蚀刻(例如化学蚀刻)步骤。另外,处理模块130通常被封闭,以减少在处理模块130和处理室131内的衬底的任何颗粒污染、有机污染和其他污染。此外,封壳(未示出)使设备操作员和处理模块130内的移动的机构之间的危险的相互作用的风险最小化,从而增加操作人员的安全。操作功率通过电子产品封壳150被施加至EFEM110和处理模块130。
EFEM110被显示包括多个衬底加载站111、第一操作员控制界面115A和第二操作员控制界面115B。通过这些控制界面中的一个,操作员可以输入并且运行例如用于特定的批量衬底的处理配方。EFEM110也被显示包括放置在衬底加载站111中的一个上的前端开口片盒(FOUP)113。FOUP113是被设计为保留半导体晶片(例如,通常为硅晶片(Si),但是也可以包括由诸如锗(Ge)等元素半导体材料或者由诸如镓-砷(GaAs)或铟砷(InAs)等复合半导体材料形成的各种其他晶片类型)的特定类型的塑料封壳。FOUP113将晶片(未示出)牢固地并安全地保留在可控环境中。尽管图1A中未明确地示出,但是技术人员能够容易地意识到FOUP可以同时存在衬底加载站111中的每一个站上。一个或更多的机器人(未示出)可以与每个FOUP关联。
当FOUP113放置在衬底加载站111中的一个站上时,EFEM110中的机器人(未示出)可以直接接近容纳在FOUP113中的晶片。因此,EFEM110使操作员能够通过例如两刀片或四刀片机器人(未示出,但是本技术领域是独立地公知的)从FOUP113到处理室131进行装载和卸载衬底。尽管没有限于特定的机器人类型,但是能够使用的一种机器人是例如模型FC06N,其由美国密歇根的Wixom的Kawasaki(USA)公司制造。在具体的示例性实施方式中,机器人可以包括具有4个3.8mm的刀片的可收缩末端执行器,其中该4个刀片中的相邻刀片间有大约10mm的间距。该10mm的间距匹配通常的FOUP中的晶片至晶片的间距。下面参照图4A、图4B和图5对在处理室131中进行的各种运输处理的细节进行更详细的描述。
现在参考图1B,同时参考图1A,衬底(未示出)由机器人从FOUP113运输到位于钟臂状衬底载体机构(图1A和图1B中都未明确示出,但下文将参照图2和图3详细描述)上的多个衬底载体135(即旋转安装的衬底载体)中的一个上。通过衬底运输槽133将衬底加载到处理室131内,或者将衬底从处理室131中卸载出来。
图2示出了钟臂状衬底载体机构200的示例性实施方式。该钟臂状衬底载体机构200被示出,其包括多个旋转臂201、内部轨道部分203、外部轨道部分205和衬底升降器207,其中旋转臂201的每个端部具有关联的衬底载体135中的一个。如下文所更详细描述的,该些旋转臂201中的每一个可以独立地被驱动,因而可以独立于该些旋转臂201中的其余臂被启动、被止动并且被加速。另外,尽管仅示出了该些旋转臂201中的4个,但是钟臂状衬底载体机构200能够适于处理任何数量的臂。臂的数量将至少部分地取决于例如外部轨道部分205的直径的物理尺寸以及每一个衬底载体135的物理尺寸。旋转臂201和衬底载体135可以根据需要进行设计以便适应给定的衬底尺寸。例如,衬底135可以被设计为容纳300mm硅晶片、100mm镓砷(GaAs)晶片或者下一代的450mm晶片。
在具体的示例性实施方式中,外部轨道部分205按物理方式被设置为容纳从旋转臂201的中点至衬底载体135的中心的30英寸(约760mm)的半径。如上文所讨论的,外部轨道部分205可以根据所使用的旋转臂的数量和所处理的衬底的尺寸适当地进行尺寸设计。
衬底升降器207可以是公知的并且是半导体行业所使用的任何普通的类型。如图所示,衬底升降器207的两示例空间上彼此间隔约180°。在其他实施方式中(未示出),具有较多数量的被使用的衬底升降器207。
另外,衬底升降器207中的一个或者两个可以旋转180°以便通过钟臂状衬底载体机构200对衬底进行180°的旋转校正。当移动位于钟臂状载体和下文所述的线性载体之间的衬底时,期间,旋转现象就会产生。当衬底升降器207中仅一个旋转180°时,该180°旋转在将衬底从钟臂状载体移动至线性载体时以及在将衬底从线性载体移动至钟臂状载体时产生。
在通常的操作中,当衬底载体135中的特定的一个定位在衬底升降器207中的一个上时,外部机器人(未示出)可以将晶片放至衬底载体(例如晶片船或者FOUP113)上,或者将晶片从衬底载体放至衬底升降器207中的一个上。所选择的衬底升降器207中的一个然后将衬底下降至衬底载体135中的特定的一个上,并且升降器将其本身下降得足够远以避免与任何旋转臂201或者包含在钟臂状衬底载体机构200中的任何其他的移动机构的任何碰撞。
继续参考图2,钟臂状衬底载体机构200进一步包括所定位的上部化学释放头211和下部化学释放头213,以便在衬底通行至接近上部化学释放头211和下部化学释放头213时,喷射或者施加化学物(例如,诸如清洁化学物或者蚀刻化学物的各种组合物)。使用至少两个头使得化学物能够在晶片单次的通行中施加到晶片的两面,而不需要翻转晶片。替代地,上部化学释放头211和下部化学释放头213可以设置成将化学物同时施加在衬底的两面。技术人员可以意识到,可以使用任何数量的化学释放头。
在具体的示例性实施方式中,上部化学释放头211和下部化学释放头213每一个被设置成“扇形”形状,其在钟臂状衬底载体机构200的外缘比在内缘具有较宽的横截面宽度。该扇形在衬底的最外部处比在其内部处具有较大的角速度。因此,通过例如增加指向衬底的喷嘴数量,可以传送较多的化学物至衬底的外部,从而确保在衬底的每个面上覆盖均匀的化学物。
作为本文所描述的各种特征的结果,钟臂状衬底载体机构200能够提供连续的制造流并且本身也用于处理,在连续的衬底之间没有明显的时间间隙。如上所述,湿法化学清洁或蚀刻能够包含许多的各种各样的步骤。启动湿法化学过程和停止湿法化学过程是难以控制的、是浪费的并且是无效率的。钟臂状衬底载体机构200通过让衬底载体135中的每一个运行整的360°的弧度,从而以连续的模式处理衬底。不像仅仅提供需要180°返程(在该返程中,没有晶片清洁和处理发生)的线性系统的各种现有技术中的系统,钟臂状衬底载体机构200可以在衬底的相对面同时进行平行的清洁处理。因此,化学控制可以共享,从而减少控制系统的额外支出(overhead)以及沉余电路。这样,化学物节省与当前的线性系统相比,可以达到300%一样多(即,化学物使用量减少至四分之一)。
在处理室131中(参见图1B),至少两个平行处理同时发生:化学控制和衬底移动。如下文参考图3所进行的更详细的描述,衬底载体135的速度和加速度的独立控制使得出口步骤以及加载和卸载一个或一个以上衬底基本上能同时进行。衬底载体135的独立控制还使得载体在被加载或者被卸载后能够加速以跟上处理流程,下文还将进行详细描述。
现在参考图3,旋转驱动系统300的示例性实施方式包括含有四个按同心方式安装的臂驱动齿轮301的轮毂,每个用于旋转臂201中的每一个(参见图2)。使用四个驱动马达303,每个用于按同心方式安装的臂驱动齿轮301中的每一个。旋转臂201中的每一个能够通过使用用于旋转臂201中的每一个的分离的马达单独驱动。在各种其他的示例性实施方式中,超过一个的旋转臂201可以彼此联接,从而,所联接的臂的运行仅仅需要单个马达。
驱动功率从驱动马达303中的每一个通过例如带被传输到按同心方式安装的臂驱动齿轮301中的相应的一个。尽管可以使用其他驱动系统,相比于诸如齿轮驱动等替代的系统,该带驱动系统提高了整个系统的效率。此外,整个系统的平衡的设计(例如,在该示例性实施方式中对称设计的旋转臂201的布局)使得四个驱动马达303中的每一个有非常低的功率消耗。在该示例性实施方式中,通常的功率消耗是仅仅每马达约4.5瓦。技术人员将意识到也可以使用其他类型的旋转驱动方案。
在具体的示例性实施方式中,驱动马达303中的每一个可以是标准的NEMA23框架尺寸,例如具有集成编码器的SM2315D伺服电机(可从位于3200 Patrick Henry Drive,Santa(美国加利福尼亚)的AnimaticsCorporation获取)。在该实施方式中,驱动马达303中的每一个可以基于充分集成的、密闭环伺服技术并且可以包含内置的非挥发性的存储器,该存储器使得能从主机(例如,诸如第一操作员控制界面115A或者第二操作员控制界面115B)下载速度分布程序并且独立地为每一个马达存储。此外,在该具体的示例性实施方式中,所有的输入、输出、以及内部的状态信息通过限定的变量是可以访问的以用于程序监控和控制。使用例如50:1的行星减速器以及4:1的驱动带减速可以实现齿轮减速,从而对于步进电动机的每一旋转为400000的计数提供每一旋转为2000的编码器计数。该具体的示例性的实施方式容许约1米/秒的最大速度和0.1g或0.98米/平方秒的最大加速度。因此,旋转臂201(参见图2)能够以约12.5转/分的最大角速度移动。
现在参考图4A,衬底移动机构400的示例性实施方式被示出,其包括成对的上部轨道401、成对的下部轨道403、成对的靠右安装的衬底载体405以及成对的靠左安装的衬底载体407。如图所示,衬底载体在彼此平行的不同平面中是可移动的,这些平面也是在与钟臂状载体机构200的旋转臂201平行的平面内。载体中的每一个也被示出,其保留半导体衬底411仅仅是为了辅助下文描述衬底的整个运动及运输。图4A中也示出了参照衬底运输槽133定位衬底移动机构400的位置的指示。
成对的靠右安装的衬底载体405和成对的靠左安装的衬底载体407中的每一个由马达409按线性方式独立地驱动。该马达可以从多个马达类型中选择,例如上文参照图3所述的标准的NEMA23马达。尽管没有明确地示出,载体可以由马达409驱动,马达409通过线性致动器(例如线性带驱动系统)与给定的载体关联。这样的线性致动器系统在本技术领域是独立地被公知的。例如,可以使用
Figure BDA00002055351200091
带驱动线性致动器(由位于Ruiter Strasse 82,Esslingen(德意志联邦共和国)的FESTO KG制造)作为用于衬底移动机构400的载体驱动机构。
如在本文中的各种示例性实施方式中所描述的,所示出的衬底移动机构400仅仅有特定数量的轨道、衬底载体、马达以及相关的驱动机构。然而,技术人员将意识到本文中所描述的构思可以容易地推断到可以有任何数量的轨道和衬底载体。
图4B示出了衬底移动机构400的正视图,以便更好地理解本实施方式中不同部件的各种关系。该正视图是从衬底运输槽133的视角(参见图1B和图4A)被显示的。成对的上部轨道401和成对的下部轨道403中的每一个通过在例如轨道的前部或者后部的安装架413连接到处理室(参见图1B)中的结构415上。安装架413可以使用机械螺丝417通过槽(未示出)联接到结构415上,以便提供对轨道的竖直调整。使用在安装架413的下部的变窄的部分的弯曲部分421,通过例如放置在安装架413中的每一个的上部的水平定位机械螺丝419,可以实现角度调整。成对的靠右安装的衬底载体405和成对的靠左安装的衬底载体407在某一示例性实施方式中被设置成使得例如成对的靠左安装的衬底载体407低于(例如20mm)成对的靠右安装的衬底载体405安装。该设置在某些处理状态下可能是有优势的,这些处理状态如:清洁衬底包含在成对的靠右安装的衬底载体405(例如上部成对的载体)上面,预处理的(“脏的”)衬底被包含在成对的靠左安装的衬底载体407(例如下部成对的载体)上面,清洁衬底被安装在预处理衬底之上。此外,靠右安装的衬底载体405和靠左安装的衬底载体407中的每一个可以以基本等同于上文所述的前端机器人和FOUP113(参见图1A)的末端执行器间距(约10mm)相同的距离相间隔。如所描述的衬底移动机构400的整体设置使得多个衬底在下述位点处能传递(hand off),该位点即,衬底移动机构400的衬底载体竖直地彼此对齐的位置。对于靠右安装的衬底载体405和靠左安装的衬底载体407中的彼此间隔10mm的每一个载体,加载和卸载的机器人能够一次从FOUP113捡拾多个衬底(例如在该案例中为两个),并基本上同时将它们放置在衬底移动机构400上。
现在参考图5,示例性的实施方式显示与衬底移动机构400(参见图4A和图4B)结合的钟臂状衬底载体机构200(参见图2)的俯视图。在该示例性实施方式中,衬底移动机构400在钟臂状衬底载体机构200上运行。
现在同时参照图2和图5描述组合的钟臂状/移动机构的示例性运行。衬底在处理室131中处理以后(参见图1B),旋转臂201中的一个临时停止在衬底升降器207上面(例如,位于衬底运输槽133对面的升降器)。衬底升降器207将半导体衬底411从位于旋转臂201上的衬底载体135抬升。如果衬底移动机构400上的衬底载体中的一个(例如靠右安装的衬底载体405中的一个)还不是在合适位置,则将其移动至衬底升降器207的后面(即位于或靠近与衬底运输槽133相对的移动机构端部的极端位置)。衬底升降器207然后将半导体衬底411抬升足够高以便清洁靠右安装的衬底载体405的最上部的载体表面。然后该载体横向移动以接收(即,将载体放置在装满衬底的升降器下面的中心位置)半导体衬底411,并且升降器207下降,因而将衬底放置在靠右安装的衬底载体405上。衬底升降器207持续下降至由衬底载体135的最下部分形成的平面的下面。在该点,之前已停止的旋转臂201可以及时移动到另一位置。当半导体衬底411被安装到靠右安装的衬底载体上时,衬底可以被线性运输至衬底运输槽133,并通过机器人(未示出)被传输回FOUP113的槽中(参见图1A)。
基本上与刚刚描述的衬底移除处理同步,未经处理的衬底可以通过机器人从FOUP113移除,并被放置在例如靠左安装的衬底载体407中的一个上。(注意,再次参考图4B,靠左安装的衬底载体407可以被视为脏的衬底载体,而靠右安装的衬底载体405可以被视为清洁衬底载体)。使用衬底升降器207中的一个,未经处理的衬底可以被放置在该些旋转臂201中的现在停止的一个的衬底载体上。例如,未经处理的衬底可以放置在上述的经处理的衬底刚刚从其上被移除的同一衬底载体135上。(注意,继续参考图4B,在衬底移动机构400上的衬底载体中的每一个以彼此不同的海拔高度(elevational height)横向移动,从而避免正从处理室131移除的经处理的晶片与正被送入处理室131的未经处理的晶片之间的相互干扰)。替代地,未经处理的衬底可以被放置在经处理的晶片已从其上被移除的旋转臂201的相对的端部上的衬底载体上。在又一实施方式中,未经处理的衬底可以被放置在任何旋转臂201的任一端的衬底载体上。技术人员将意识到,另外的旋转臂、衬底升降器和线性衬底载体可以进一步增加以提高衬底的产率。
进一步地,所描述的钟臂状衬底载体机构200和衬底移动机构400的设计使得衬底的每一次传递可以是单一的轴向运动。例如,传递要求两个部件:传输衬底的第一机构和接收衬底的第二机构。然而,如本文所描述的,两机构中的一个是不运动的(即其是静止的),从而增加了衬底传输操作的可靠性,基本上减少了两机构之间的通信问题(例如,既然一个机构没有运动,对时间方面的要求就较不严格)。因此,机器人总是有相对固定的用于移动衬底的位置。该固定位置与充裕的时间间隔耦合(由于钟臂状衬底载体机构200的旋转臂201是彼此独立的)。因此,每小时超过500块晶片的高产量能够容易实现。另外,除了机器人之外,本文所描述的所有的运动是单轴向的,使得钟臂状衬底载体机构200和衬底移动机构400能够以相对廉价的方式生产。
继续参考图5,并且现在还参考图6,与钟臂状衬底载体机构200(参见图2)以及衬底移动机构(参见图4)一起使用的衬底升降器207中的一个的详细的俯视图被示出。注意,靠右安装的衬底载体405和靠左安装的衬底载体407的c-型结构使得衬底升降器207中的任意一个能够不受来自衬底载体的干扰而上升或者下降。当衬底升降器207竖直(即从画图纸张的平面)上升时,衬底升降器207的指状物穿过衬底载体135中的槽。当衬底升降器207持续上升时,靠左安装的衬底载体407能够横向向画图纸张的下面移动直至其与衬底升降器207的指状物是同心环绕的(即相对于衬底升降器207的指状物处于中心位置),并且因此,与衬底(为了确保清晰而未示出)也是同心的。然后,衬底升降器207下降(即回到画图纸张之内),并且衬底接着由靠左安装的衬底载体407俘获并夹住。尽管c-型结构没有被要求用于本文所描述的主题的方案以发挥作用,但技术人员将意识到c-型载体的一些运行方面的优势。另外,技术人员应理解,由于所有的旋转臂201能彼此独立地运动,因此当臂中的一个停止以加载或者卸载时,其他的臂可以持续移动,从而大大提高了整个系统的效率和产率。
技术人员将意识到,本文所描述的用于衬底加载和卸载机构以获得高产率的示例性系统可以在各种工具以及在生产线上的多个点上实施。技术人员将进一步意识到该系统能够容易地合并至通常的制造设施的各个部分的多个工艺以及计量学工具中(例如,在前端线、后端线以及测试操作中。
此外,尽管参照具体的示例性实施方式描述了本发明主题的概况,但是在不背离本发明的较宽泛的主旨和保护范围的前提下,可以对这些实施方式进行各种修改和改变。本发明主题的这样的实施方式在本文中可以单个地或共同地通过术语“发明”来指代,该术语“发明”仅仅为了方便的目的,并且如果事实上有多余一个的构思被公开的话,该术语“发明”不是意图主动限制本申请的范围为任何单个的发明或者单个的创造性构思。本文中阐释的实施方式足够详细地进行了描述以使本领域技术人员能够实施所披露的教导方案(teachings)。其他的实施方式可以使用,并且可以从该些实施方式中获得,使得在没有背离本公开的范围的前提下制造各种结构的或者逻辑的替代方式和变换方式。因此本具体实施方式(The DetailedDescription)不应当从限制的意义上来理解,并且各种实施方式的范围连同等同方案的整个范围仅仅通过所附权利要求来限定,这些权利要求对这些等同方案享有权利。
此外,多个示例可以作为单个示例提供给本文所描述的结构部件或者操作。其他的功能性分配是可以推想到的。该其他的分配可能落入本发明的主题的各种实施方式的范围之内。总体上,在示例性的设置中,作为分离的资源提供的结构和功能可以作为合并的结构或资源实施。类似地,作为单个的资源提供的结构和功能可以作为分离的资源实施。
另外,许多与半导体行业相关的行业可以利用本文所描述的系统。例如,在数据存储行业中的薄膜磁头(TFH)工艺、在平板显示器行业中的有源矩阵液晶显示器(AMLCD)或者微电机(MEM)行业能够容易地使用所描述的系统和技术。因此,术语“半导体”应当被认为包含前述的以及相关的行业。这些以及其他的变化方案、修改方案、附加方案以及改善方案落入由所附权利要求所表示的本发明的保护范围内。因此,说明书和附图应当视为是例证性的而不是限制性的。

Claims (14)

1.一种提供高衬底产率的衬底载体系统,该系统包括:
多个载体臂,所述多个载体臂中的每一个具有位于相对的端部之间的中点并被设置为在相互平行的平面内绕所述中点旋转,所述多个载体臂中的每一个还具有安装在所述相对的端部中的每个端部上的至少一个旋转安装的衬底载体;
轮毂,其包括按同心方式安装的多个驱动装置,所述多个驱动装置中的每一个联接在所述多个载体臂中的相应的一个的所述中点的附近,所述多个载体臂中的至少部分被设置成独立于所述多个载体臂的其余部分被驱动;
驱动马达,其分别联接到所述按同心方式安装的驱动装置中的每一个上并被设置成以旋转方式移动所述多个载体臂中的所联接的一个;以及
线性移动机构,其邻近所述多个载体臂联接,所述线性移动机构上安装有多个线性衬底载体,所述多个线性衬底载体中的每一个被设置为在基本平行于所述多个载体臂的平面的平面内运输衬底,所述多个线性衬底载体中的每一个位于彼此不同的平面内。
2.根据权利要求1所述的衬底载体系统,还包括至少一个衬底运输槽,该运输槽定位在邻近由所述多个载体臂穿行的旋转路径的外围。
3.根据权利要求2所述的衬底载体系统,还包括衬底升降器,其定位在由所述多个载体臂穿行的所述旋转路径的下面,并且定位在所述多个载体臂的所述中点和所述至少一个衬底运输槽之间的径向线上。
4.根据权利要求1所述的衬底载体系统,还包括内部轨道部分和外部轨道部分,该内部轨道部分和该外部轨道部分中的每一个与所述轮毂按同心方式安装,并且被设置为分别支撑所述旋转安装的衬底载体中的每一个的内缘和外缘。
5.根据权利要求1所述的衬底载体系统,其中所述多个线性衬底载体中的每一个的运输平面定位成彼此相隔约10mm。
6.根据权利要求1所述的衬底载体系统,其中所述多个线性衬底载体中的每一个由分离的马达独立地驱动。
7.根据权利要求1所述的衬底载体系统,还包括与所述多个载体臂联接的衬底处理室。
8.根据权利要求1所述的衬底载体系统,其中所述线性移动机构可操作地联接在所述多个载体臂之上。
9.根据权利要求1所述的衬底载体系统,其中所述多个线性衬底载体中的每一个有c-型结构以将所述衬底中的一个按同心方式定位在衬底升降器上。
10.根据权利要求1所述的衬底载体系统,其中所述线性移动机构进一步包括:
至少两个上部轨道;
至少两个下部轨道;以及
线性驱动机构,其分别与所述至少两个上部轨道和所述至少两个下部轨道中的每一个联接,所述多个线性衬底载体中的每一个机械地联接到所述线性驱动机构中的唯一的一个上。
11.根据权利要求1所述的衬底载体系统,还包括至少一个化学释放头,该至少一个化学释放头定位成邻近所述旋转安装的衬底载体中的每一个的旋转路径。
12.根据权利要求11所述的衬底载体系统,其中所述至少一个化学释放头被定位在所述旋转安装的衬底载体的路径之上。
13.根据权利要求11所述的衬底载体系统,其中所述至少一个化学释放头被定位在所述旋转安装的衬底载体的路径之下。
14.根据权利要求11所述的衬底载体系统,其中所述至少一个化学释放头在所述头的外缘比在所述头的内缘具有较宽的横截面宽度。
CN201180011174.2A 2010-02-16 2011-01-28 用于获得高产率的衬底加载和卸载机构 Active CN102770954B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/706,397 US8562272B2 (en) 2010-02-16 2010-02-16 Substrate load and unload mechanisms for high throughput
US12/706,397 2010-02-16
PCT/US2011/022870 WO2011102952A2 (en) 2010-02-16 2011-01-28 Substrate load and unload mechanisms for high throughput

Publications (2)

Publication Number Publication Date
CN102770954A true CN102770954A (zh) 2012-11-07
CN102770954B CN102770954B (zh) 2015-06-17

Family

ID=44369764

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180011174.2A Active CN102770954B (zh) 2010-02-16 2011-01-28 用于获得高产率的衬底加载和卸载机构

Country Status (7)

Country Link
US (1) US8562272B2 (zh)
JP (1) JP5779598B2 (zh)
KR (1) KR20130025369A (zh)
CN (1) CN102770954B (zh)
SG (1) SG183364A1 (zh)
TW (1) TWI563591B (zh)
WO (1) WO2011102952A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
CN110127342A (zh) * 2019-06-04 2019-08-16 上海亚力机械科技有限公司 预充式导管冲洗器针筒进料机构
CN111003449A (zh) * 2019-12-17 2020-04-14 湖南城市学院 一种机械制造用原材料调运装置及方法

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010093752A1 (en) * 2009-02-11 2010-08-19 Dow Agrosciences Llc Pesticidal compositions
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
JP5673806B2 (ja) * 2011-05-02 2015-02-18 村田機械株式会社 自動倉庫
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013105660B3 (de) * 2013-06-03 2014-07-24 Amicra Microtechnologies Gmbh Bestückungsvorrichtung und Verfahren zum Aufbringen von elektrischen und/oder optoelektrischen Bauteilen auf Substrate
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9410742B2 (en) * 2014-09-08 2016-08-09 Tokyo Electron Limited High capacity magnetic annealing system and method of operating
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
EP3754070B1 (de) 2019-06-17 2023-06-07 Groz-Beckert KG Vorrichtung und verfahren zur handhabung von webgeschirrelementen
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
CN1913098A (zh) * 2005-08-11 2007-02-14 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
CN101299415A (zh) * 2007-05-02 2008-11-05 Psk有限公司 传送基板的单元和方法及处理基板的装置和方法
CN101461051A (zh) * 2006-05-03 2009-06-17 新动力等离子体株式会社 基板传输设备及使用该设备的高速基板处理系统

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (fr) 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPH0237742A (ja) 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置
JP2706665B2 (ja) 1990-01-18 1998-01-28 東京エレクトロン株式会社 基板移載装置及び処理装置
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0531472A (ja) 1990-11-17 1993-02-09 Tokyo Electron Ltd 洗浄装置
EP0496006A1 (en) 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JP3309416B2 (ja) 1992-02-13 2002-07-29 松下電器産業株式会社 連結式クリーン空間装置
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (ko) 1993-04-12 1999-04-15 마스다 쇼오이치로오 크린장치가 부착된 하물보관설비
DE4340522A1 (de) 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
US5979475A (en) 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP3218425B2 (ja) 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US5843231A (en) * 1996-09-24 1998-12-01 Alcatel Telecommunications Cable Apparatus for bubble stripping and coating a fiber
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
DE19882662T1 (de) 1997-08-28 2000-08-03 Cvc Products Inc Wafertransportvorrichtung für Mehrstationswerkzeug
US6155768A (en) 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
EP1057214A1 (en) 1998-02-18 2000-12-06 Applied Materials, Inc. End effector for wafer handler in processing system
US6158951A (en) 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
JP2000174091A (ja) 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
WO2000033359A2 (en) 1998-12-02 2000-06-08 Kensington Laboratories, Inc. Specimen holding robotic arm end effector
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6429139B1 (en) 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (nl) 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
KR100433067B1 (ko) 2001-01-22 2004-05-27 주식회사 라셈텍 반도체 제조장치
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
US6663333B2 (en) 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP2003229466A (ja) 2002-02-04 2003-08-15 Seiko Instruments Inc 真空処理装置
US20030202865A1 (en) 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004193418A (ja) 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
JP2004200329A (ja) 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR100483428B1 (ko) 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
JP4468021B2 (ja) 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
WO2005034227A1 (en) 2003-10-08 2005-04-14 Semes Co., Ltd Facility with multi-storied process chamber for cleaning substrates and method for cleaning substrates using the facility
WO2005121027A2 (en) 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
KR101279819B1 (ko) 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 방사-편향 연마 패드
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100818044B1 (ko) 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
KR20190077134A (ko) 2007-07-17 2019-07-02 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
JP4359640B2 (ja) 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
CN1913098A (zh) * 2005-08-11 2007-02-14 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
CN101461051A (zh) * 2006-05-03 2009-06-17 新动力等离子体株式会社 基板传输设备及使用该设备的高速基板处理系统
CN101299415A (zh) * 2007-05-02 2008-11-05 Psk有限公司 传送基板的单元和方法及处理基板的装置和方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US9321000B2 (en) 2010-03-24 2016-04-26 Lam Research Corporation Airflow management for low particulate count in a process tool
CN110127342A (zh) * 2019-06-04 2019-08-16 上海亚力机械科技有限公司 预充式导管冲洗器针筒进料机构
CN111003449A (zh) * 2019-12-17 2020-04-14 湖南城市学院 一种机械制造用原材料调运装置及方法
CN111003449B (zh) * 2019-12-17 2021-08-17 湖南城市学院 一种机械制造用原材料调运装置及方法

Also Published As

Publication number Publication date
WO2011102952A3 (en) 2012-01-05
KR20130025369A (ko) 2013-03-11
TW201145443A (en) 2011-12-16
CN102770954B (zh) 2015-06-17
JP5779598B2 (ja) 2015-09-16
US8562272B2 (en) 2013-10-22
JP2013520027A (ja) 2013-05-30
TWI563591B (en) 2016-12-21
US20110200415A1 (en) 2011-08-18
WO2011102952A2 (en) 2011-08-25
SG183364A1 (en) 2012-09-27

Similar Documents

Publication Publication Date Title
CN102770954A (zh) 用于获得高产率的衬底加载和卸载机构
US10814475B2 (en) Dual robot including spaced upper arms and interleaved wrists and systems and methods including same
US8777547B2 (en) Systems, apparatus and methods for transporting substrates
US9076830B2 (en) Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
US8007218B2 (en) Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
US20130149076A1 (en) Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
CN101835922B (zh) 用于制造工件的方法和装置
CN102110633B (zh) 具有z向运动和铰接臂的线性运动真空机械手
KR20050072621A (ko) 기판 제조 장치 및 이에 사용되는 기판 이송 모듈
TW201404700A (zh) 太陽電池夾具用靜電荷移除
US10964574B2 (en) System for automatic sending cassette pod
CN102412177A (zh) 晶圆传送系统和晶圆传送方法
CN103377973A (zh) 用于传送基片的机器人、利用该机器人的多腔室基片处理设备、及用于该设备的控制方法
KR20100056795A (ko) 웨이퍼 이송로봇
CN110634781B (zh) 输送装置和输送方法
JP2022101498A (ja) 基板把持装置とこれを含む液処理装置、及び基板処理設備
TWI789834B (zh) 基板處理裝置及基板搬送方法
KR100834133B1 (ko) 반도체 웨이퍼 이송 장치
KR100784954B1 (ko) 기판 이송 장치
KR20020083600A (ko) 반도체 제조용 웨이퍼 이송장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant