TWI501344B - 高處理量之清理腔室 - Google Patents

高處理量之清理腔室 Download PDF

Info

Publication number
TWI501344B
TWI501344B TW098110123A TW98110123A TWI501344B TW I501344 B TWI501344 B TW I501344B TW 098110123 A TW098110123 A TW 098110123A TW 98110123 A TW98110123 A TW 98110123A TW I501344 B TWI501344 B TW I501344B
Authority
TW
Taiwan
Prior art keywords
substrate
time period
carrier
wafer
staging
Prior art date
Application number
TW098110123A
Other languages
English (en)
Other versions
TW201005862A (en
Inventor
Eric H Lenz
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201005862A publication Critical patent/TW201005862A/zh
Application granted granted Critical
Publication of TWI501344B publication Critical patent/TWI501344B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Description

高處理量之清理腔室 【交叉參考之相關申請案】
本申請案主張美國臨時專利申請案第61/040,023號的優先權,該優先權案的發明名稱為「高處理量之清理腔室(HIGH THROUGHPUT CLEANER CHAMBER)」,並且申請於2008年3月27日,其整體內容藉由參考文獻方式合併於此。
本發明一般關於在半導體、資料儲存、平面顯示器、及類似或其他產業中所使用之製程設備之領域,尤有關於設置在清理腔室內之旋轉臺(rotary-stage)晶圓操作裝置(wafer handler)。
自從半導體元件在幾十年前首度被引進至今,半導體元件之幾何圖案(亦即積體電路設計規則)在尺寸上已顯著縮小。積體電路(ICs,integrated circuits)普遍已遵循「摩爾定律」,意指單一積體電路晶片上可容納的元件數目每隔兩年便會增加一倍。現今的IC製造廠正在量產特徵部尺寸為65nm(0.065μm)之元件,而未來工廠不久將生產具有更小之特徵部尺寸的元件。
不令人意外的,半導體積體電路製造為一種包含整合一系列準確、精準、及可重複之操作的複雜製程。在製造操作期間,半導體基板(例如半導體晶圓)之表面會被包含微粒、有機材料、金屬雜質(例如銅(Cu)、鋁(Al)、鈦(Ti)、及鎢(W))、及原生氧化物(例如二氧化矽)之殘餘物層所汙染。
在半導體處理中之一日漸重要的工作為在隨後之處理步驟之前清理與準備晶圓表面。此清理的一個目標為自晶圓表面移除汙染物及原生氧化物。晶圓清理事實上是積體電路製造中最頻繁重覆的操作,也是半導體設備事務中最重要的部分之一。然而,各積體電路元件世代日漸變得難以適當清理。
例如,在當今之工廠中,所有製程步驟之大約20%為清理步驟。隨著設計規則的進步,清理步驟的百分比亦持續增加。而在清理次數增加的同時,對於雜質濃度、微粒尺寸及數量、水及化學品的使用量、及表面微粗糙度量值之需求標準亦持續增加。不僅在當今各新的製程次序前需要晶圓清理,而且在生產運轉之後,亦常常需要額外的步驟來清理工廠製程機台。
存在兩種主要類型的清理製程:濕式清理法及乾式清理法。一般稱為濕式清理之液態化學品清理製程,係藉由結合溶劑、酸、及水而噴灑、擦洗、蝕刻、及溶解來自晶圓表面之汙染物。乾式清理製程利用氣相化學作用,且憑藉晶圓清理所需之化學反應以及其他例如雷射、離子、氣溶膠、及臭氧化化學作用之技術。一般來說,乾式清理使用較少的化學品且對環境較無害,但經常無法達到如濕式方法的效果,尤其對於微粒的移除。
關於濕式化學清理法,發展於1965年的RCA清理,仍舊是大部分前段製程(FEOL,front-end-of-line)濕式清理的基礎。典型的RCA式清理程序開始時係使用硫酸/過氧化氫(H2 SO4 /H2 O2 ,一般稱為「食人魚(piranha)蝕刻液」)溶液,然後以稀釋之氫氟酸(HF)浸泡。標準清理第一操作(「SC-1清理」)使用氫氧化銨/過氧化氫/水(NH4 OH/H2 O2 /H2 O,亦熟知為「鹼性食人魚蝕刻液」(base piranha))來移除微粒,而標準清理第二操作(「SC-2清理」)使用氫氯酸/過氧化氫/水的溶液(HCl/H2 O2 /H2 O)來移除金屬。儘管有日漸緊迫的處理需求,及分析技術、化學品之潔淨度、及去離子(DI)水的長足進步,但基本的清理處方自從此清理技術第一次導入至今仍大致上維持不變。因為環境考量及成本效益在40年前並不是主要課題,因此RCA清理程序完全沒有在此等方面作最佳化,而因此必須有效率地及具成本效益地施行。
或許更重要地,從良率及成本基礎觀點,清理製程中所使用的設備型式正變成主要的驅動器。清理製程必須有效,但亦必須快速。關於此世代的300mm晶圓,現今的處理量需求為每小時360片晶圓。目前,系統使用需要非生產性時段、同時晶圓載具會返回晶圓清理機台中之開始位置的線性晶圓運動。因此,晶圓的操作很慢。增加處理量的建議解決方案已集中在平行地結合複數個清理機台方面。雖然此等解決方案增加了基板處理量,其亦付出了機台占地之代價、提高了設備成本、及降低可靠性。
現有之半導體基板清理設備遭逢以下問題:清理晶圓之高單位成本、高所有權成本、缺乏可擴縮性、及無法輕易地適用於各種處理程序或增加半導體晶圓尺寸。在許多貢獻晶圓清理成本的因素中,在不同位置之間移動半導體晶圓之晶圓操作裝置的資本成本呈現顯著的支出。因此,在半導體晶圓清理之領域中,需要特別強調在設備可靠性、處理量、及效率上的改良。
在一例示實施例中,揭露了一種基板載運系統。此基板載運系統,包含:複數個載運手臂,其每一者在載運手臂之相對端之間皆具有一中點,複數個載運手臂更具有固接於載運手臂之各端上之至少一個別的基板托架;一輪轂(hub),包含複數個同心固接之驅動裝置,複數個驅動裝置之每一者耦接於靠近複數個載運手臂之個別一者之中點耦接,且用以獨立於其餘之複數個同心固接之驅動裝置而加以控制;一個別的馬達,耦接至同心固接之驅動裝置之每一者,且用以以旋轉方式移動耦接之載運手臂。
另一例示實施例揭露一種基板載運系統的程式化方法,基板載運系統具有n個驅動馬達,n個驅動馬達之每一者耦接至一個別之獨立旋轉基板托架。此方法包含提供用於程式化n個驅動馬達之第一者之一基礎速度輪廓,包含以下步驟:程式化第一時段以正加速第一驅動馬達;程式化第二時段以負加速第一驅動馬達;程式化第三時段以維持第一驅動馬達在固定速度;程式化第四時段以正加速第一驅動馬達;程式化第五時段以負加速第一驅動馬達;程式化一第六時段部分以將第一驅動馬達維持在一固定位置上。應用基礎速度輪廓至一程式,程式控制n個驅動馬達之第一者,以對獨立旋轉基板托架之第一者提供運動。
另一例示實施例揭露一種儲存指令之處理器可讀取儲存媒體。當指令被一處理器執行時,指令使得處理器施行載運一基板載運系統的程式化方法,基板載運系統具有n個驅動馬達,而n個驅動馬達之每一者耦接至一獨立旋轉基板托架。此方法包含提供用於程式化n個驅動馬達之第一者之一基礎速度輪廓,包含以下步驟:程式化第一時段以正加速第一驅動馬達;程式化第二時段以負加速第一驅動馬達;程式化第三時段以維持第一驅動馬達在固定速度;程式化第四時段以正加速第一驅動馬達;程式化第五時段以負加速第一驅動馬達;程式化一第六時段部分以將第一驅動馬達維持在一固定位置上。應用基礎速度輪廓至一程式,程式控制n個驅動馬達之第一者,以對獨立旋轉基板托架之第一者提供運動。
在另一例示實施例中,揭露了一種晶圓清理腔室。此腔室包含:複數個載運手臂,其每一者在載運手臂之相對端之間皆具有一中點,複數個載運手臂更具有固接於載運手臂之各端上之至少一個別的基板托架;一輪轂(hub),包含複數個同心固接之驅動裝置,複數個驅動裝置之每一者耦接於靠近複數個載運手臂之個別一者之中點耦接,且用以獨立於其餘之複數個同心固接之驅動裝置而加以控制;一個別的馬達,耦接至同心固接之驅動裝置之每一者,且用以以旋轉方式移動耦接之載運手臂。
參照圖1,例示之時鐘手臂晶圓載運系統100包含:複數個旋轉手臂101A,複數個旋轉手臂101A之每一者具有相關聯之晶圓托架101B;內軌道部103;外軌道部105;複數個卸載站晶圓升降器107;及複數個裝載站晶圓升降器109。一般而言,係將例示之時鐘手臂晶圓載運系統100維持成為隔離之腔室環境,其內部視需要可納入適合之煙頭型隔離(fume head type isolation)及無塵室過濾及氣流。
複數個旋轉手臂101A之每一者係獨立驅動,因此,可獨立於 剩餘之複數個旋轉手臂101A而啟動、停止、及加速。儘管例示之時鐘手臂晶圓載運系統100顯示四組旋轉手臂101A,熟習本技藝者將很快地瞭解,可以使用任何數目的手臂,並在需要時可縮放以適合給定之晶圓尺寸。
此外,可改造晶圓托架101B之每一者以適合其他之晶圓尺寸或基板種類。例如,晶圓托架101B可設計成適合300mm矽晶圓或100mm砷化鎵(GaAs)晶圓。因此,於此使用的術語「晶圓」係關於任何使用在半導體及相關產業中之各種基板種類的便利簡單通稱。基板種類因此可包含:矽晶圓、化合物晶圓、薄膜上端組件、光罩基材及遮罩、或許多本技藝中已知之其他基板種類。關於旋轉手臂連接至晶圓托架之特定細節將參照圖3在以下探討。
在一特定之例示實施例中,外軌道部105係完全地設置成適合自旋轉手臂101A之中點至晶圓托架101B之中心為30吋之半徑。外軌道部105,當然,可依使用之旋轉手臂的數目及操作之基板的尺寸而以適當尺寸製作。
複數個晶圓升降器107、109可為任何一般熟知並使用於半導體產業的普遍種類。如顯示,兩個裝載站升降器109彼此隔開約180°;相似地,兩個卸載站升降器107隔開約180°。在其他實施例中(未顯示),可能只有一對晶圓升降器107、109,可具有或沒有經托架系統之中心部分的晶圓返回軌道。或者,在又其他實施例中(未顯示),可使用更多個晶圓升降器107、109。
在一般操作中,一旦晶圓托架101B放置在升降器107、109其中一者上方時,一外部機器人手臂(未顯示)可將來回晶圓托架(例如,晶舟或前開晶圓盒(FOUP,front opening unified pod))之晶圓放置到升降器107、109其中一者上。升降器107、109使其上之晶圓下降至晶圓托架101B,且升降器107、109持續下降夠遠的距離,以防止與任一旋轉晶圓手臂101A碰撞。
繼續參照圖1,例示之時鐘手臂晶圓載運系統100更包含上化學品釋放頭111及下化學品釋放頭113,用以在晶圓靠近上化學品釋放頭111及下化學品釋放頭113通過時,噴灑或者另外施加化學品(例如許多上述之清理化學品的組合)。使用至少兩個頭允許化學品在單一次通過時就可施加至晶圓的兩側。或者,上化學品釋放頭111及下化學品釋放頭113可配置成可同時施加化學品至晶圓的兩側。如將為熟習本技藝者所知悉者,可使用任何數目的化學品釋放頭。
在一特定例示實施例中,將上化學品釋放頭111及下化學品釋放頭113設計成在載運系統100之外周圍較其內周圍具有更寬之橫剖面寬度的扇形區(pie-section)狀。相較於內部分,扇形區狀可容許晶圓之最外部分上有較高之角速度。因此,可透過例如針對晶圓之增加數目的噴嘴而將更多化學品傳送至晶圓之外部分,以確保晶圓表面上方均勻的化學品覆蓋。
因此,例示之時鐘手臂晶圓載運系統100提供不間斷的生產流程,且適合在晶圓之間無間斷之情形下進行處理。如上述所提及,濕式化學清理可包含若干各種步驟。起始及停止濕式化學品是很難控制、浪費、及沒有效率的。例示之時鐘手臂晶圓載運系統100係藉由使晶圓托架以完全360°弧形之方式行進而在連續的模式中處理晶圓。不像運轉需要180°返回、且其中無晶圓清理或處理發生之線性系統的先前技術,例示之時鐘手臂晶圓載運系統100可同時在其相對側進行平行清理製程。因此,化學品控制可被分攤,藉以降低控制系統的經常費用(overhead)及過剩的電路系統。如此,化學品節約可較現有的線性系統多至300%(亦即化學品使用量減少四倍)。
因此,兩個平行處理同時發生:化學品控制及晶圓運動。如以下參照圖2及4的更詳細說明,晶圓托架101B之速度及加速的獨立控制可允許退出步驟及用於晶圓的裝載及卸載。一旦托架已裝載或卸載時,獨立控制更允許托架加速以趕上處理流程,此亦參照圖4而更詳細說明如下。
參照圖2,例示之旋轉驅動系統200包含輪轂(hub),其具有四個同心固接之手臂傳動裝置201,每一個用於相對之各旋轉手臂101A(圖1)。使用四個馬達203,每一個用於相對之各同心固接之手臂傳動裝置201。旋轉手臂101A之每一者可藉由使用分開之馬達203(用於旋轉手臂101A之每一者)而獨立驅動。例示之速度輪廓將參照圖4說明如下。
藉由例如傳動皮帶,可將驅動功率自各馬達203傳輸至個別之手臂傳動裝置201。皮帶傳動系統增進了整體系統之效率優於例如齒輪傳動裝置之替代系統。再者,平衡之整體系統設計(例如旋轉手臂101A之對稱設計)允許四個驅動馬達203之每一者皆具有極低的功率消耗。在此例示實施例中,典型之功率消耗僅為每一馬達1.5瓦特。熟習本技藝者當明瞭,亦可使用其他類形之旋轉驅動結構。
在特定例示實施例中,馬達203之每一者可為例如具有積分編碼器之SM2315D伺服馬達(可購自Animatics Corporation,3200 Patrick Henry Drive,Santa Clara,CA)之標準NEMA 23框架尺寸。在此實施例中之馬達203係基於完全整合之閉迴路伺服技術,並可包含允許自電腦主機下載速度輪廓程式且獨立地儲存至馬達203之每一者的內部非揮發性記憶體。再者,在此特定實施例中,可經由程式監測及控制之定義變數,而得到所有的輸入、輸出、及內部狀態之資訊。可使用50:1行星式減速機(planetary reducer)及4:1傳動皮帶減縮來達成降低傳動,如此以步進馬達每一轉2000編碼器計數提供每一轉400,000計數。此特定例示實施例允許約1公尺/秒之最大速度,及0.1g或0.98公尺/秒平方之最大加速度。因此,旋轉手臂101A(圖1)以約每分鐘12.5轉的最大角速度移動。
現參照圖3,其為圖1之例示之時鐘手臂晶圓載運系統100之詳細圖式,包含:設置在各旋轉手臂101A之末端之撓曲彈簧手臂/托架連接器301及設置在晶圓托架101B鄰接外軌道部105之外緣之一個或更多外桅頂(trucks)303。
在一特定實施例中,撓曲彈簧手臂/托架連接器301具有以0.3磅力使旋轉手臂101A之末端下降3°角度的負載設計,如此允許在撓曲彈簧手臂/托架連接器301上具有零力矩,及在旋轉手臂101A上具有1.2英吋-磅的施加扭矩。撓曲彈簧手臂/托架連接器301下搭於內軌道部103。晶圓托架101B以約0°角度延伸(亦即大體上水平)。使用兩個在外軌道部105之上及下側兩者上具有約0.12磅力之彈簧接觸之外桅頂。將從動器(followers)(圖3未具體顯示)固接至撓曲彈簧手臂/托架連接器301,且外桅頂係由耐化學性之超高分子量(UHMW,ultra-high molecular weight)聚乙烯所製成。
在供選擇之例示實施例中,從動器係由培林等級之Torlon® 塑膠所製成。或者,根據既定應用,從動器可由例如具有良好強度及耐衝擊性、耐潛變性、尺寸穩定性、抗輻射性、及耐化學性等某些機械特性之其他材料所製成。例如聚醯胺、聚亞醯胺、及縮醛之各種材料可能都適合。一般在清理應用中不需用到高溫特定塑膠或其他相關材料。
在又其他例示實施例中,從動器可由包含Vespel® ,Celcon® ,Delrin® ,Teflon® ,Arlon® 塑膠、或例如氟聚合物(fluoropolymers)、聚四氟乙烯(polytetrafluoroethylenes)、及聚醚醚酮(PEEK,polyetheretherketones)等具有低摩擦係數及低微粒脫落之其他材料之各種材料機械加工而來。
參照圖4,為圖1之例示時鐘手臂晶圓載運系統100之第一420、第二440、第三460、及第四480旋轉手臂輪廓之手臂速度(在晶圓中心量測)以時間的函數作圖之例示速度輪廓圖式400。各速度輪廓相似,除了20秒的時間偏移以外,其顯示各旋轉手臂101A關於第一旋轉手臂何時開始其伴隨之週期性速度輪廓。例如,第二旋轉手臂輪廓440在關於第一輪廓420之to =20秒的時間時「開始」其速度輪廓。
各速度輪廓更具有相似之五週期循環。例如,在同一個手臂上,自第一次裝載至第二次裝載之整個循環完成在80秒之時段T之後。在第一時段T0 期間,第一旋轉手臂加速至約50mm/秒之最大速度,然後在12秒之後穩定至20mm/秒之固定速度。加速階段允許第一旋轉手臂保持在隨後或第二旋轉手臂之前,因此可以避免潛在的碰撞。在第二時段T1 期間,第一旋轉手臂持續在約20 mm/秒之固定速度大約30秒。在第二時段之後段,第一旋轉手臂係在噴灑頭下方移動,如圖1所示。第一旋轉手臂在第三時段T2 之後段期間,稍微減速至10mm/秒。速度減慢發生在沖洗/乾燥步驟期間,以改善晶圓的乾燥。為了補償時段T2 之速度減慢,第一旋轉手臂在第四時段T3 再次加速至50mm/秒。然後,第一旋轉手臂在用於裝載/卸載循環之時段T4 期間停止下來,且程序會自行重複。相似的程序同時持續在其他三個旋轉手臂上。
以下之表1顯示在上述說明之各時段之後,第一旋轉手臂上之中心點之位置。
本發明參照其特定實施例而說明如上。然而,熟習本技藝者當明瞭,在不背離如隨附申請專利範圍所提出之本發明之廣義精神及範疇下,可在其中作出各種修改及改變。例如,特定實施例說明了例示時鐘手臂晶圓載運系統之數種材料種類及各種元件的位置。熟習本技藝者將明瞭,此等材料及特定元件係可變的,且僅為了例示之目的而顯示於此,以完整說明本系統之新穎特性。此外,熟習本技藝者將更明瞭,各種裝載晶圓至手臂上之裝載結構係可能的,例如建構裝載機器人至旋轉手臂內而不是依靠外部機器人。此外,可使用各種形式的馬達,例如步進馬達。此外,相對於上述之單一托架,可在各旋轉手臂之相對端固接複數個基板托架。再者,本系統可以使用於工廠內之例如製程、量測及分析之各種機台。因此,本系統具有延伸超出清理基板之外的應用。此外,術語半導體從頭至尾應被解釋為包含資料儲存、平面顯示器,及類似或其他產業。此等及各種其他的實施例皆在本發明之範疇內。因此,說明書及附圖應被視為例示性而非限制性。
100...時鐘手臂晶圓載運系統
101A...旋轉手臂
101B...晶圓托架
103...內軌道部
105...外軌道部
107...晶圓升降器
109...晶圓升降器
111...上化學品釋放頭
113...下化學品釋放頭
200...旋轉驅動系統
201...手臂傳動裝置
203...馬達
301...撓曲彈簧手臂/托架連接器
303...外載台
400...以時間的函數作圖之例示速度輪廓圖式
420...第一輪廓
440...第二輪廓
460...第三輪廓
480...第四輪廓
隨附之圖式僅說明本發明之例示實施例,且不應被視為限制本發明之範疇。
圖1為根據本發明之例示時鐘手臂晶圓載運系統之三維圖式。
圖2為提供驅動力給圖1之晶圓載運系統之例示移動驅動輪轂(hub)之三維圖式。
圖3為圖1之晶圓載運系統之例示手臂連接及軌道系統之三維圖式。
圖4為用於驅動圖1之晶圓載運系統之例示速度輪廓圖式。
100...時鐘手臂晶圓載運系統
101A...旋轉手臂
101B...晶圓托架
103...內軌道部
105...外軌道部
107...晶圓升降器
109...晶圓升降器
111...上化學品釋放頭
113...下化學品釋放頭

Claims (28)

  1. 一種基板載運系統,包含:複數個載運手臂,其每一者在該載運手臂之相對端之間皆具有一中點,該複數個載運手臂更具有固接於該載運手臂之各端上之至少一個別的基板托架,一輪轂(hub),包含複數個同心固接之驅動裝置,該複數個驅動裝置之每一者耦接於靠近該複數個載運手臂之個別一者之該中點,該複數個驅動裝置係用以使該複數個載運手臂之個別者在兩方向之任一者上至少以完全360°弧形之方式同時移動,該複數個驅動裝置之每一者更用以獨立於其餘之該複數個同心固接之驅動裝置而加以控制;及一個別的驅動馬達,耦接至該同心固接之驅動裝置之每一者,且用以以旋轉方式移動該複數個載運手臂的相對應耦接者。
  2. 如申請專利範圍第1項之基板載運系統,更包含至少一最靠近該基板托架之一外周圍設置之裝載/卸載口。
  3. 如申請專利範圍第2項之基板載運系統,更包含一升降站,其位於以該基板托架之中點為轉軸之一環狀路徑下方,並位於該複數個載運手臂之該中點與該至少一裝載/卸載口之間之一徑向線上。
  4. 如申請專利範圍第1項之基板載運系統,更包含一內軌道部及一外軌道部,其每一者皆與該輪轂同心固接,且配置於分別支撐該基板托架之每一者之一內及外周圍。
  5. 如申請專利範圍第4項之基板載運系統,其中該基板托架之每一者之該外周圍係由該外軌道部之一頂側部分及一底側部分兩者加以支撐。
  6. 如申請專利範圍第4項之基板載運系統,其中該基板托架之每一者之該內周圍係由該內軌道部之一頂側部分加以支撐。
  7. 如申請專利範圍第1項之基板載運系統,其中該驅動馬達之每一者係一步進馬達。
  8. 如申請專利範圍第1項之基板載運系統,其中該驅動馬達之 每一者係一伺服馬達。
  9. 如申請專利範圍第1項之基板載運系統,其中該驅動馬達之每一者可藉由一速度輪廓而獨立地程式化。
  10. 如申請專利範圍第1項之基板載運系統,其中該驅動馬達之每一者係用以藉由一相似之速度輪廓而程式化。
  11. 如申請專利範圍第10項之基板載運系統,其中被程式化至該驅動馬達之每一者中的該相似之速度輪廓係配置成在時間上偏離其他速度輪廓之每一者。
  12. 如申請專利範圍第1項之基板載運系統,更包含至少一化學品供應頭。
  13. 如申請專利範圍第12項之基板載運系統,其中該至少一化學品供應頭係設置於該基板托架之一路徑上方。
  14. 如申請專利範圍第12項之基板載運系統,其中該至少一化學品供應頭係設置於該基板托架之一路徑下方。
  15. 如申請專利範圍第12項之基板載運系統,其中該至少一化學品供應頭在該頭之一外周圍比在該頭之一內周圍具有更寬的橫剖面寬度。
  16. 一種基板載運系統的程式化方法,該基板載運系統具有n個驅動馬達,該n個驅動馬達之每一者耦接至一個別之獨立旋轉基板托架,該方法包含:提供用於程式化該n個驅動馬達之第一驅動馬達之一基礎速度輪廓,包含以下步驟:程式化一第一時段部分以正加速該第一驅動馬達;程式化一第二時段部分以負加速該第一驅動馬達;程式化一第三時段部分以將該第一驅動馬達維持於一固定速度;程式化一第四時段部分以正加速該第一驅動馬達;程式化一第五時段部分以負加速該第一驅動馬達;程式化一第六時段部分以將該第一驅動馬達維持在一固定位置上;及 應用該基礎速度輪廓至一程式,該程式控制該n個驅動馬達之該第一者,以對該獨立旋轉基板托架之第一者提供運動。
  17. 如申請專利範圍第16項之基板載運系統的程式化方法,更包含:程式化一第七時段部分以負加速該第一驅動馬達,該第七時段部分被安排成發生於該第三及第四時段部分之間;及程式化一第八時段部分,以接續於該第七時段部分之後,並將該第一驅動馬達維持於一固定速度。
  18. 如申請專利範圍第16項之基板載運系統的程式化方法,更包含:產生該速度輪廓之n-1個版本,該n-1個版本之每一者在時間上偏離前一版本;及應用該速度輪廓之該n-1個版本之每一者至其餘在該基板載運系統中之該n-1個驅動馬達之每一者。
  19. 一種處理器可讀取之儲存媒體,其儲存一指令,當該指令被一處理器執行時,該指令使得該處理器施行載運一基板載運系統的程式化方法,該基板載運系統具有n個驅動馬達,而該n個驅動馬達之每一者耦接至一獨立旋轉基板托架,該程式化方法包含:提供用於程式化該n驅動馬達之第一者之一基礎速度輪廓,包含以下步驟:程式化一第一時段部分以正加速該第一驅動馬達;程式化一第二時段部分以負加速該第一驅動馬達;程式化一第三時段部分以將該第一驅動馬達維持於一固定速度;程式化一第四時段部分以正加速該第一驅動馬達;程式化一第五時段部分以負加速該第一驅動馬達;程式化一第六時段部分以將該第一驅動馬達維持在一固定位置上;及應用該基礎速度輪廓至一程式,該程式控制該n個驅動馬達 之該第一者,以對該獨立旋轉基板托架之第一者提供運動。
  20. 如申請專利範圍第19項之處理器可讀取之儲存媒體,其中該方法更包含:程式化一第七時段部分以負加速該第一驅動馬達,該第七時段部分被安排成發生於該第三及第四時段部分之間;及程式化一第八時段部分以跟隨該第七時段部分,並維持該第一驅動馬達在一固定速度。
  21. 如申請專利範圍第19項之處理器可讀取之儲存媒體,其中該方法更包含:產生該速度輪廓之n-1個版本,該n-1個版本之每一者在時間上偏離前一版本;及應用該速度輪廓之該n-1個版本之每一者至其餘在該基板載運系統中之該n-1個驅動馬達之每一者。
  22. 一種晶圓清理腔室,包含:複數個載運手臂,在該載運手臂之相對端之間具有同心固接之中點,該複數個載運手臂更具有固接至該載運手臂之該相對端之每一者上之個別的晶圓托架,一輪轂(hub),包含複數個同心固接之驅動裝置,該複數個驅動裝置之每一者係耦接於靠近該複數個載運手臂之個別一者之該中點,該複數個驅動裝置係用以使該複數個載運手臂之個別者在兩方向之任一者上至少以完全360°弧形之方式同時移動,該複數個驅動裝置之每一者更用以獨立於其餘之該複數個同心固接之驅動裝置而加以控制;及一個別的馬達,耦接至該同心固接之驅動裝置之每一者,且用以在包含一速度輪廓之一程式之控制下,以旋轉方式移動該複數個載運手臂的相對應耦接者;及至少一個清理化學品供應頭,其以最靠近該晶圓托架之一路徑的方式設置。
  23. 如申請專利範圍第22項之晶圓清理腔室,更包含至少一位於最靠近該晶圓托架之一外周圍之裝載/卸載口。
  24. 如申請專利範圍第23項之晶圓清理腔室,更包含一升降站,其位於以該晶圓托架之中點為轉軸之環狀路徑下方,並位於該複數個載運手臂之該中點與該至少一裝載/卸載口之間之一徑向線上。
  25. 如申請專利範圍第22項之晶圓清理腔室,其中該至少一清理化學品供應頭在該頭之一外周圍比在該頭之一內周圍具有更寬的橫剖面寬度。
  26. 如申請專利範圍第22項之晶圓清理腔室,其中待程式化至該馬達之每一者中之一速度輪廓在時間上偏離其他速度輪廓之每一者。
  27. 如申請專利範圍第22項之晶圓清理腔室,其中該馬達之每一者係一步進馬達。
  28. 如申請專利範圍第22項之晶圓清理腔室,其中該馬達之每一者係一伺服馬達。
TW098110123A 2008-03-27 2009-03-27 高處理量之清理腔室 TWI501344B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US4002308P 2008-03-27 2008-03-27
US12/145,707 US9117870B2 (en) 2008-03-27 2008-06-25 High throughput cleaner chamber

Publications (2)

Publication Number Publication Date
TW201005862A TW201005862A (en) 2010-02-01
TWI501344B true TWI501344B (zh) 2015-09-21

Family

ID=41114535

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098110123A TWI501344B (zh) 2008-03-27 2009-03-27 高處理量之清理腔室

Country Status (8)

Country Link
US (1) US9117870B2 (zh)
EP (1) EP2272089A4 (zh)
JP (1) JP5427882B2 (zh)
KR (1) KR101631584B1 (zh)
CN (1) CN102017120B (zh)
SG (1) SG188932A1 (zh)
TW (1) TWI501344B (zh)
WO (1) WO2009120360A2 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5253511B2 (ja) * 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US9966298B2 (en) * 2013-08-27 2018-05-08 Cleaning Technologies Group, Llc Multiple-stage processing devices
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
CN106898573B (zh) * 2015-12-18 2019-05-24 有研半导体材料有限公司 一种转盘式晶圆自动分片装载机
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
CN116040319B (zh) * 2022-10-24 2023-09-01 江苏科沛达半导体科技有限公司 一种用于晶圆清洗的搬运用机械臂

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030047199A1 (en) * 2001-09-13 2003-03-13 Worm Steven Lee Methods and apparatus for holding a substrate in a pressure chamber
US20040076505A1 (en) * 2001-07-13 2004-04-22 Kinnard David William Wafer transport apparatus
US20070034479A1 (en) * 2005-08-11 2007-02-15 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station workpiece processors, methods of processing semiconductor workpieces within multi-station workpiece processors, and methods of moving semiconductor workpieces within multi-station workpiece processors

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (fr) 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPH0237742A (ja) 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置
JP2706665B2 (ja) 1990-01-18 1998-01-28 東京エレクトロン株式会社 基板移載装置及び処理装置
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0531472A (ja) 1990-11-17 1993-02-09 Tokyo Electron Ltd 洗浄装置
EP0496006A1 (en) 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JPH04290454A (ja) 1991-03-19 1992-10-15 Fujitsu Ltd 基板処理装置および基板搬送方法
JP3309416B2 (ja) 1992-02-13 2002-07-29 松下電器産業株式会社 連結式クリーン空間装置
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (ko) 1993-04-12 1999-04-15 마스다 쇼오이치로오 크린장치가 부착된 하물보관설비
DE4340522A1 (de) 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
US5979475A (en) 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5599302A (en) * 1995-01-09 1997-02-04 Medi-Ject Corporation Medical injection system and method, gas spring thereof and launching device using gas spring
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
WO1997034742A1 (fr) * 1996-03-18 1997-09-25 Komatsu Ltd. Dispositif de commande d'un systeme de transport de pieces
TW365568B (en) * 1996-03-22 1999-08-01 Komatsu Mfg Co Ltd Robotic machine for transporting articles
JP3218425B2 (ja) 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JP3437734B2 (ja) 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
GB2343672B (en) 1997-08-28 2001-04-04 Cvc Products Inc Wafer Handler and Multi-Station Processing System and Method for Handling Wafers
US6155768A (en) 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
CN1291345A (zh) 1998-02-18 2001-04-11 应用材料有限公司 用在处理系统晶片搬运器上的端部操作装置
JP2000021947A (ja) 1998-06-30 2000-01-21 Sony Corp 乾式処理装置
US6158951A (en) 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
JP2000174091A (ja) 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
IL143467A (en) 1998-12-02 2005-05-17 Newport Corp Specimen holding robotic arm and effector
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (nl) 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
KR100433067B1 (ko) 2001-01-22 2004-05-27 주식회사 라셈텍 반도체 제조장치
JP2002305232A (ja) * 2001-01-22 2002-10-18 Cosam Inc 半導体製造装置
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
JP2003229466A (ja) 2002-02-04 2003-08-15 Seiko Instruments Inc 真空処理装置
US20030202865A1 (en) 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004193418A (ja) 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
JP2004200329A (ja) 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR100483428B1 (ko) 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
JP4468021B2 (ja) 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
US7075992B2 (en) 2003-07-11 2006-07-11 Optp Tech Corporation Digital lighting control system with video input
JP3680083B2 (ja) * 2003-09-26 2005-08-10 バンドー化学株式会社 伝動ベルト用プーリ及びベルト伝動装置
WO2005034227A1 (en) 2003-10-08 2005-04-14 Semes Co., Ltd Facility with multi-storied process chamber for cleaning substrates and method for cleaning substrates using the facility
WO2005121027A2 (en) 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
TWI232492B (en) * 2004-06-04 2005-05-11 Au Optronics Corp A process chamber equipped with a cleaning function
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
KR101279819B1 (ko) 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 방사-편향 연마 패드
US20070218197A1 (en) 2006-03-15 2007-09-20 Yoichi Kurono Vacuum processing system and method of making
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100814238B1 (ko) 2006-05-03 2008-03-17 위순임 기판 반송 장치 및 이를 이용한 기판 처리 시스템
KR100818044B1 (ko) 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US20080175694A1 (en) 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
KR101825595B1 (ko) 2007-07-17 2018-02-05 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
JP4359640B2 (ja) 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
WO2011022870A1 (zh) 2009-08-24 2011-03-03 Wang Lvsha 为高强度气体放电灯配置的镇流控制装置及镇流装置
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040076505A1 (en) * 2001-07-13 2004-04-22 Kinnard David William Wafer transport apparatus
US20030047199A1 (en) * 2001-09-13 2003-03-13 Worm Steven Lee Methods and apparatus for holding a substrate in a pressure chamber
US20070034479A1 (en) * 2005-08-11 2007-02-15 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station workpiece processors, methods of processing semiconductor workpieces within multi-station workpiece processors, and methods of moving semiconductor workpieces within multi-station workpiece processors

Also Published As

Publication number Publication date
KR20100135238A (ko) 2010-12-24
CN102017120A (zh) 2011-04-13
SG188932A1 (en) 2013-04-30
TW201005862A (en) 2010-02-01
JP2011515868A (ja) 2011-05-19
CN102017120B (zh) 2013-07-10
EP2272089A2 (en) 2011-01-12
US20090245984A1 (en) 2009-10-01
JP5427882B2 (ja) 2014-02-26
KR101631584B1 (ko) 2016-06-17
US9117870B2 (en) 2015-08-25
WO2009120360A3 (en) 2010-01-14
EP2272089A4 (en) 2012-12-05
WO2009120360A2 (en) 2009-10-01

Similar Documents

Publication Publication Date Title
TWI501344B (zh) 高處理量之清理腔室
JP5779598B2 (ja) 高スループットのための基板ロードおよびアンロードメカニズム
US11495475B2 (en) Method of cleaning a substrate
JP4467367B2 (ja) 基板反転装置、基板搬送装置、基板処理装置、基板反転方法、基板搬送方法および基板処理方法
US7604449B1 (en) Equipment front end module
US20070004316A1 (en) Integrated system for processing semiconductor wafers
KR100953010B1 (ko) 기판처리장치 및 기판처리방법
US9355835B2 (en) Method and apparatus for processing substrate
US11590540B2 (en) Substrate treating apparatus and substrate transporting method
US10242862B2 (en) Post-CMP hybrid wafer cleaning technique
KR20210083192A (ko) 세정 장치, 연마 장치
US20200234995A1 (en) Wafer Processing Tools and Methods Thereof
WO2003068421A2 (en) Integrated system for processing semiconductor wafers
US20240100714A1 (en) Method and apparatus for processing a substrate in cleaning modules
KR100872871B1 (ko) 기판 처리 장치 및 그 제어 방법
TW202341336A (zh) 基板處理系統、基板處理方法及電腦可讀取記錄媒體
KR20050019223A (ko) 카세트 이송 장치를 구비하는 세정 장치 및 그 동작 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees