KR20100135238A - 고쓰루풋 클리너 챔버 - Google Patents

고쓰루풋 클리너 챔버 Download PDF

Info

Publication number
KR20100135238A
KR20100135238A KR1020107021034A KR20107021034A KR20100135238A KR 20100135238 A KR20100135238 A KR 20100135238A KR 1020107021034 A KR1020107021034 A KR 1020107021034A KR 20107021034 A KR20107021034 A KR 20107021034A KR 20100135238 A KR20100135238 A KR 20100135238A
Authority
KR
South Korea
Prior art keywords
programming
time period
carrier
drive motor
wafer
Prior art date
Application number
KR1020107021034A
Other languages
English (en)
Other versions
KR101631584B1 (ko
Inventor
에릭 에이치 렌츠
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100135238A publication Critical patent/KR20100135238A/ko
Application granted granted Critical
Publication of KR101631584B1 publication Critical patent/KR101631584B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

웨이퍼 세정 챔버는, 캐리어 암의 대향 말단들 각각에 탑재된 웨이퍼 캐리어를 갖는 캐리어 암의 대향 말단들 사이에서 동심원으로-탑재된 중간포인트들을 각각 갖는 복수의 캐리어 암을 포함한다. 허브는, 복수의 동심원으로 탑재된 드라이브들을 포함하는데, 여기서 복수의 드라이브들 각각은 복수의 캐리어 암들 중 각각의 캐리어 암의 중간포인트에 가까이 커플링된다. 각각의 복수의 드라이브는 복수의 동심원으로-탑재된 드라이브들의 나머지와는 독립적으로 제어되도록 구성된다. 각각의 모터는 동심원으로 탑재된 드라이브들 각각에 커플링되고, 속도 프로파일을 포함하는 프로그램의 제어 하에서 커플링된 캐리어 암을 회전 방식으로 이동시키도록 구성된다. 적어도 하나의 세정 화학물질-공급 헤드는 웨이퍼 캐리어의 경로에 인접하여 위치된다.

Description

고쓰루풋 클리너 챔버{HIGH THROUGHPUT CLEANER CHAMBER}
본 출원은, 2008년 3월 27일자로 출원된 미국 특허 가출원 일련 번호 제61/040,023호, 및 2008년 6월 25일자로 출원된 미국 특허 가출원 일련 번호 제 12/145,707호에 대한 우선권을 주장하며, 이들 각각은 그 전체가 참조로서 본 명세서에 포함된다.
본 발명은 일반적으로 반도체, 데이터 저장장치, 평판 디스플레이 뿐만 아니라 관련 산업 또는 다른 산업에 사용되는 프로세스 장비의 분야에 관한 것이다. 더욱 상세하게는, 본 발명은 세정 챔버 내에 위치된 회전식-스테이지형 웨이퍼 핸들러에 관한 것이다.
반도체 디바이스 기하학적 구조 (즉, 집적 회로 디자인 규칙) 는, 이들 디바이스가 수십 년 전에 먼저 도입되었기 때문에 그 사이즈가 대단히 감소되어 왔다. 일반적으로, 집적 회로 (IC) 는 단일 집적 회로 칩 상에 고정될 디바이스의 수가 2 년에 한 번씩 2 배가 된다는 것을 의미하는 "무어의 법칙 (Moore's Law)" 에 따른다. 오늘날의 IC 제조 시설은 65㎚ (0.065㎛) 피쳐 크기의 디바이스를 일반적으로 생산하고, 미래의 설비는 더욱더 소형의 피쳐 크기를 갖는 디바이스를 생산하게 될 것이다.
그리 놀랄일은 아니지만, 반도체 집적 회로 제조는 조직화된 일련의 정확하고, 정교하고, 반복적인 동작들을 수반하는 복잡한 프로세스이다. 제조 동작들 도중에, 반도체 기판의 표면 (예를 들어, 반도체 웨이퍼) 은, 미립자들, 유기 재료들, 금속성 불순물 (예를 들어, 구리 (Cu), 알루미늄 (Al), 티타늄 (Ti), 및 텅스텐 (W)) 및 천연 산화물 (예를 들어, 실리콘 이산화물) 로 이루어진 잔여물 층에 의해 오염된다.
반도체 프로세싱에서 점점 중요해지는 태스크는 후속 프로세싱 단계들 이전의 웨이퍼 표면의 세정 및 준비이다. 이러한 세정의 목적은, 웨이퍼 표면들로부터 오염물질 및 천연 산화물을 제거하기 위한 것이다. 웨이퍼 세정은, 사실상, 집적 회로 제조시에 가장 빈번하게 반복되는 동작이고, 반도체-장비 비즈니스에서 가장 중요한 세그먼트들 중 하나이다. 그러나, 각각의 집적회로 디바이스 세대는 적절하게 세정하기에 더 더욱 어려워진다.
예를 들어, 현대의 제조 설비에서 모든 프로세스 단계들의 대략 20% 가 세정 단계이다. 세정 단계들의 비율은 디자인 규칙의 각각 진보와 함께 계속해서 증가하고 있다. 세정의 수가 증가함에 따라, 불순물 농도, 입자크기 및 품질, 물 및 화학물질 용도, 및 표면 미세 거칠기에 대한 요구사항의 정도도 또한 계속해서 증가한다. 현재, 각각의 새로운 프로세스 시퀀스 이전에 웨이퍼 세정을 필요로 할 뿐만 아니라, 생산 동작 이후에 설비 프로세스 툴을 세정하기 위한 추가적인 단계들이 종종 요구된다.
습식 세정 방법 및 건식 세정 방법의 2 가지 주요 유형의 세정 프로세스들이 존재한다. 일반적으로, 습식 세정으로 지칭되는 액체 화학물질 세정 프로세스는, 용매, 산, 및 물의 조합에 의존하여 웨이퍼 표면으로부터 오염물질을 분사시키고, 스크럽하고, 에칭하고 용해한다. 건식 세정 프로세스는 기상 화학물질을 사용하고, 웨이퍼 세정에 요구되는 화학물질 반응뿐만 아니라 레이저, 이온, 에어로졸, 및 오존화된 화학물질과 같은 다른 기술에 의존한다. 일반적으로, 건식 세정 방법은 소수의 화학물질을 이용하고, 환경에 덜 해로운 영향을 주지만, 특히 입자 제거에 대해 보통 습식 방법에서와 같이 수행하지 않는다.
습식-화학적 세정 방법에 대해, 1965년 개발된 RCA 세정은 대부분의 FEOL (front-end-of-line) 습식 세정에 대한 토대를 여전히 형성한다. 통상적인 RCA-유형의 세정 시퀀스는 황산/과산화수소 (H2SO4/H2O2, 보통 "피라냐 에칭" 으로 지칭됨) 용액을 사용하여 시작하고, 그후, 희석된 플루오르화 수소산 (HF) 에 침지한다. 표준 세정 제 1 동작 ("SC-1 세정") 은 수산화암모늄/과산화수소/물의 용액 (NH4OH/H2O2/H2O, "베이스 피라냐" 로서 알려져 있음) 을 사용하여 입자를 제거하고, 표준 세정 제 2 동작 ("SC-2 세정") 은 염산/과산화수소/물의 용액 (HCl/H2O2/H2O) 을 사용하여 금속을 제거한다. 분석 기술, 화학물질의 청결성, 및 탈이온 (ID) 수에서 점점 더 증가하는 엄중한 프로세스 요구 및 강화된 개선에도 불구하고, 기초적인 세정 레시피는 이 세정 기술의 맨 처음 도입 이후에 통상적으로는 변화되지 않고 그대로 유지된다. 환경적 고려사항 및 비용적-효율성은 40 년 전에는 중대한 이슈가 아니었기 때문에, RCA 세정 절차는 이러한 사항에서 최적의 조건과는 거리가 있고, 따라서, 효과적으로 그리고 비용-효율적으로 적용되어야만 한다.
아마도, 더욱 중요하게, 수율 및 비용 기초 관점으로부터, 세정 프로세스에 사용되는 장비의 유형은 주요한 드라이버가 되고 있다. 세정 프로세스는 효과적이어야만 하고 또한 빨라야 한다. 현재의 세대 300㎜ 웨이퍼에 대한 현재의 쓰루풋 요구는 시간당 360 개의 웨이퍼이다. 현재, 시스템은 비생산적인 시간 주기를 요구하는 선형 웨이퍼 모션을 이용하고, 웨이퍼 캐리어는 웨이퍼 세정 툴에서 시작점으로 반환된다. 따라서, 웨이퍼 처리는 느리다. 쓰루풋을 증가시키기 위한 제안된 해결책은 복수의 세정 툴에 병렬로 연결하는데 포커스를 둔다. 이러한 해결책들은, 툴 풋프린트, 증가된 장비 비용, 및 저하된 신뢰도의 비용으로 기판 쓰루풋을 증가시킨다.
현재 이용가능한 반도체 기판 세정 장비는, 세정되는 웨이퍼의 유닛마다의 고비용, 오너쉽의 고비용, 확장성의 부족, 및 각종 프로세싱 시퀀스에 대한 용이한 적응의 불능 또는 반도체 웨이퍼 크기 증가의 불능을 겪는다. 웨이퍼 세정 비용에 기여하는 수많은 요인들 중에서, 다양한 위치들 사이에서 반도체 웨이퍼들을 이동시키는 웨이퍼 핸들러의 자본 비용은 상당한 비용을 나타내고 있다. 따라서, 장비 신뢰도, 쓰루풋, 및 효율성을 특히 강조하면서, 반도체 웨이퍼 세정분야의 개선이 요구된다.
일 예시적인 실시형태에서, 기판 캐리어 시스템이 개시된다. 기판 캐리어 시스템은, 복수의 캐리어 암들을 포함하는데, 이들 캐리어 암 각각은 그 캐리어 암의 대향 말단들 사이에 위치된 중간포인트를 갖는다. 또한, 복수의 캐리어 암은 추가적으로 캐리어 암들의 대향 말단들 각각에 탑재되어 있는 적어도 하나의 기판 캐리어를 갖는다. 허브는 복수의 동심원으로 탑재된 드라이브들을 포함한다. 복수의 드라이브들 각각은, 복수의 캐리어 암들의 개별적인 하나의 캐리어 암의 중간포인트에 가까이 커플링되어 있고, 복수의 동심원으로 탑재된 드라이브들의 나머지와는 독립적으로 제어되도록 구성된다. 드라이브 모터가, 이 동심원으로 탑재된 드라이브들 각각에 커플링되어 있고, 커플링된 캐리어 암을 회전 방식으로 이동시키도록 구성된다.
다른 예시적인 실시형태는, n 개의 드라이브 모터들을 갖는 기판 캐리어 시스템을 프로그래밍하는 방법을 개시하는데, 이 방법에서 n 개의 드라이브 모터 각각은 독립적인 회전 기판 캐리어에 커플링된다. 이 방법은, n 개의 드라이브 모터들 중 제 1 드라이브 모터를 가속화시키도록 제 1 시간 주기를 프로그래밍하는 단계, 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 2 시간 주기를 프로그래밍하는 단계, 제 1 드라이브 모터를 일정한 속도로 유지시키도록 제 3 주기를 프로그래밍하는 단계, 제 1 드라이브 모터를 가속화시키도록 제 4 시간 주기를 프로그래밍하는 단계, 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 5 시간 주기를 프로그래밍하는 단계, 및 제 1 드라이브 모터를 고정 위치에서 유지시키도록 제 6 시간 주기를 프로그래밍하는 단계를 포함하는 n 개의 드라이브 모터들 중 제 1 드라이브 모터를 프로그래밍하기 위한 기초 속도 프로파일을 구성하는 단계를 포함한다. 기초 속도 프로파일은 n 개의 드라이브 모터들 중 제 1 드라이브 모터를 제어하는 프로그램에 적용되어 독립적인 회전 기판 캐리어들 중 제 1 회전 기판 캐리어에 모션을 제공한다.
다른 예시적인 실시형태는, 명령을 저장하는 프로세서-판독가능 저장 매체를 개시한다. 명령이 프로세서에 의해 실행되는 경우, 이 명령은, 프로세서로 하여금 n 개의 드라이브 모터들 각각이 독립적인 회전 기판 캐리어에 커플링된 n 개의 드라이브 모터들을 갖는 기판 캐리어 시스템을 프로그래밍하는 방법을 수행하게 한다. 이 방법은, n 개의 드라이브 모터들 중 제 1 드라이브 모터를 가속화시키도록 제 1 시간 주기를 프로그래밍하는 단계, 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 2 시간 주기를 프로그래밍하는 단계, 제 1 드라이브 모터를 일정한 속도로 유지시키도록 제 3 주기를 프로그래밍하는 단계, 제 1 드라이브 모터를 가속화시키도록 제 4 시간 주기를 프로그래밍하는 단계, 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 5 시간 주기를 프로그래밍하는 단계, 및 제 1 드라이브 모터를 고정 위치에 유지시키도록 제 6 시간 주기를 프로그래밍하는 단계를 포함하는 n 개의 드라이브 모터들 중 제 1 의 드라이브 모터를 프로그래밍하기 위한 기초 속도 프로파일을 구성하는 단계를 포함한다. 기초 속도 프로파일은 n 개의 드라이브 모터들 중 제 1 드라이브 모터를 제어하는 프로그램에 적용되어 독립적인 회전 기판 캐리어들 중 제 1 회전 기판 캐리어에 모션을 제공한다.
다른 예시적인 실시형태에서, 웨이퍼 세정 챔버가 개시된다. 챔버는, 캐리어 암의 대향 말단들 사이에 위치된 동심원으로-탑재된 중간포인트들 및 캐리어 암들의 대향 말단들 각각에 탑재된 웨이퍼 캐리어를 각각 갖는 복수의 캐리어 암을 포함한다. 허브는 복수의 동심원으로 탑재된 드라이브들을 포함하고, 복수의 드라이브들 각각이 복수의 캐리어 암들의 개별적인 하나의 캐리어 암의 중간포인트들 가까이 커플링된다. 각각의 복수의 드라이브들은 복수의 동심원으로 탑재된 드라이브들의 나머지와 독립적으로 제어되도록 구성된다. 모터는 각각 동심원으로 탑재된 드라이브들에 커플링되고, 속도 프로파일을 포함하는 프로그램의 제어하에서 커플링된 캐리어 암을 회전 방식으로 이동시키도록 구성된다. 적어도 하나의 세정 화학물질-공급 헤드가 웨이퍼 캐리어의 경로에 인접하여 위치된다.
첨부된 도면은 본 발명의 예시적인 실시형태만을 설명하고, 그 범위를 제한하는 것으로서 간주되지 않아야만 한다.
도 1 은 본 발명에 따른 예시적인 클록 암 웨이퍼 캐리어 시스템의 3 차원 표현이다.
도 2 는 도 1 의 웨이퍼 캐리어 시스템에 대한 구동력을 제공하는 예시적인 모션 구동 허브의 3 차원 표현이다.
도 3 은 도 1 의 웨이퍼 캐리어 시스템의 예시적인 암 연결 및 트래킹 시스템의 3차원 표현이다.
도 4 는 도 1 의 웨이퍼 캐리어 시스템을 구동시키는데 사용된 예시적인 속도 프로파일 그래프이다.
도 1 을 참조하여, 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 은 복수의 회전 암 (101A) 을 포함하는데, 각각의 회전 암은 관련 웨이퍼 캐리어 (101B), 내부 트랙 섹션 (103), 외부 트랙 섹션 (105), 복수의 언로드 스테이션 웨이퍼 리프터 (107), 및 복수의 로드 스테이션 웨이퍼 리프터 (109) 를 갖는다. 일반적으로, 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 은 필요한 경우 내부에 통합된 적절한 퓸 헤드 유형의 고립 및 무균실 필터링과 플로우를 갖는 고립된 챔버 환경으로서 유지된다.
복수의 회전 암 (101A) 각각은 독립적으로 구동되고, 이에 따라, 나머지 복수의 회전 암 (101A) 과는 독립적으로 시작되고, 정지되고, 가속화될 수도 있다. 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 은 4 개의 회전 암 (101A) 을 나타내지만, 당업자는 임의의 수의 암들이 주어진 웨이퍼 크기에 적용시키도록 필요에 따라 활용되고 스케일링될 수도 있다는 것을 빠르게 인식할 것이다.
또한, 웨이퍼 캐리어들 (101B) 각각은 다른 웨이퍼 크기 또는 다른 기판 유형을 수용하도록 채택될 수도 있다. 예를 들어, 웨이퍼 캐리어 (101B) 는 300㎜ 실리콘 웨이퍼 또는 100㎜ 갈륨 비소 (GaAs) 웨이퍼를 수용하도록 설계될 수도 있다. 따라서, 본 명세서에 이용된 바와 같이, 용어 "웨이퍼 (wafer)" 는 반도체 산업 및 동종 산업에 사용되는 다양한 기판 유형 중 임의의 유형을 지칭하는 종래의 용어로서 간단하게 선택된다. 따라서, 기판 유형은 실리콘 웨이퍼, 화합물 웨이퍼, 박막 헤드 어셈블리, 포토마스크 블랭크 및 레티클, 당업계에 공지된 수많은 다른 유형의 기판을 포함할 수도 있다. 웨이퍼 캐리어로의 회전 암 연결부에 관련된 구체적인 세부사항은 이하 도 3 을 참조하여 논의된다.
특정 예시적인 실시형태에서, 외부 트랙 섹션 (105) 은 회전 암 (101A) 의 중간포인트로부터 웨이퍼 캐리어 (101B) 의 중심까지 30 인치 반경을 수용하도록 물리적으로 배열된다. 물론, 외부 트랙 섹션 (105) 은 채용되는 회전 암의 수 및 핸들링되는 기판의 크기에 따라서 적절하게 사이즈 조절될 수 있다.
복수의 웨이퍼 리프터 (107,109) 는 통상적으로 반도체 산업에 사용되고 공지된 임의의 일반적인 유형일 수도 있다. 도시된 바와 같이, 2 개의 로드 스테이션 리프터 (109) 는 서로 대략 180°이격되어 있다. 유사하게, 2 개의 언로드 스테이션 리프터 (107) 도 서로 대략 180°이격되어 있다. 다른 실시형태 (미도시) 에서, 캐리어 시스템의 중심부를 통해서 웨이퍼 복귀 트랙을 갖거나 또는 갖지 않는 단일 쌍의 웨이퍼 리프터 (107, 109) 만이 있을 수도 있다. 이와 다르게, 다른 실시형태 (미도시) 에서는, 더 많은 수의 웨이퍼 리프터 (107, 109) 가 사용될 수도 있다.
일반적인 동작에서, 리프터 (107, 109) 중 하나의 리프터 위에 웨이퍼 캐리어 (101B) 가 위치되면, 외부 로봇 (미도시) 은 웨이퍼 캐리어 (예를 들어, 웨이퍼 보트 또는 전방 개방형 통합 포드 (FOUP)) 로 또는 이로부터의 웨이퍼를 리프터 (107, 109) 중 하나 위에 위치시킬 수도 있다. 그후, 리프터 (107, 109) 는 웨이퍼를 웨이퍼 캐리어 (101B) 위에 내려놓고, 리프터 (107, 109) 는 회전하는 웨이퍼 암들 (101A) 중 임의의 하나와 임의의 충돌을 회피하기 위해서 충분히 멀리 낮은 곳으로 계속해서 하강한다.
도 1 을 계속 참조하여, 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 은, 웨이퍼가 상부 화학물질-릴리즈 헤드 (111) 및 하부 화학물질-릴리즈 헤드 (113) 에 인접하여 통과함에 따라, (예를 들어, 전술한 세정 화학물질의 다양한 조합과 같은) 화학물질을 분사하거나 또는 이와 다르게 공급하도록 위치된 상부 화학물질-릴리즈 헤드 (111) 및 하부 화학물질-릴리즈 헤드 (113) 를 더 포함한다. 적어도 2 개의 헤드를 사용하는 것은 웨이퍼의 양측에 화학물질이 단일 경로로 공급되는 것을 허용한다. 이와 다르게, 상부 화학물질-릴리즈 헤드 (111) 및 하부 화학물질-릴리즈 헤드 (113) 는 웨이퍼의 양측에 동시에 화학물질을 공급하도록 배열될 수도 있다. 당업자는, 임의의 수의 화학물질-릴리즈 헤드가 사용될 수도 있다는 것을 인식할 것이다.
특정 예시적인 실시형태에서, 상부 화학물질-릴리즈 헤드 (111) 및 하부 화학물질-릴리즈 헤드 (113) 는 캐리어 시스템 (100) 의 외연에서 그 내연에서보다 더 큰 단면 폭을 갖는 "파이-섹션" 형상으로 설계된다. 파이-섹션 형상은, 내부 부분과 비교하여 웨이퍼의 최외측 부분에 더 높은 각속도를 수용한다. 따라서, 웨이퍼의 면 위에 균일한 화학물질 커버리지를 보증하기 위해, 예를 들어, 웨이퍼에 지향된 증가된 수의 분사 노즐들을 통해서 웨이퍼의 외부 부분으로 더욱 많은 화학물질이 전달될 수도 있다.
따라서, 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 은 연속적인 흐름의 제조를 제공하고, 웨이퍼들 사이에서 갭이 없는 프로세싱에 적합하다. 전술한 바와 같이, 습식 화학물질 세정은 수많은 각종 단계들을 수반할 수 있다. 습식 화학물질을 시작하고 중지하는 것은 제어하기 어렵고, 비경제적이며, 비능률적이다. 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 은 전체 360°아크에서 웨이퍼 캐리어를 이동시킴으로써 연속적인 모드로 웨이퍼를 프로세싱한다. 어떠한 웨이퍼 세정 또는 프로세싱도 발생하지 않는 180°복귀를 요구하는 선형 시스템을 구동하는 종래 기술과는 다르게, 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 은 그 클록 시스템 (100) 의 대향 측들에서 동시에 병렬 세정 프로세스를 구동할 수도 있다. 그 결과, 화학적 제어는 공유될 수 있고, 이에 따라, 제어 시스템 오버헤드 및 여분의 회로는 감소시킬 수 있다. 이와 같이, 화학물질 절약은 동시 선형 시스템으로부터 300% (즉, 화학물질 사용의 4 배 감소) 만큼 클 수 있다.
따라서, 2 개의 병렬 프로세스는 화학적 제어 및 웨이퍼 모션을 동시에 발생시킨다. 도 2 및 도 4 를 참조하여 더욱 상세하게 설명된 바와 같이, 웨이퍼 캐리어 (101B) 속도 및 가속도의 독립적인 제어는 출구 단계 및 웨이퍼의 로드 및 언로드을 허용한다. 추가적으로, 이하 도 4 를 참조하여 더욱 상세하게 설명되는 것과 같이, 캐리어가 로드 또는 언로드되면, 독립적인 제어는 캐리어로 하여금 프로세스 흐름을 따라잡도록 가속화하게 한다.
도 2 를 참조하여, 예시적인 회전 구동 시스템 (200) 은 회전 암들 (101A; 도 1) 각각에 대해 하나씩 4 개의 동심원으로 탑재된 암 구동 기어 (201) 를 포함하는 허브를 포함한다. 동심원으로 탑재된 암 구동 기어 (201) 각각 하나씩에 대해 4 개의 모터 (203) 가 사용된다. 회전 암들 (101A) 각각은 회전 암들 (101A) 각각에 대해 별도의 모터 (203) 를 채용함으로써 독립적으로 구동될 수 있다. 예시적인 속도 프로파일은 이하 도 4 를 참조하여 설명된다.
예를 들어, 벨트에 의해 각각의 암 구동 기어 (201) 에 모터 (203) 들 각각으로부터 구동력이 전달된다. 벨트 구동 세스템은 기어 구동과 같은 다른 시스템에 대한 전반적인 시스템 효율성을 강화한다. 또한, 균형잡힌 전반적인 시스템 설계 (예를 들어, 대칭적으로 설계된 회전 암 (101A)) 은 4 개의 드라이브 모터 (203) 각각 마다 매우 낮은 전력을 소모하게 한다. 이 예시적인 실시형태에서, 통상적인 전력 소모는 모터 당 4.5 와트이다. 당업자는, 다른 유형의 회전 구동 체계가 또한 사용될 수도 있다는 것을 인식할 것이다.
특정 예시적인 실시형태에서, 각각의 모터 (203) 는 (Animatics Corporation, 3200 Patrick Henry Drive, Santa Clara, CA 가 제조한) 통합 인코더를 갖는 SM2315D 서보 모터와 같은 표준 NEMA 23 프레임 디멘션일 수도 있다. 이 실시형태에서, 모터 (203) 는 전체-통합된, 폐루프 서보 기술에 기초하고, 속도 프로파일 프로그램이 호스트 컴퓨터로부터 다운로드되고 각각의 모터 (203) 에 독립적으로 저장되도록 허용하는 내부, 비휘발성 메모리를 통합할 수도 있다. 또한, 이 특정 예시적인 실시형태에서, 모든 입력, 출력, 및 내부 상태 정보는 프로그램 모니터링 및 제어를 위해 정의된 변수들을 통해서 액세스 가능하다. 기어 감속 (gear reduction) 은 50:1 유성 감속기 (planetary reducer) 및 4:1 구동 벨트 감소에 의해 달성되어 이에 따라 회전 당 400,000 카운트에 회전 스테퍼 모터 당 2000 인코더 카운트를 제공할 수도 있다. 이 특정 예시적인 실시형태는 대략 1 meter/second 의 최대 속도 및 0.1g 또는 0.98meters/second2 의 최대 가속도를 허용한다. 따라서, 회전 암 (101A; 도 1) 은 분당 대략 12.5 회전의 최대 각속도로 이동한다.
도 3 을 참조하여, 도 1 의 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 의 상세도는, 회전 암 (101A) 의 각 말단에 위치된 플렉스 스프링 암/캐리어 커넥터 (301), 및 외부 트랙 섹션 (105) 에 인접하는 웨이퍼 캐리어 (101B) 의 외부 에지에 위치된 하나 이상의 외부 트럭 (303) 을 포함한다.
특정 예시적인 실시형태에서, 플렉스 스프링 암/캐리어 커넥터 (301) 는, 플렉스 스프링 암/캐리어 커넥터 (301) 에서 제로 모멘트를 허용하고 회전 암 (101A) 에서 인가된 토크의 1.2 인치-파운드를 허용하는 회전 암 (101A) 의 말단을 3°각도 하향시킨 0.3 lbf(pound-force) 의 로드 설계를 갖는다. 플렉스 스프링 암/캐리어 커넥터 (301) 는 내부 트랙 섹션 (103) 아래에 엊혀서 움직인다. 웨이퍼 캐리어 (101B) 는 대략 0°각도 (즉, 실질적으로 수평으로) 계속된다. 2 개의 외부 트럭은 외부 트랙 섹션 (105) 의 상부측 및 하부측 모두에 대략 0.12 lbf (pound-force) 의 스프링 접촉하여 사용된다. 플렉스 스프링 암/캐리어 커넥터 (301) 및 외부 트럭에 탑재된 팔로워 (도 3 에는 구체적으로 도시되지 않음) 들이 내화학성 초고분자량 (UHMW; ultra-high molecular weight) 폴리에틸렌으로 제조된다.
다른 예시적인 실시형태에서, 팔로워들은 베어링급 (bearing-grade) Torlon
Figure pct00001
플라스틱으로 제조된다. 이와 다르게, 팔로워들은, 예를 들어, 주어진 어플리케이션에 따라, 우수한 강도 및 내충격성, 내크리프성, 치수 안정성, 복사 저항성 및 내화학성과 같은 특정 기계적 특성을 소유하는 다른 재료로 제조될 수도 있다. 다양한 재료, 예를 들어, 폴리아미드, 폴리이미드, 및 아세탈이 모두 적절할 수도 있다. 일반적으로, 세정 어플리케이션시에는 고온-특정 플라스틱 및 다른 관련 재료는 요구되지 않는다.
또 다른 예시적인 실시형태에서, Vespel
Figure pct00002
, Celcon
Figure pct00003
, Delrin
Figure pct00004
, Teflon
Figure pct00005
, Arlon
Figure pct00006
플라스틱, 또는 다른 재료, 예를 들어, 낮은 마찰 계수 및 낮은 입자 쉐딩을 갖는 플루오로폴리머, 폴리테트라플루오로에틸렌, 및 폴리에테르에테르케톤 (PEEK) 을 포함하는 다양한 재료로 팔로워가 가공될 수도 있다.
도 4 를 참조하면, 회전 암의 예시적인 속도 프로파일 그래프 (400) 는, 도 1 의 예시적인 클록 암 웨이퍼 캐리어 시스템 (100) 의 제 1 회전 암 프로파일 (420), 제 2 회전 암 프로파일 (440), 제 3 회전 암 프로파일 (460), 및 제 4 회전 암 프로파일 (480) 에 대한 시간 함수로서 플로팅된, 웨이퍼의 중심에서 측정된 것과 같은, 암 속도를 도시한다. 속도 프로파일 각각은, 회전 암 (101A) 각각이 제 1 회전 암에 관련하여 수반된 순환 속도 프로파일을 시작할 때를 나타내는 20 초 동안의 일시적 오프셋을 제외하고는, 서로 유사하다. 예를 들어, 제 2 회전 암 프로파일 (440) 은 제 1 프로파일 (420) 과 관련하여 t0=20 초의 시간에서 그 속도 프로파일을 "시작한다".
속도 프로파일 각각은 유사한 5 개의 주기 사이클을 더 갖는다. 예를 들어, 80 초의 시간 주기 T 이후에, 동일한 암에서 제 1 로드로부터 제 2 로드로 전체 사이클이 완성된다. 제 1 시간 주기 T0 동안, 제 1 회전 암은 대략 50㎜/초의 최대 속도까지 가속한 후, 12 초 후에 20mm/초의 일정한 속도로 정착한다. 가속 위상은, 제 1 회전 암으로 하여금 후속 또는 제 2 회전 암에 앞서서 유지되도록 허용하여, 이에 따라, 잠재적인 충돌을 회피한다. 제 2 시간 주기 T1 동안, 제 1 회전 암은 대략 30 초 동안 대략 20mm/초의 일정한 속도로 유지된다. 제 2 시간 주기의 후반부 동안, 제 1 회전 암은 도 1 에 도시된 바와 같이 분사 헤드 아래에서 이동한다. 제 1 회전 암은 제 3 시간 주기 T2 의 후반부 동안 10mm/초 까지 약간 속도가 줄어든다. 감소된 속도는 린스/건조 단계 도중에 발생하여 웨이퍼 건조를 개선시킨다. 주기 T2 의 감소된 속도에 대한 보상으로, 제 1 회전 암은 제 4 시간 주기 T3 의 부분 동안 50mm/초까지 다시 가속한다. 그후, 제 1 회전 암은 로드/언로드 사이클 동안 시간 주기 T4 동안 정지되어, 그 프로세스는 스스로 반복된다. 다른 3 개의 회전 암에 대해 동시에 유사한 프로세스가 계속된다.
이하의 표 1 은 바로 앞에 상술된 시간 주기 각각 이후에 제 1 회전 암 위에 웨이퍼의 중심 포인트의 위치를 나타낸다.
Figure pct00007
본 발명은 그 특정 실시형태를 참조하여 앞서 설명되었다. 그러나, 첨부된 청구범위에서 설명되는 바와 같이 본 발명의 더 넓은 취지 및 범위로부터 벗어나지 않고 다양한 변형 및 변화가 이루어질 수 있다. 예를 들어, 특정 실시형태는 예시적인 클록 암 웨이퍼 캐리어 시스템의 다양한 엘리먼트들의 수많은 재료 유형 및 위치들을 설명한다. 당업자는, 이들 재료 및 특정 엘리먼트들이 플렉서블하고 시스템의 신규의 특성을 전체적으로 설명하기 위해서만 예시적인 목적으로 본 발명에 나타나 있는 것을 인식할 것이다. 추가적으로, 당업자는 암으로의 웨이퍼의 다양한 로드구성이 외부 로봇에 의존하는 것 보다는 회전 암으로 로드 로봇을 설계하는 것과 같이 가능하다는 것을 인식할 것이다. 추가적으로, 스테퍼 모터와 같은 다양한 모터 유형이 사용될 수도 있다. 또한, 복수의 기판 캐리어는 전술한 것과 같은 단일 캐리어에 대향하는 회전 암의 각각의 대향 말단에 탑재될 수도 있다. 또한, 시스템은 예를 들어 시설 내의 다양한 프로세스, 계측, 및 분석 툴에 사용될 수도 있다. 따라서, 시스템은 세정 기판 이상으로 확대된 어플리케이션을 갖는다. 또한, 용어 반도체는 데이터 저장장치, 평판 디스플레이 뿐만 아니라 관련 산업 또는 다른 산업을 포함하도록 전반적으로 구성되어야만 한다. 이러한 실시형태 및 다양한 다른 실시형태는 모두 본 발명의 범위 내에 있다. 이에 따라, 상세한 설명 및 도면은 제한적인 인식이기보다는 설명적으로 간주되어야만 한다.

Claims (28)

  1. 복수의 캐리어 암 (carrier arm) 으로서, 상기 복수의 캐리어 암 각각은 상기 캐리어 암의 대향 말단들 사이에 중간포인트를 가지며, 상기 복수의 캐리어 암은 상기 캐리어 암의 각각의 말단에 탑재된 적어도 하나의 개별적인 기판 캐리어를 더 갖는, 상기 복수의 캐리어 암;
    복수의 동심원으로 탑재된 드라이브를 포함하는 허브로서, 상기 복수의 동심원으로 탑재된 드라이브 각각은 상기 복수의 캐리어 암의 개별적인 캐리어 암의 상기 중간포인트 가까이에 커플링되고 상기 복수의 동심원으로 탑재된 드라이브 중 나머지 드라이브와는 독립적으로 제어되도록 구성된, 상기 허브; 및
    상기 복수의 동심원으로 탑재된 드라이브 각각에 커플링되고 상기 커플링된 캐리어 암을 회전 방식으로 이동시키도록 구성된 개별적인 드라이브 모터를 포함하는, 기판 캐리어 시스템.
  2. 제 1 항에 있어서,
    상기 기판 캐리어의 외연에 인접하게 위치된 적어도 하나의 로드/언로드 포트를 더 포함하는, 기판 캐리어 시스템.
  3. 제 2 항에 있어서,
    상기 기판 캐리어의 중간포인트들에 의해 트래버스되는 (traversed) 원형 경로 아래에 위치되고, 상기 복수의 캐리어 암의 상기 중간포인트와 상기 적어도 하나의 로드/언로드 포트 사이의 방사상 라인에 위치된 리프터 스테이션을 더 포함하는, 기판 캐리어 시스템.
  4. 제 1 항에 있어서,
    내부 트랙 섹션 및 외부 트랙 섹션을 더 포함하고,
    상기 내부 트랙 섹션 및 상기 외부 트랙 섹션 각각은 상기 허브와 동심원으로 탑재되고 상기 기판 캐리어 각각의 내연 및 외연을 개별적으로 지지하도록 배열된, 기판 캐리어 시스템.
  5. 제 4 항에 있어서,
    상기 기판 캐리어 각각의 외연은 상기 외부 트랙 섹션의 최상측 부분 및 바닥측 부분 모두에 의해 지지되는, 기판 캐리어 시스템.
  6. 제 4 항에 있어서,
    상기 기판 캐리어 각각의 내연은 상기 내부 트랙 섹션의 최상측 부분에 의해 지지되는, 기판 캐리어 시스템.
  7. 제 1 항에 있어서,
    상기 드라이브 모터 각각은 스테퍼 모터 (stepper motor) 인, 기판 캐리어 시스템.
  8. 제 1 항에 있어서,
    상기 드라이브 모터 각각은 서보 모터인, 기판 캐리어 시스템.
  9. 제 1 항에 있어서,
    상기 드라이브 모터 각각은 속도 프로파일에 의해 독립적으로 프로그래밍가능한, 기판 캐리어 시스템.
  10. 제 1 항에 있어서,
    상기 드라이브 모터 각각은 유사한 속도 프로파일에 의해 프로그래밍되도록 구성되는, 기판 캐리어 시스템.
  11. 제 10 항에 있어서,
    상기 드라이브 모터 각각에 대해 프로그래밍되는 상기 유사한 속도 프로파일은 다른 속도 프로파일들 각각으로부터 일시적으로 오프셋되도록 구성되는, 기판 캐리어 시스템.
  12. 제 1 항에 있어서,
    적어도 하나의 화학물질-공급 헤드를 더 포함하는, 기판 캐리어 시스템.
  13. 제 12 항에 있어서,
    상기 적어도 하나의 화학물질-공급 헤드는 상기 기판 캐리어의 경로 위에 위치되는, 기판 캐리어 시스템.
  14. 제 12 항에 있어서,
    상기 적어도 하나의 화학물질-공급 헤드는 상기 기판 캐리어의 경로 아래 위치되는, 기판 캐리어 시스템.
  15. 제 12 항에 있어서,
    상기 적어도 하나의 화학물질-공급 헤드는, 상기 화학물질-공급 헤드의 내연에서보다 상기 화학물질-공급 헤드의 외연에서 더 넓은 단면 폭을 갖는, 기판 캐리어 시스템.
  16. n 개의 드라이브 모터를 갖는 기판 캐리어 시스템을 프로그래밍하는 방법으로서,
    상기 n 개의 드라이브 모터 각각은 각각의 독립적인 회전 기판 캐리어에 커플링되고,
    상기 기판 캐리어 시스템을 프로그래밍하는 방법은,
    상기 n 개의 드라이브 모터들 중 제 1 드라이브 모터를 포지티브로 가속화시키도록 제 1 시간 주기 부분을 프로그래밍하는 단계; 상기 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 2 시간 주기 부분을 프로그래밍하는 단계; 상기 제 1 드라이브 모터를 일정한 속도로 유지시키도록 제 3 주기 부분을 프로그래밍하는 단계; 상기 제 1 드라이브 모터를 포지티브로 가속화시키도록 제 4 시간 주기 부분을 프로그래밍하는 단계; 상기 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 5 시간 주기를 프로그래밍하는 단계; 및 상기 제 1 드라이브 모터를 고정 위치에서 유지시키도록 제 6 시간 주기를 프로그래밍하는 단계를 포함하는, 상기 제 1 드라이브 모터를 프로그래밍하기 위한 기초 속도 프로파일을 제공하는 단계; 및
    상기 n 개의 드라이브 모터 중 상기 제 1 드라이브 모터를 제어하는 프로그램에 상기 기초 속도 프로파일을 적용하여 상기 독립적인 회전 기판 캐리어 중 제 1 회전 기판 캐리어에 모션을 제공하는 단계를 포함하는, 기판 캐리어 시스템을 프로그래밍하는 방법.
  17. 제 16 항에 있어서,
    상기 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 7 시간 주기 부분을 프로그래밍하는 단계로서, 상기 제 7 시간 주기 부분은 상기 제 3 시간 주기 부분과 상기 제 4 시간 주기 부분 사이에서 발생하도록 배열된, 상기 제 7 시간 주기 부분을 프로그래밍하는 단계; 및
    상기 제 7 시간 주기에 후속하고 상기 제 1 드라이브 모터를 일정한 속도로 유지시키도록 제 8 시간 주기 부분을 프로그래밍하는 단계를 더 포함하는, 기판 캐리어 시스템을 프로그래밍하는 방법.
  18. 제 16 항에 있어서,
    상기 기초 속도 프로파일의 n-1 개의 버전을 생성하는 단계로서, 상기 n-1 개의 버전 각각은 직전 버전으로부터 일시적으로 시프팅되는, 상기 n-1 개의 버전을 생성하는 단계; 및
    상기 기판 캐리어 시스템 내의 나머지 n-1 개의 드라이브 모터 각각에 상기 기초 속도 프로파일의 상기 n-1 개의 버전의 각각을 적용하는 단계를 더 포함하는, 기판 캐리어 시스템을 프로그래밍하는 방법.
  19. 프로세서에 의한 실행시에, 상기 프로세서로 하여금 n 개의 드라이브 모터를 갖는 기판 캐리어 시스템의 프로그래밍 방법을 수행하도록 하는 명령을 저장하는 프로세서-판독가능 저장 매체로서,
    상기 n 개의 드라이브 모터 각각은 독립적인 회전 기판 캐리어에 커플링되고,
    상기 기판 캐리어 시스템의 프로그래밍 방법은:
    상기 n 개의 드라이브 모터 중 제 1 드라이브 모터를 포지티브로 가속화시키도록 제 1 시간 주기 부분을 프로그래밍하는 단계; 상기 1 드라이브 모터를 네거티브로 가속화시키도록 제 2 시간 주기 부분을 프로그래밍하는 단계; 상기 제 1 드라이브 모터를 일정한 속도로 유지시키도록 제 3 주기 부분을 프로그래밍하는 단계; 상기 제 1 드라이브 모터를 포지티브로 가속화시키도록 제 4 시간 주기 부분을 프로그래밍하는 단계; 상기 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 5 시간 주기를 프로그래밍하는 단계; 및 상기 제 1 드라이브 모터를 고정 위치에 유지시키도록 제 6 시간 주기를 프로그래밍하는 단계를 포함하는 상기 제 1 드라이브 모터를 프로그래밍하기 위한 기초 속도 프로파일을 제공하는 단계; 및
    상기 n 개의 드라이브 모터 중 상기 제 1 드라이브 모터를 제어하는 프로그램에 상기 기초 속도 프로파일을 적용하여 상기 독립적인 회전 기판 캐리어 중 제 1 회전 기판 캐리어에 모션을 제공하는 단계를 포함하는, 프로세서-판독가능 저장 매체.
  20. 제 19 항에 있어서,
    상기 기판 캐리어 시스템의 프로그래밍 방법은:
    상기 제 1 드라이브 모터를 네거티브로 가속화시키도록 제 7 시간 주기 부분을 프로그래밍하는 단계로서, 상기 제 7 시간 주기 부분은 상기 제 3 시간 주기 부분과 상기 제 4 시간 주기 부분 사이에서 발생하도록 배열된, 상기 제 7 시간 주기 부분을 프로그래밍하는 단계; 및
    상기 제 7 시간 주기에 후속하고 상기 제 1 드라이브 모터를 일정한 속도로 유지시키도록 제 8 시간 주기 부분을 프로그래밍하는 단계를 더 포함하는, 프로세서-판독가능 저장 매체.
  21. 제 19 항에 있어서,
    상기 기판 캐리어 시스템의 프로그래밍 방법은:
    상기 기초 속도 프로파일의 n-1 개의 버전을 생성하는 단계로서, 상기 n-1 개의 버전 각각은 직전 버전으로부터 일시적으로 시프팅되는, 상기 n-1 개의 버전을 생성하는 단계; 및
    상기 기판 캐리어 시스템 내의 나머지 n-1 개의 드라이브 모터 각각에 상기 기초 속도 프로파일의 상기 n-1 개의 버전의 각각을 적용하는 단계를 더 포함하는,프로세서-판독가능 저장 매체.
  22. 캐리어 암 (carrier arm) 의 대향 말단들 사이에 동심원으로 탑재된 중간포인트들을 갖는 복수의 캐리어 암으로서, 상기 복수의 캐리어 암은 상기 캐리어 암의 상기 대향 말단들 각각에 탑재된 개별적인 웨이퍼 캐리어를 더 갖는, 상기 복수의 캐리어 암;
    복수의 동심원으로 탑재된 드라이브를 포함하는 허브로서, 상기 복수의 동심원으로 탑재된 드라이브 각각은 상기 복수의 캐리어 암의 개별적인 캐리어 암의 상기 중간포인트 가까이에 커플링되고, 상기 복수의 동심원으로 탑재된 드라이브 각각은 상기 복수의 동심원으로 탑재된 드라이브 중 나머지 드라이브와는 독립적으로 제어되도록 구성된, 상기 허브;
    상기 동심원으로 탑재된 드라이브 각각에 커플링되고, 속도 프로파일을 포함하는 프로그램의 제어하에서 상기 커플링된 캐리어 암을 회전 방식으로 이동시키도록 구성된 개별적인 모터; 및
    상기 웨이퍼 캐리어의 경로에 인접하여 위치된 적어도 하나의 세정 화학물질-공급 헤드를 포함하는, 웨이퍼 세정 챔버.
  23. 제 22 항에 있어서,
    상기 웨이퍼 캐리어의 외연에 인접하여 위치된 적어도 하나의 로드/언로드 포트를 더 포함하는, 웨이퍼 세정 시스템.
  24. 제 23 항에 있어서,
    기판 캐리어의 중간포인트들에 의해 트래버스되는 (traversed) 원형 경로 아래에 위치되고, 상기 복수의 캐리어 암의 상기 중간포인트와 상기 적어도 하나의 로드/언로드 포트 사이의 방사상 라인에 위치된 리프터 스테이션을 더 포함하는, 웨이퍼 세정 시스템.
  25. 제 22 항에 있어서,
    상기 적어도 하나의 화학물질-공급 헤드는, 상기 화학물질-공급 헤드의 내연에서보다 상기 화학물질-공급 헤드의 외연에서 더 넓은 단면 폭을 갖는, 웨이퍼 세정 시스템.
  26. 제 22 항에 있어서,
    상기 모터 각각에 대해 프로그래밍되는 상기 속도 프로파일은 상기 다른 속도 프로파일 각각으로부터 일시적으로 오프셋된, 웨이퍼 세정 시스템.
  27. 제 22 항에 있어서,
    상기 모터 각각은 스테퍼 모터인, 웨이퍼 세정 시스템.
  28. 제 22 항에 있어서,
    상기 모터 각각은 서보 모터인, 웨이퍼 세정 시스템.
KR1020107021034A 2008-03-27 2009-03-27 고쓰루풋 클리너 챔버 KR101631584B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US4002308P 2008-03-27 2008-03-27
US61/040,023 2008-03-27
US12/145,707 2008-06-25
US12/145,707 US9117870B2 (en) 2008-03-27 2008-06-25 High throughput cleaner chamber

Publications (2)

Publication Number Publication Date
KR20100135238A true KR20100135238A (ko) 2010-12-24
KR101631584B1 KR101631584B1 (ko) 2016-06-17

Family

ID=41114535

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107021034A KR101631584B1 (ko) 2008-03-27 2009-03-27 고쓰루풋 클리너 챔버

Country Status (8)

Country Link
US (1) US9117870B2 (ko)
EP (1) EP2272089A4 (ko)
JP (1) JP5427882B2 (ko)
KR (1) KR101631584B1 (ko)
CN (1) CN102017120B (ko)
SG (1) SG188932A1 (ko)
TW (1) TWI501344B (ko)
WO (1) WO2009120360A2 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2207909B1 (en) * 2007-10-24 2012-08-29 OC Oerlikon Balzers AG Method for manufacturing workpieces and apparatus
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US9966298B2 (en) * 2013-08-27 2018-05-08 Cleaning Technologies Group, Llc Multiple-stage processing devices
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
CN106898573B (zh) * 2015-12-18 2019-05-24 有研半导体材料有限公司 一种转盘式晶圆自动分片装载机
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
CN116040319B (zh) * 2022-10-24 2023-09-01 江苏科沛达半导体科技有限公司 一种用于晶圆清洗的搬运用机械臂

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020062562A (ko) * 2001-01-22 2002-07-26 주식회사 코삼 반도체 제조장치
JP2003068819A (ja) * 2001-07-16 2003-03-07 Applied Materials Inc デュアルウエハロードロック
JP2004193418A (ja) * 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
KR20070107361A (ko) * 2006-05-03 2007-11-07 위순임 기판 반송 장치 및 이를 이용한 기판 처리 시스템

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH067542B2 (ja) * 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US5096364A (en) * 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (fr) * 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPH0237742A (ja) 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置
JP2706665B2 (ja) 1990-01-18 1998-01-28 東京エレクトロン株式会社 基板移載装置及び処理装置
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0531472A (ja) * 1990-11-17 1993-02-09 Tokyo Electron Ltd 洗浄装置
EP0496006A1 (en) * 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JPH04290454A (ja) 1991-03-19 1992-10-15 Fujitsu Ltd 基板処理装置および基板搬送方法
JP3309416B2 (ja) * 1992-02-13 2002-07-29 松下電器産業株式会社 連結式クリーン空間装置
US5229615A (en) * 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) * 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (ko) * 1993-04-12 1999-04-15 마스다 쇼오이치로오 크린장치가 부착된 하물보관설비
DE4340522A1 (de) * 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
US5979475A (en) * 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5599302A (en) * 1995-01-09 1997-02-04 Medi-Ject Corporation Medical injection system and method, gas spring thereof and launching device using gas spring
US5765444A (en) * 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) * 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
WO1997034742A1 (fr) * 1996-03-18 1997-09-25 Komatsu Ltd. Dispositif de commande d'un systeme de transport de pieces
TW365568B (en) * 1996-03-22 1999-08-01 Komatsu Mfg Co Ltd Robotic machine for transporting articles
JP3218425B2 (ja) * 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JP3437734B2 (ja) 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
JP2001514439A (ja) * 1997-08-28 2001-09-11 シーブイシー プロダクツ, インク. 複数ステーションの機械のウエハー取り扱い装置
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) * 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
CN1291345A (zh) * 1998-02-18 2001-04-11 应用材料有限公司 用在处理系统晶片搬运器上的端部操作装置
JP2000021947A (ja) 1998-06-30 2000-01-21 Sony Corp 乾式処理装置
US6158951A (en) * 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
US6328872B1 (en) * 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
CN1238882C (zh) 1998-12-02 2006-01-25 纽波特公司 试片夹持机械手末端执行器
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) * 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (nl) * 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) * 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
JP2002305232A (ja) * 2001-01-22 2002-10-18 Cosam Inc 半導体製造装置
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
JP2003229466A (ja) 2002-02-04 2003-08-15 Seiko Instruments Inc 真空処理装置
US20030202865A1 (en) * 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) * 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004200329A (ja) 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR100483428B1 (ko) * 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
JP4468021B2 (ja) * 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
US7075992B2 (en) 2003-07-11 2006-07-11 Optp Tech Corporation Digital lighting control system with video input
JP3680083B2 (ja) * 2003-09-26 2005-08-10 バンドー化学株式会社 伝動ベルト用プーリ及びベルト伝動装置
US7934513B2 (en) * 2003-10-08 2011-05-03 Semes Co., Ltd. Facility with multi-storied process chamber for cleaning substrates and method for cleaning substrates using the facility
WO2005121027A2 (en) * 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
TWI232492B (en) * 2004-06-04 2005-05-11 Au Optronics Corp A process chamber equipped with a cleaning function
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
KR100782380B1 (ko) * 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
KR101279819B1 (ko) * 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 방사-편향 연마 패드
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
US20070218197A1 (en) * 2006-03-15 2007-09-20 Yoichi Kurono Vacuum processing system and method of making
TWI476855B (zh) * 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100818044B1 (ko) 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
KR20180014247A (ko) * 2007-07-17 2018-02-07 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
JP4359640B2 (ja) * 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
WO2011022870A1 (zh) 2009-08-24 2011-03-03 Wang Lvsha 为高强度气体放电灯配置的镇流控制装置及镇流装置
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020062562A (ko) * 2001-01-22 2002-07-26 주식회사 코삼 반도체 제조장치
JP2003068819A (ja) * 2001-07-16 2003-03-07 Applied Materials Inc デュアルウエハロードロック
JP2004193418A (ja) * 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
KR20070107361A (ko) * 2006-05-03 2007-11-07 위순임 기판 반송 장치 및 이를 이용한 기판 처리 시스템

Also Published As

Publication number Publication date
WO2009120360A2 (en) 2009-10-01
KR101631584B1 (ko) 2016-06-17
CN102017120A (zh) 2011-04-13
EP2272089A2 (en) 2011-01-12
SG188932A1 (en) 2013-04-30
TW201005862A (en) 2010-02-01
JP5427882B2 (ja) 2014-02-26
WO2009120360A3 (en) 2010-01-14
JP2011515868A (ja) 2011-05-19
US9117870B2 (en) 2015-08-25
CN102017120B (zh) 2013-07-10
US20090245984A1 (en) 2009-10-01
TWI501344B (zh) 2015-09-21
EP2272089A4 (en) 2012-12-05

Similar Documents

Publication Publication Date Title
KR101631584B1 (ko) 고쓰루풋 클리너 챔버
US8562272B2 (en) Substrate load and unload mechanisms for high throughput
JP5745468B2 (ja) ウエハ型の被処理物を一時保管するための装置および方法
US8757180B2 (en) Substrate processing apparatus
CN108789132B (zh) 基板清洗方法
US9355835B2 (en) Method and apparatus for processing substrate
US7938130B2 (en) Substrate holding rotating mechanism, and substrate processing apparatus
US11342204B2 (en) Method and apparatus for cleaning semiconductor wafers
US10242862B2 (en) Post-CMP hybrid wafer cleaning technique
JP6073192B2 (ja) 基板洗浄装置、基板洗浄システムおよび基板洗浄方法
KR20170095748A (ko) 기판 세정 장치 및 기판 처리 장치
US11749552B2 (en) Wafer processing tools and methods thereof
US7849865B2 (en) System for processing a workpiece
CN114682547A (zh) 基板清洗装置及基板的清洗方法
CN111009484B (zh) 晶圆清洗装置及晶圆清洗方法
JP2021136418A (ja) 基板処理装置および基板処理方法
CN110690141A (zh) 基板清洗装置及基板清洗方法
JP2000124288A (ja) 基板搬送方法および基板搬送装置
JP2005517308A (ja) 半導体ウェハ処理一体型システム
JP6100486B2 (ja) 浸漬式の洗浄装置
US20240100713A1 (en) Method and apparatus for processing a substrate in cleaning modules
KR20230150327A (ko) 기판 처리 방법 및 기판 처리 시스템
KR20050019223A (ko) 카세트 이송 장치를 구비하는 세정 장치 및 그 동작 방법
US20150014176A1 (en) Wafer processing apparatus having scroll pump

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190531

Year of fee payment: 4