CN102017120A - 高吞吐量清洁室 - Google Patents

高吞吐量清洁室 Download PDF

Info

Publication number
CN102017120A
CN102017120A CN2009801121852A CN200980112185A CN102017120A CN 102017120 A CN102017120 A CN 102017120A CN 2009801121852 A CN2009801121852 A CN 2009801121852A CN 200980112185 A CN200980112185 A CN 200980112185A CN 102017120 A CN102017120 A CN 102017120A
Authority
CN
China
Prior art keywords
drive motor
rom drive
substrate carrier
time period
carrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801121852A
Other languages
English (en)
Other versions
CN102017120B (zh
Inventor
艾瑞克·H·伦兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102017120A publication Critical patent/CN102017120A/zh
Application granted granted Critical
Publication of CN102017120B publication Critical patent/CN102017120B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

一种晶圆清洁室,包含多个载具臂,所述多个载具臂具有在所述载具臂的相对端之间同心安装的中点,所述多个载具臂进一步具有安装在所述载具臂的相对端的每一个上的相应的晶圆载具。中枢,包括多个同心安装的驱动,所述多个驱动中的每一个耦合于所述多个载具臂中相应的一个载具臂的中点附近。所述多个驱动中的每一个被配置为独立于同心安装的剩余的多个驱动被控制。马达耦合于所述同心安装的驱动并被配置为在包含速度曲线的程序的控制下以旋转方式移动所述耦合的载具臂。至少一个清洁化工品供应头临近所述晶圆载具的路径定位。

Description

高吞吐量清洁室 
相关申请 
本申请主张享有序列号为61/040,023,申请日为2008年3月27日的美国临时申请,以及序列号为12/145,707,申请日为2008年6月25日的美国专利申请的优先权,通过引用将这些申请中每一个的内容并入本文。 
技术领域
本发明大体涉及半导体、数据存储器、平板显示器以及相关或其它行业中使用的工艺设备领域。尤其是,本发明涉及位于清洁室内的旋转级晶圆搬运机。 
背景技术
自从半导体器件在几十年前被首次引入之后,这些器件的几何结构(即,集成电路设计规则)已经在尺寸上显著减小了。集成电路(IC)通常遵循“摩尔法则”,意思是单一集成电路芯片上存在的器件的数量每两年会翻一番。当前的IC制造设施通常生产特征尺寸为65nm(0.065μm)的器件,未来的工厂(fabs)不久之后将会生产具有甚至更小的特征尺寸的器件。 
不足为奇,半导体集成电路制造是涉及一系列准确、精确并且可重复的协调操作的复杂工艺。在各制造操作过程中,半导体衬底(例如,半导体晶圆)表面被多层残留物污染,其中残留物是由微 粒、有机材料、金属杂质(例如,铜(Cu)、铝(Al)、钛(Ti)和钨(W))以及原生氧化物(例如,二氧化硅)组成的。 
半导体处理中一个愈加重要的任务是在后续处理步骤之前对晶圆表面的清洁和准备(preparation)。这种清洁的目标是从晶圆表面除去污染物和原生氧化物。事实上,晶圆清洁是集成电路制造中重复最频繁的操作,而且是半导体设备行业最重要的环节之一。然而,每个集成电路器件的新生代都变得越来越难以清洁。例如,当代工厂中所有工艺步骤的大概20%是清洁步骤。随着设计规则的每次进步,清洁步骤的百分比持续增加。在清洁次数增加的同时,杂质浓度、微粒尺寸和数量、水和化工品的使用以及表面微观粗糙度的量也持续增加。现在不仅在每个新工艺程序之前需要晶圆清洁,而且经常需要附加步骤以在生产运行之后清洁工厂工艺工具。 
存在两类主要的清洁工艺:湿法清洁方法和干法清洁方法。液体化工品清洁工艺(通常被称为湿法清洁)依靠溶剂、酸和水的结合以从晶圆表面喷淋、擦洗、蚀刻和溶解污染物。干法清洁工艺使用气相化学物质,并依靠晶圆清洁所需的化学反应,以及其它技术,比如激光、离子、烟雾剂和臭氧化的化学物质。通常,干法清洁方法使用更少的化工品并且对环境较不危险,但是效果通常不像湿法清洁那么好,特别是对于微粒除去。 
对于湿法化工品清洁方法,1965年开发出来的RCA清洁仍旧构成大多数前端制程(FEOL)湿法清洁的基础。典型RCA型清洁程序开始于硫酸/过氧化氢(H2SO4/H2O2,通常被称为“食人鱼(piranha)蚀刻”)溶液,然后在稀释的氢氟酸(HF)中浸泡。标准清洁第一操作(“SC-1清洁”)使用氢氧化铵/过氧化氢/水(NH4OH/H2O2/H2O,也被称为“碱食人鱼”)溶液除去微粒,而标准清洁第二操作(“SC-2清洁”)使用氯化氢/过氧化氢/水(HCl/H2O2/H2O)溶液除去金属。尽管在分析技术、化工品清洁度和去离子(ID)水方面有越来越严 格的工艺需要和增强的进步,然而基础清洁配方自从这种清洁技术被第一次引入以来保持大体不变。因为环境原因和成本效益在40年前不是主要问题,RCA清洁程序在这些方面远远不是最佳的,因此必须被高效并且高成本效益地应用。 
或许更重要的是,从以产量和成本为基础的立场来看,清洁工艺中使用的设备的类型正成为主要的驱动。清洁工艺必须是有效的,但它又必须很快。目前生产300毫米晶圆的当前吞吐量的需要是360个晶圆每小时。目前,各系统使用线性晶圆移动,这种移动在晶圆载具返回到晶圆清洁工具中的起始点时需要无产能时间段。因此,晶片搬运很慢。已提出的增加吞吐量的方案集中在并行连接多个清洁工具。这种方案在增加衬底吞吐量的同时,是以工具占地面积、更高的设备成本和更低的可靠性为代价的。 
现在可得到的半导体衬底清洁设备遭受清洁晶圆的高单位成本、高拥有成本、扩展性的欠缺和不能轻易地适应于各种处理序列或增加半导体晶圆尺寸的困扰。在造成晶圆清洁成本增加的许多因素中,在各位置间移动半导体晶圆的晶圆搬运机的资本费用是很大的开销。相应地,需要在半导体晶圆清洁领域进行改进,尤其是设备可靠性、吞吐量和效率。 
发明内容
在一个示例性实施方式中,披露一种衬底载具系统。该衬底载具系统包含多个载具臂,每个载具臂具有在其相对端之间的中点。所述多个载具臂进一步具有安装在所述载具臂的每一端的至少一个相应的衬底载具。中枢,包括多个同心安装的驱动。所述多个驱动中的每一个都耦合于所述多个载具臂中相应的一个载具臂的中点附近,并被配置为独立于同心安装的剩余的多个驱动被控制。驱 动马达耦合于所述同心安装的驱动中的每一个并被配置为以旋转方式移动所述耦合的载具臂的相应的。 
另一个示例性实施方式披露一种编程具有n个驱动马达的衬底载具系统的方法,述n个驱动马达的每一个耦合于相应的独立旋转衬底载具。所述方法包含构造用于编程所述n个驱动马达的第一个的基础速度曲线,包括以下步骤:第一时间段部分编程为正加速所述第一驱动马达,将第二时间段部分编程为负加速所述第一驱动马达,将第三时间段部分编程为将所述第一驱动马达保持在恒定速度,将第四时间段部分编程为正加速所述第一驱动马达,将第五时间段部分编程为负加速所述第一驱动马达,以及将第六时间段编程为将所述第一驱动马达保持在固定位置。所述基础速度曲线被应用到控制所述n个驱动马达中的所述第一个的程序以向所述独立旋转衬底载具的第一个提供移动。 
另一个示例性实施方式披露一种能够由处理器读取的存储介质,所述存储介质存储指令。所述指令在由处理器执行时使得所述处理器执行编程具有n个驱动马达的衬底载具系统的方法,所述n个驱动马达的每一个耦合于独立的旋转衬底载具。所述方法包含构造提供用于编程所述n个驱动马达的第一个的基础速度曲线,包含以下步骤:将第一时间段部分编程为正加速所述第一驱动马达,将第二时间段部分编程为负加速所述第一驱动马达,将第三时间段部分编程为将所述第一驱动马达保持在恒定速度,将第四时间段部分编程为正加速所述第一驱动马达,将第五时间段部分编程为负加速所述第一驱动马达,以及将第六时间段编程为将所述第一驱动马达保持在固定位置。将所述基础速度曲线应用到控制所述n个驱动马达中的所述第一个的程序以向所述独立旋转衬底载具的第一个提供移动。 
另一个示例性实施方式披露一种晶圆清洁室,该室包含多个载具臂,所述多个载具臂具有在其相对端之间同心安装的中点,所述多个载具臂进一步具有安装在其相对端的每一个上的相应的晶圆载具。中枢,包括多个同心安装的驱动,所述多个驱动中的每一个耦合于所述多个载具臂中相应的一个载具臂的中点附近。所述多个驱动中的每一个被配置为独立于同心安装的剩余的多个驱动被控制。马达耦合于所述同心安装的驱动中的每一个并被配置为在包含速度曲线的程序的控制下以旋转方式移动所述耦合的载具臂。至少一个清洁化工品供应头临近所述晶圆载具的路径定位。 
附图说明
附图只描绘了本发明的示例性实施方式,不能被认为是限制其范围的。 
图1是依照本发明的示例性时钟臂晶圆载具系统的三维视图。 
图2是提供用于图1的晶圆载具系统的驱动力的示例性移动驱动中枢(drive hub)的三维视图。 
图3是图1的晶圆载具系统的示例性臂连接和跟踪系统的三维视图。 
图4是驱动图1的晶圆载具系统的示例性速度曲线图。 
具体实施方式
参考图1,示例性时钟臂晶圆载具系统100包括多个旋转臂101A,每个旋转臂101A具有相关晶圆载具101B、内轨道部分103、外轨道部分105、多个卸载台晶圆升降杆107和多个装载台晶圆升降杆109。通常,通过适当的烟尘头型隔离和洁净室过滤以及在需要 时结合气流而将示例性时钟臂晶圆载具系统100保持为隔离的室内环境。 
多个旋转臂101A中的每一个是独立驱动的,并因此可以独立于剩余的多个旋转臂101A被启动、停止和加速。尽管示例性时钟臂晶圆载具系统100显示了四个旋转臂101A,然而技术人员将能很快意识到,可以使用并缩放任意数量的臂以适于给定的晶圆尺寸。 
并且,晶圆载具101B中的每一个可以被修改为适应其它的晶圆尺寸或衬底类型。例如,晶圆载具101B可以被设计为适应300毫米硅晶圆或100毫米砷化镓(GaAs)晶圆。因此,本文使用的术语“晶圆”仅仅是作为方便指代在半导体和相关行业中使用的各种衬底类型中的任何一种的术语而选择的。因此衬底类型可包括硅晶圆、化合物晶圆、薄膜头总成、光掩模坯料(photomask blank)和中间掩模(reticle)或本领域已知的许多其它类型的衬底。下面,参考图3,讨论有关旋转臂到晶圆载具的连接的具体细节。 
在一个具体示例性实施方式中,外轨道部分105被物理布置为从旋转臂101A的中点到晶圆载具101B的中心适应30英寸的半径。当然,外轨道部分105的尺寸可以根据所用的旋转臂的数量和搬运的衬底的尺寸而适当设置。 
多个晶圆升降杆107、109可以是公知的、在半导体行业中使用的任何通用类型的。如图所示,两个装载台升降杆109彼此间隔开大约180°。类似地,两个卸载台升降杆107被间隔开大约180°。在其它实施方式(未示)中,可能只有一对晶圆升降杆107、109,它们有或没有穿过该载具系统中心部分的晶圆返回轨道。替代地,在又一些其它实施方式(未示)中,可以使用更多数量的晶圆升降杆107、109。 
在普通操作中,一旦晶圆载具101B被定位到升降杆107、109之一上方后,外部机器人(未示)可以将晶圆放到晶圆载具(例如,晶圆舟或前开式通用盒(FOUP))上或从晶圆载具放到升降杆107、109之一上。然后升降杆107、109将该晶圆降低到晶圆载具101B上,而升降杆107、109继续降低足够远以避免与任一个旋转中的晶圆臂101A有任何碰撞。继续参考图1,示例性时钟臂晶圆载具系统100进一步包括上化工品释放头111和下化工品释放头113,这些释放头被定位为当晶圆临近上化工品释放头111和下化工品释放头113穿过时喷淋或以其他方式施加化工品(例如,比如上面提到的清洁化工品的各种组合)。使用至少两个头允许在晶圆的单次通过中将化工品施加到晶圆的两面。替代地,上化工品释放头111和下化工品释放头113可以被布置为同时将化工品施加到晶圆的两面。如同技术人员可以意识到的,可以使用任何数量的化工品释放头。 
在一个具体示例性实施方式中,上化工品释放头111和下化工品释放头113被设计为“馅饼剖面(pie-section)”形状的,该形状在载具系统100的外周比在它的内周具有更宽的横截面。该馅饼剖面形状适合在该晶圆的最外层区域与内部区域相比具有更高的角速度。因此,通过例如更多数量的对着该晶圆的喷淋喷嘴可以将更多化工品输送到该晶圆的外侧区域以确保在该晶圆的面上的均匀的化工品覆盖。 
因此,该示例性时钟臂晶圆载具系统100能够进行连续的流水线制造并使得它本身进行处理而在各晶圆间没有间隙。如同上面注释的,湿法化工品清洁可涉及各种步骤。湿法化学物质的开始和停止是难以控制、浪费而且低效的。通过使晶圆载具以完整的360°弧线移动,示例性时钟臂晶圆载具系统100以连续模式处理晶圆。与运行需要180°返回(其中不进行晶圆清洁或处理)的线性系统的现有技术不同,示例性时钟臂晶圆载具系统100可以在时钟系统 100的相对侧上同时运行并行的清洁工艺。因而,化工品控制可以被共享,从而减少了控制系统总开销和多余的电路系统。如此,可以从目前的线性系统中节省多达300%的化工品(即,化工品的使用减少4倍)。 
因此两个并行工艺同时进行:化工品控制和晶圆移动。如同下面参考图2和4更详细地描述的,晶圆载具101B的速度和加速度的独立控制允许退出步骤以及装载和卸载该晶圆。该独立控制进一步允许晶圆被装载或卸载之后载具加速以追上工艺流程,下面也将参考图4更详细地描述。 
参考图2,示例性旋转驱动系统200包括中枢,该中枢包含四个同心安装的臂驱动齿轮201,每个用于一个旋转臂101A(图1)。使用了四个马达203,每个用于同心安装的臂驱动齿轮201中的一个。可以通过为每个旋转臂101A使用一个独立马达203而单独驱动每个旋转臂101A。 
驱动力被从每个马达203传递到相应的臂驱动齿轮201,例如,通过传动带。该带驱动系统提高了相对于其它系统(比如齿轮驱动)的总系统效率。进一步,平衡的总系统设计(例如,对称设计的旋转臂101A)允许四个驱动马达203每个具有极低的功率消耗。在这个示例性实施方式中,典型的功耗是每个马达仅4.5瓦特。技术人员将意识到,也可以使用其它类型的旋转驱动方案。 
在一个具体示例性实施方式中,每一个马达203可以是标准NEMA 23框架尺寸,比如具有集成编码器的SM2315D伺服马达(可从位于CA,Santa Clara,3200Patrick Henry Drive的Animatics公司获得)。在此实施方式中,所述马达203是基于全部集成的、闭环伺服技术的,并且可以包含内部、非易失性存储器,从而允许速度曲线程序被从主计算机下载并独立保存到每个马达203。进一步,在这 个具体示例性实施方式中,所有的输入、输出和内部状态信息可以通过定义的变量访问以用于程序监视和控制。齿轮减速可以用50∶1的行星减速装置(planetary reducer)和4∶1的驱动带减速实现,从而用2000编码器计数每转的步进马达提供400,000次每转。这个具体示例性实施方式允许大约1米/秒的最高速度和0.1g或0.98米/秒2的最大加速度。相应地,旋转臂101A(图1)以大约12.5转每分钟的最大角速度移动。 
现在参考图3,图1的示例性时钟臂晶圆载具系统100的详细视图包括伸缩弹簧臂/载具连接器301(定位在旋转臂101A的每一端)以及一个或更多外轮架(truck)303(定位在毗邻外轨道部分105的晶圆载具101B的外缘)。 
在一个具体示例性实施方式中,该伸缩弹簧臂/载具连接器301具有0.3磅力的负载设计,其具有离旋转臂101A的末端向下3°的角度,从而允许该伸缩弹簧臂/载具连接器301的零力矩,以及在旋转臂101A施加1.2英寸-磅的扭矩。该伸缩弹簧臂/载具连接器301骑在内轨道部分103下。晶圆载具101B在大约0°的角度继续(即,基本上水平)。使用两个外轮架,其在该外轨道部分105的上下两侧上都有约0.12磅-力的弹簧接触。安装到伸缩弹簧臂/载具连接器301和外轮架的随动件(未在图3中特别示出)是由耐化学腐蚀的超高分子量(UHMW)聚乙烯制成的。 
在替代示例性实施方式中,随动件是用轴承级 
Figure BPA00001233686200091
塑料制造的。替代地,随动件可以是用拥有某些机械特性(比如,根据给定应用,良好的强度和抗冲击力、抗蠕变性、尺寸稳定性、抗辐射性和耐化学腐蚀性)的其它材料制造的。各种材料,比如聚胺、聚亚胺和缩醛都是合适的。在清洁应用中通常不需要针对高温的塑料和其它相关材料。 
在又一些其它实施方式中,该随动件可以是用各种材料机械加工成的,包括 
Figure BPA00001233686200101
塑料或其它材料,比如氟化聚合物、聚四氟乙烯和聚醚醚酮(PEEK),其具有低摩擦系数和低微粒散发性。 
参考图4,旋转臂的示例性速度曲线图400描绘了臂的速度(是在该晶圆中心测量的),是针对图1的示例性时钟臂晶圆载具系统100的第一420、第二440、第三460和第四480旋转臂曲线作为时间的函数绘制的。每一个速度曲线都是类似的,除了20秒的瞬时偏移,该偏移指示了每一个旋转臂101A相对于该第一旋转臂开始它的伴随旋转速度曲线的时刻。例如,第二旋转臂曲线440在相对于第一曲线420的时刻t0=20秒时“开始”它的速度曲线。 
每一个速度曲线进一步拥有类似的五个时间段的周期。例如,从第一次到第二次装载到相同的臂上的整个周期是在一个80秒的时间段T后完成的。在第一时间段T0,该第一旋转臂加速到大约50毫米/秒的最大速度,然后在12秒后稳定到20毫米/秒的恒定速度。该加速阶段允许第一旋转臂保持在后续的(或第二)旋转臂之前,因此避免了可能的碰撞。在第二时间段T1期间,该第一旋转臂继续大约20毫米/秒的恒定速度大概30秒。在第二时间段的后期部分中,第一旋转臂是在如图1中所示的喷淋头下方移动的。该第一旋转臂在第三时间段T2的后期部分期间略微减慢到10毫米/秒。减小的速度出现在漂洗/干燥步骤过程中以改善晶圆的干燥。为了补偿时间段T2的速度减小,该第一旋转臂在第四时间段T3的一部分期间再次加速到50毫米/秒。然后该第一旋转臂在时间段T4期间停止,以进行装载/卸载周期,然后该工艺重复其自身。类似的工艺用其它三个旋转臂同时继续。 
下面的表1显示了上面描述的每个时间段后该第一旋转臂上的晶圆的中心点的定位位置。 
Figure DEST_PATH_GSB00000435888700011
表1 
上面参考本发明的具体实施方式对本发明进行了描述。然而,对技术人员来说,显然,可以对其进行各种修改和变化而不违背如所附权利要求中阐明的本发明的更宽的精神和范围。例如,特定实施方式描述了许多材料的类型和该示例性时钟臂晶圆载具系统的各元件的位置。技术人员将会意识到,这些材料和特定元件是可变通的,在本文中显示只是为了示例性目的以便完整描述该系统的新颖性。另外,技术人员将进一步意识到,将该晶圆装载到该臂上的装载配置是可能的,比如将装载机器人建造到该旋转臂中而不是依靠外部机器人。而且,可以使用各种马达类型,比如步进马达。并且,旋转臂的每个相对端上可以安装多个衬底载具,而不是安装单一载具。进一步,该系统可以在工厂内的各种,例如工艺、测量和分析工具上使用。因此,该系统具有超出清洁衬底的应用。而且,术语“半导体”应当在全文中被解释为包括数据存储器、平板显示 器以及相关或其它行业。这些和各种其它实施方式均在本发明的范围内。相应地,说明书和附图应当被认为是说明性的而非限制意义上的。 

Claims (28)

1.一种衬底载具系统,包含:
多个载具臂,每个载具臂具有在其相对端之间的中点,所述多个载具臂进一步具有安装在所述载具臂的每一端的至少一个相应的衬底载具,
中枢,包括多个同心安装的驱动,所述多个驱动中的每一个都耦合于所述多个载具臂中相应的一个载具臂的中点附近,并被配置为独立于同心安装的剩余的多个驱动被控制;以及
耦合于所述同心安装的驱动中的每一个并被配置为以旋转方式移动所耦合的载具臂的相应的驱动马达。
2.根据权利要求1所述的衬底载具系统,进一步包含定位为临近所述衬底载具的外周的至少一个装载/卸载口。
3.根据权利要求2所述的衬底载具系统,进一步包含升降杆台,所述升降杆台被定位于由所述衬底载具的中点穿过的环形路径下方并被定位于所述多个载具臂的所述中点和所述至少一个装载/卸载口之间的径向连线上。
4.根据权利要求1所述的衬底载具系统,进一步包含内轨道部分和外轨道部分,所述内轨道部分和外轨道部分的每一个都与所述中枢同心安装并被布置为分别支撑所述衬底载具中每一个的内周和外周。
5.根据权利要求4所述的衬底载具系统,其中所述衬底载具中每一个的所述外周由所述外轨道部分的顶侧部分和底侧部分两者支撑。
6.根据权利要求4所述的衬底载具系统,其中所述衬底载具的每一个的内周由所述内轨道部分的顶侧部分支撑。
7.根据权利要求1所述的衬底载具系统,其中所述驱动马达中的每一个是步进马达。
8.根据权利要求1所述的衬底载具系统,其中所述驱动马达中的每一个是伺服马达。
9.根据权利要求1所述的衬底载具系统,其中所述驱动马达中的每一个能够被速度曲线独立编程。
10.根据权利要求1所述的衬底载具系统,其中所述驱动马达中的每一个被配置为由类似的速度曲线编程。
11.根据权利要求10所述的衬底载具系统,其中编程到所述驱动马达的每一个中的所述类似的速度曲线被配置为与其他速度曲线的每一个瞬时偏移。
12.根据权利要求1所述的衬底载具系统,进一步包含至少一个化工品供应头。
13.根据权利要求12所述的衬底载具系统,其中所述至少一个化工品供应头被定位于所述衬底载具的路径上方。
14.根据权利要求12所述的衬底载具系统,其中所述至少一个化工品供应头被定位于所述衬底载具的路径下方。
15.根据权利要求12所述的衬底载具系统,其中所述至少一个化工品供应头在所述头的外周比在所述头的内周有更宽的横截面宽度。
16.一种编程具有n个驱动马达的衬底载具系统的方法,所述n个驱动马达的每一个耦合于相应的独立旋转衬底载具,所述方法包含:
提供用于编程所述n个驱动马达的第一个的基础速度曲线,包括以下步骤:
将第一时间段部分编程为正加速所述第一驱动马达;
将第二时间段部分编程为负加速所述第一驱动马达;
将第三时间段部分编程为将所述第一驱动马达保持在恒定速度;
将第四时间段部分编程为正加速所述第一驱动马达;
将第五时间段部分编程为负加速所述第一驱动马达;以及
将第六时间段编程为将所述第一驱动马达保持在固定位置;以及
将所述基础速度曲线应用到控制所述n个驱动马达中的所述第一个的程序以向所述独立旋转衬底载具的第一个提供移动。
17.根据权利要求16所述的方法,进一步包含:
将第七时间段部分编程为负加速所述第一驱动马达,所述第七时间段部分被布置为出现在所述第三和第四时间段部分之间;以及
将第八时间段部分编程为紧接所述第七时间段并将所述第一驱动马达保持在恒定速度。
18.根据权利要求16所述的方法,进一步包含:
产生n-1个版本的所述速度曲线,所述n-1个版本的每一个从相邻的在先版本瞬时偏移;以及
将所述n-1个版本的所述速度曲线的每一个应用到所述衬底载具系统中剩余的n-1个驱动马达的每一个。
19.一种能够由处理器读取的存储介质,所述存储介质存储指令,所述指令在由处理器执行时使得所述处理器执行编程具有n个驱动马达的衬底载具系统的方法,所述n个驱动马达的每一个耦合于独立的旋转衬底载具,所述方法包含:
提供用于编程所述n个驱动马达的第一个的基础速度曲线,包括以下步骤:
将第一时间段部分编程为正加速所述第一驱动马达;
将第二时间段部分编程为负加速所述第一驱动马达;
将第三时间段部分编程为将所述第一驱动马达保持在恒定速度;
将第四时间段部分编程为正加速所述第一驱动马达;
将第五时间段部分编程为负加速所述第一驱动马达;以及
将第六时间段编程为将所述第一驱动马达保持在固定位置;以及
将所述基础速度曲线应用到控制所述n个驱动马达中的所述第一个的程序以向所述独立旋转衬底载具的第一个提供移动。
20.根据权利要求19所述的能够由处理器读取的存储介质,其中所述方法进一步包含:
将第七时间段部分编程为负加速所述第一驱动马达,所述第七时间段部分被布置为出现在所述第三和第四时间段部分之间;以及
将第八时间段编程为紧接所述第七时间段并将所述第一驱动马达保持在恒定速度。
21.根据权利要求19所述的能够由处理器读取的存储介质,其中所述方法进一步包含:
产生n-1个版本的所述速度曲线,所述n-1个版本的每一个从相邻的在先版本瞬时偏移;以及
将所述n-1个版本的所述速度曲线的每一个应用到所述衬底载具系统中剩余的n-1个驱动马达的每一个。
22.一种晶圆清洁室,包含:
多个载具臂,所述多个载具臂具有在其相对端之间同心安装的中点,所述多个载具臂进一步具有安装在其相对端的每一个上的相应的晶圆载具,
中枢,包括多个同心安装的驱动,所述多个驱动中的每一个耦合于所述多个载具臂中相应的一个载具臂的中点附近,所述多个驱动中的每一个被配置为独立于同心安装的剩余的多个驱动被控制;
耦合于所述同心安装的驱动中的每一个并被配置为在包含速度曲线的程序的控制下以旋转方式移动所耦合的载具臂的相应马达;以及
临近所述晶圆载具的路径定位的至少一个清洁化工品供应头。
23.根据权利要求22所述的晶圆清洁系统,进一步包含临近所述晶圆载具的外周定位的至少一个装载/卸载口。
24.根据权利要求23所述的晶圆清洁系统,进一步包含升降杆台,所述升降杆台被定位于由所述衬底载具的中点穿过的环形路径下方并被定位于所述多个载具臂的所述中点和所述至少一个装载/卸载口之间的径向连线上。
25.根据权利要求22所述的晶圆清洁系统,其中所述至少一个清洁化工品供应头在所述头的外周比在所述头的内周有更宽的横截面宽度。
26.根据权利要求22所述的晶圆清洁系统,其中被编程到所述马达中的每一个中的所述速度曲线从其他速度曲线的每一个瞬时偏移。
27.根据权利要求22所述的晶圆清洁系统,其中所述马达中的每一个是步进马达。
28.根据权利要求22所述的晶圆清洁系统,其中所述马达中的每一个是伺服马达。
CN2009801121852A 2008-03-27 2009-03-27 高吞吐量清洁室 Expired - Fee Related CN102017120B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US4002308P 2008-03-27 2008-03-27
US61/040,023 2008-03-27
US12/145,707 2008-06-25
US12/145,707 US9117870B2 (en) 2008-03-27 2008-06-25 High throughput cleaner chamber
PCT/US2009/001900 WO2009120360A2 (en) 2008-03-27 2009-03-27 High throughput cleaner chamber

Publications (2)

Publication Number Publication Date
CN102017120A true CN102017120A (zh) 2011-04-13
CN102017120B CN102017120B (zh) 2013-07-10

Family

ID=41114535

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801121852A Expired - Fee Related CN102017120B (zh) 2008-03-27 2009-03-27 高吞吐量清洁室

Country Status (8)

Country Link
US (1) US9117870B2 (zh)
EP (1) EP2272089A4 (zh)
JP (1) JP5427882B2 (zh)
KR (1) KR101631584B1 (zh)
CN (1) CN102017120B (zh)
SG (1) SG188932A1 (zh)
TW (1) TWI501344B (zh)
WO (1) WO2009120360A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106898573A (zh) * 2015-12-18 2017-06-27 有研半导体材料有限公司 一种转盘式晶圆自动分片装载机
CN116040319A (zh) * 2022-10-24 2023-05-02 江苏科沛达半导体科技有限公司 一种用于晶圆清洗的搬运用机械臂

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2008316467A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US9966298B2 (en) * 2013-08-27 2018-05-08 Cleaning Technologies Group, Llc Multiple-stage processing devices
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH067542B2 (ja) * 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US5096364A (en) * 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (fr) * 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPH0237742A (ja) 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置
JP2706665B2 (ja) 1990-01-18 1998-01-28 東京エレクトロン株式会社 基板移載装置及び処理装置
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0531472A (ja) * 1990-11-17 1993-02-09 Tokyo Electron Ltd 洗浄装置
EP0496006A1 (en) * 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JPH04290454A (ja) 1991-03-19 1992-10-15 Fujitsu Ltd 基板処理装置および基板搬送方法
JP3309416B2 (ja) * 1992-02-13 2002-07-29 松下電器産業株式会社 連結式クリーン空間装置
US5229615A (en) * 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) * 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (ko) * 1993-04-12 1999-04-15 마스다 쇼오이치로오 크린장치가 부착된 하물보관설비
DE4340522A1 (de) * 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
US5979475A (en) * 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5599302A (en) * 1995-01-09 1997-02-04 Medi-Ject Corporation Medical injection system and method, gas spring thereof and launching device using gas spring
US5765444A (en) * 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) * 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP3105544B2 (ja) * 1996-03-18 2000-11-06 株式会社小松製作所 ワーク搬送システムの制御装置
TW365568B (en) * 1996-03-22 1999-08-01 Komatsu Mfg Co Ltd Robotic machine for transporting articles
JP3218425B2 (ja) * 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JP3437734B2 (ja) 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR20010023014A (ko) * 1997-08-28 2001-03-26 씨브이씨 프로덕츠 인코포레이티드 다중스테이션 장비용 웨이퍼 핸들러
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) * 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
WO1999043021A1 (en) * 1998-02-18 1999-08-26 Applied Materials, Inc. End effector for wafer handler in processing system
JP2000021947A (ja) 1998-06-30 2000-01-21 Sony Corp 乾式処理装置
US6158951A (en) * 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
US6328872B1 (en) * 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
ATE389237T1 (de) 1998-12-02 2008-03-15 Newport Corp Armgreiforgan für probehalteroboter
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) * 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (nl) * 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) * 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
KR100433067B1 (ko) 2001-01-22 2004-05-27 주식회사 라셈텍 반도체 제조장치
JP2002305232A (ja) * 2001-01-22 2002-10-18 Cosam Inc 半導体製造装置
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
JP2003229466A (ja) 2002-02-04 2003-08-15 Seiko Instruments Inc 真空処理装置
US20030202865A1 (en) * 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) * 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004193418A (ja) 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
JP2004200329A (ja) 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR100483428B1 (ko) * 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
JP4468021B2 (ja) * 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
US7075992B2 (en) 2003-07-11 2006-07-11 Optp Tech Corporation Digital lighting control system with video input
JP3680083B2 (ja) * 2003-09-26 2005-08-10 バンドー化学株式会社 伝動ベルト用プーリ及びベルト伝動装置
US7934513B2 (en) * 2003-10-08 2011-05-03 Semes Co., Ltd. Facility with multi-storied process chamber for cleaning substrates and method for cleaning substrates using the facility
TWI232492B (en) * 2004-06-04 2005-05-11 Au Optronics Corp A process chamber equipped with a cleaning function
WO2005121027A2 (en) * 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
KR100782380B1 (ko) * 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
KR101279819B1 (ko) * 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 방사-편향 연마 패드
CN100362620C (zh) * 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
US20070218197A1 (en) * 2006-03-15 2007-09-20 Yoichi Kurono Vacuum processing system and method of making
TWI476855B (zh) * 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100814238B1 (ko) 2006-05-03 2008-03-17 위순임 기판 반송 장치 및 이를 이용한 기판 처리 시스템
KR100818044B1 (ko) 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
KR20190077134A (ko) * 2007-07-17 2019-07-02 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
JP4359640B2 (ja) * 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
WO2011022870A1 (zh) 2009-08-24 2011-03-03 Wang Lvsha 为高强度气体放电灯配置的镇流控制装置及镇流装置
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) * 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106898573A (zh) * 2015-12-18 2017-06-27 有研半导体材料有限公司 一种转盘式晶圆自动分片装载机
CN106898573B (zh) * 2015-12-18 2019-05-24 有研半导体材料有限公司 一种转盘式晶圆自动分片装载机
CN116040319A (zh) * 2022-10-24 2023-05-02 江苏科沛达半导体科技有限公司 一种用于晶圆清洗的搬运用机械臂
CN116040319B (zh) * 2022-10-24 2023-09-01 江苏科沛达半导体科技有限公司 一种用于晶圆清洗的搬运用机械臂

Also Published As

Publication number Publication date
WO2009120360A2 (en) 2009-10-01
JP5427882B2 (ja) 2014-02-26
WO2009120360A3 (en) 2010-01-14
KR20100135238A (ko) 2010-12-24
TWI501344B (zh) 2015-09-21
KR101631584B1 (ko) 2016-06-17
CN102017120B (zh) 2013-07-10
US9117870B2 (en) 2015-08-25
JP2011515868A (ja) 2011-05-19
EP2272089A2 (en) 2011-01-12
US20090245984A1 (en) 2009-10-01
SG188932A1 (en) 2013-04-30
EP2272089A4 (en) 2012-12-05
TW201005862A (en) 2010-02-01

Similar Documents

Publication Publication Date Title
CN102017120B (zh) 高吞吐量清洁室
JP5779598B2 (ja) 高スループットのための基板ロードおよびアンロードメカニズム
JP4467367B2 (ja) 基板反転装置、基板搬送装置、基板処理装置、基板反転方法、基板搬送方法および基板処理方法
KR100478828B1 (ko) 베어링이없는연결부를갖는물품반송용반도체제조장치및반도체장치의제조방법
US7748944B2 (en) Method and apparatus for semiconductor processing
US6582175B2 (en) Robot for handling semiconductor wafers
JP2008172160A (ja) 基板処理装置および基板処理方法
JP6005624B2 (ja) 基板に対する粒子汚染を削減するためのシステム
JP2023155280A (ja) 基板処理システム、及び基板処理方法
TW200910502A (en) Supinating cartesian robot blade
JP5984036B2 (ja) z運動し、多関節アームを備える直線真空ロボット
TWI509724B (zh) 用於處理工具中之小微粒計數的氣流管理
CN100413023C (zh) 单工件加工腔
JP2004207279A (ja) 薄板状物製造設備
US20030161714A1 (en) Storage and buffer system with transport elements
JP5578539B2 (ja) 基板搬送処理装置及び方法
JP2006128424A (ja) 基板処理装置および基板処理方法
KR100921637B1 (ko) 버퍼유닛 및 기판처리방법
WO2024072615A1 (en) Method and apparatus for processing a substrate in cleaning modules
JP4202445B2 (ja) 半導体製造装置用クリーニング装置
KR20050019223A (ko) 카세트 이송 장치를 구비하는 세정 장치 및 그 동작 방법
TW202418448A (zh) 具有工廠界面環境控制之基板處理系統、設備與方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130710

CF01 Termination of patent right due to non-payment of annual fee