JP5578454B2 - サイリスタベースメモリセル、デバイス及びそれらを含むシステム、そしてそれらを形成する方法 - Google Patents

サイリスタベースメモリセル、デバイス及びそれらを含むシステム、そしてそれらを形成する方法 Download PDF

Info

Publication number
JP5578454B2
JP5578454B2 JP2012556082A JP2012556082A JP5578454B2 JP 5578454 B2 JP5578454 B2 JP 5578454B2 JP 2012556082 A JP2012556082 A JP 2012556082A JP 2012556082 A JP2012556082 A JP 2012556082A JP 5578454 B2 JP5578454 B2 JP 5578454B2
Authority
JP
Japan
Prior art keywords
conductive
doped
thyristor
semiconductor device
memory cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012556082A
Other languages
English (en)
Other versions
JP2013521649A (ja
Inventor
ディー. タン,サン
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2013521649A publication Critical patent/JP2013521649A/ja
Application granted granted Critical
Publication of JP5578454B2 publication Critical patent/JP5578454B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66363Thyristors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1027Thyristors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42308Gate electrodes for thyristors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/74Thyristor-type devices, e.g. having four-zone regenerative action
    • H01L29/749Thyristor-type devices, e.g. having four-zone regenerative action with turn-on by field effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Semiconductor Memories (AREA)
  • Thyristors (AREA)

Description

〔関連出願に対する参照〕
この出願は、2010年3月2日に出願された“SEMICONDUCTOR−METAL−ON−INSULATOR STRUCTURES,METHOD OF FORMING SUCH STRUCTURES,AND SEMICONDUCTOR DEVICES INCLUDING SUCH STRUCTURES”という題名の同時係属米国特許出願12/715,704号、2010年3月2日に出願された“FLOATING BODY CELL STRUCTURES,DEVICES INCLUDING THE SAME,AND METHODS FOR FORMING THE SAME”という題名の同時係属米国特許出願12/715,843号、2010年3月2日に出願された“SEMICONDUCTOR DEVICES INCLUDING A DIODE STRUCTURE OVER A CONDUCTIVE STRAP,AND METHODS OF FORMING SUCH SEMICONDUCTOR DEVICES”という題名の同時係属米国特許出願12/715,743号、及び2010年3月2日に出願された“SEMICONDUCTOR CELLS,ARRAYS,DEVICES,AND SYSTEM HAVING A BURIED CONDUCTIVE LINE AND METHODS FOR FORMING THE SAME”という題名の同時係属米国特許出願12/715,922号と関連し、これらの開示は、ここでの言及によって本明細書に組み入れられたものとする。
本発明の実施例は、サイリスタベースメモリセル、1つまたはそれ以上のサイリスタを含む半導体デバイス及びそのようなメモリセルと半導体デバイスを形成する方法に関連する。
集積回路(IC)メモリデバイスは、従来のスタティックスランダムアクセスメモリ(SRAM)を含む。従来のSRAMは、4トランジスタメモリセル(4T SRAM)または6トランジスタメモリセル(6T SRAM)に基づく。これらのセルは、低電圧レベルで動作しそして比較的に高速で実行する相補型金属酸化半導体(CMOS)デバイスのような従来のメモリ素子と互換性がある。しかし、従来のSRAMは、SRAMの高密度設計を制限する大きいセル面積を使う。
ICメモリデバイスの面積を減らす試みにおいて、しばしば“薄い容量性結合サイリスタ(TCCT)”と呼ばれている4層の交互のn型及びp型のシリコン材料を含む高密度・低電圧SRAMセルが製造されている。ここに使われるように、“サイリスタ”という用語は、p−n−p−n構成に配置されたp型アノード領域、n型ベース、p型ベース、及びn型カソード領域を有する4層構造を含む双安定の3端子デバイスを意味し、そしてそれを含む。サイリスタは、アノードとカソードの2つの主端子、とカソードに隣接するp型材料に取り付けられてもよくて、しばしば“ゲート”と呼ばれる制御端子を含んでもよい。サイリスタベースランダムアクセスメモリ(T−RAM)セルは、従来のSRAMセルと比較して、より速いスイッチング速度とより低い動作電圧を示す。
メモリデバイス内のサイリスタは、p−n−p−nチャネルが電流を導電するようにゲートをバイアスすることによってオンされてもよい。一旦、デバイスがオンされることを、“ラッチされる”といい、サイリスタは、カソードとアノード間に導電される電流を維持するのにゲートがバイアスされることを要求しない。代わりに、カソードとアノード間に最小保持電流がもはや維持されなくなるまで、又はアノードとカソード間の電圧が反転されるまでに導電をし続ける。従って、サイリスタは、“オン”状態と“オフ”状態間スイッチされることが可能なスイッチ又はダイオードとして機能してもよい。
1Aを参照すると、従来のT−RAMセル10は、シリコン基板11上に形成される、双安定素子として垂直包囲(サラウンディング)ゲート14を有する垂直サイリスタ12と、アクセストランジスタ16を含む。サイリスタ12は、アノード領域18、nベース領域20、pベース領域22とカソード領域24を含む。T−RAMセル10は、2つのワード線によってアクセスされ、第1ワード線26がアクセストランジスタ16のアクセスゲートを制御するのに使用され、そして、書き込み動作中に第2ワード線として機能するゲート14が垂直サイリスタ12のスイッチングを制御するのに用いられる。垂直サイリスタ12は、参照電圧28に接続される。ゲート14は、垂直サイリスタ12のスイッチング速度を改善し得る。ビット線30は、T−RAMセル10からのデータの読み出し及びT−RAMセル10へのデータの書き込みのためにT−RAMセルをセンサーアンプ(図示しない)に接続する。T−RAMセル10は、10pAの範囲のかなり低いスタンバイ電流を示す。
しかしながら、T−RAMセル10には、スケーラビリティ、制御及び集積上での制限を含む 複数の欠点がある。例えば、T−RAMセル10は、各サイリスタ12の寸法の制御と同様にアレイ内における各サイリスタ12のための寸法の再現が困難であることによって制限される。垂直サイリスタ12とゲート14のスケーリングにおける困難により、8Fより小さい面積にT−RAMセル10を調整することは困難である。ここで、Fは最小加工寸法(最少フィーチャサイズ:minimal feature size)である。さらに、サイリスタ12のドープされた領域の形成が注入処理によって妨げられ、結果的に、サイリスタ12内に不要なドーパント濃度又は分布をもたらすことになる。また、T−RAMセル10は、論理デバイスのよう任意の他のデバイスからも隔離して製造される必要があり、余分の製造工程を要求する。最後に、ワード線26とゲート14によるT−RAMセル10の接続は、直列抵抗(すなわち、セルとセルの間の抵抗)とデバイス故障をもたらす。
従って、業界に必要とされるのは、改善されたスケーラビリティ、密度及び集積容量を有するデバイスの形成のためのサイリスタベースメモリセル、そしてそれを形成する方法である。
図1Aは、従来の技術に従うT−RAMセルの断面図を示す。 図2Aは、本発明の一実施形態に従う半導体デバイスの一部分の透視図を示す。 図2Bは、図2Aに示す半導体デバイスの一部分を表現する電気回路図を示す。 図3Aは、本発明の一実施形態に従う他の半導体デバイスの一部分の透視図を示す。 図3Bは、図3Aに示す他の半導体デバイスの一部分を表現する電気回路図を示す 図4は、図2Aと図2Bに示す本発明の実施形態に従う一半導体デバイスの一部分のトップダウン平面図を示す。 図5は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図6は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図7は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図8は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図9は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図10は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図11Aは、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図11Bは、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図11Cは、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図12は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図13は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図14は、本発明の実施形態に従う製造の様々工程中の一半導体構造の一部分の透視図と断面図を示す。 図15は、ここに述べられる本発明の1つまたはそれ以上の実施形態に従う実装されたシステムの簡易ブロック図を示す。
メモリセル、そのようなメモリセルを含む半導体デバイス及びそのようなメモリセルとデバイスを形成するため方法が開示される。そのようなメモリセルは、例えば、導電ストラップ上に配置された垂直に重なる交互にドープされた領域を含む少なくとも1つのサイリスタ、このサイリスタに関連する制御ゲートを含む。ここに使われるように、“垂直に重なる”という用語は、周囲又は外部表面が一致するように一方が他方の上に配置され又は位置している材料を意味し、そしてそれらを含む。導電ストラップは、サイリスタのために電気的接続を提供してもよい。改善されたスケーラビリティ、減少された面積そして増加されたメモリセル密度を有する半導体デバイスを提供するために、メモリセルは、4Fのサイズを有してもよい。ここで、Fは最小加工寸法(最少フィーチャサイズ)である。メモリとデバイスは、本発明の様々な実施形態に従って形成され、CMOSのような1つ又はそれ以上の論理デバイスと共に集積されてもよく、そして、例えば中央処理装置(CPU)、システムオンチップ(SOC)、センサー、撮像素子、マイクロエレクトロメカニカル・システム(MEMS)、とナノエレクトロメカニカル・システム(NEMS)のようなシステム内に用いられてもよい。そのようなメモリセルとシステムの形成方法が提供される。この方法は、ドープ領域の制御された形成、そしてメモリセルとデバイスの簡単化された集積を可能にする材料移動処理を含んでもよい。
後続の記述は、本発明の実施形態の完全な記述とそれらの実施を提供するために、材料種類と処理条件のような明確な詳細を提供する。しかしながら、当業者は、本発明の実施形態が、これらの明確な詳細を使用せずに、また、従来の製造技術組み合わせ実施されてもよいことを理解するであろう。さらに、ここに提供される記述は、半導体デバイス又はシステムを製造するための完全な処理フローではない。本発明の実施形態を理解するのに必要な処理工程と構造のみがここに詳細に述べられる。本発明のある実施形態に従うメモリデバイスを含む完全なシステム又は集積回路デバイスを形成するための追加工程が従来の技術によって実行されてもよい。
ここに述べられる材料は、限定しないが、スピンコーティング(spin coating)、ブランケットコーティング(blanket coating)、化学蒸着(chemical vapor deposition:CVD)、プラズマCVD(plasma enhanced chemical vapor deposition:PECVD)、原子層堆積(atomic layer deposition:ALD)、プラズマALD(plasma enhanced atomic layer deposition:PEALD)、または、物理気相蒸着(physical vapor deposition:PVD)を含む任意の適切な技術によって形成されてもよい。代わりに、材料は、インサイチュで成長されてもよい。特定の材料を堆積又は成長するための適切な技術は、当業者によって選択されてもよい。ここに述べられ又は開示されている材料は、層として形成されてもよいが、材料は、それに限定されず、そして、他の3次元構成に形成されてもよい。
ここに使われるように、“水平”(horizontal)と“垂直”(vertical)という用語は、ウェハ又は基板の方位に関係なく、ウェハまたは基板の主面または表面にする素子又は構造の相対位置を定義し、そして、参照される図面内で開示されるように、述べられる構造の方位に関して理解されるような互いに直交する次元のことである。ここに使われるように、“垂直”という用語は、開示されるように、ウェハまたは基板の主面に実質的に垂直次元を意味し、そしてそれを含む。“水平”という用語は、開示されるように、ウェハまたは基板の主面に実質的に平行次元を意味し、そして、図面の左と右側の間に拡張する。ここに使われるように、“on”、“over”、“above”と“under”のような前置詞は、述べられる構造に関する垂直方向に対応する関連用語である。
後続の詳細な記載において、参照は付随図面に対してなされ、これの一部を形成し、そして、実例として、本発明の特定の実施形態が実施されてもよいことが示される。これらの実施形態は、当業者が本発明の実施することを可能にするように、十分な詳細で述べられる。しかしながら、他の実施形態が用いられてもよく、そして本発明の範囲から離脱せずに、構造上、論理上、及び電気的の変更がなされてもよい。ここに提示される実例は、任意特定のシステム、論理デバイス、半導体デバイスまたはメモリセルの実際の図形を意図せず、しかし、単に本発明の実施形態を述べるのに用いられる理想化された表現である。ここに提示される図面は、実寸に描かれる必要がない。さらに、図形間共通する要素は、同一の数字名称を保有してもよい。
図2Aは、複数のメモリセル102を含む半導体デバイス100を描いたものであり、各メモリセルは、サイリスタ104と、それに関連する制御ゲート106を含む。半導体デバイス100の複数のメモリセル102は、電気的絶縁材料150の上の導電ストラップ108上に堆積されてもよい。電気的絶縁材料150はまた、誘電材料として特徴付けられてもよい。簡潔のため、電気的絶縁材料150は、以下絶縁材料150として参照される。各サイリスタ104は、一般形状の柱又はピラー(pillar)を含んでもよく、そして、シリコン結晶材料、シリコンゲルマニウム(Si1−xGe)材料、ガリウム砒素(GaAs)材料または窒化ガリウム(GaN)材料のような半導体材料を含む。各サイリスタ104は、カソード領域116、pベース領域114、nベース領域112とアノード領域110のような垂直重なる複数の交互にドープされた領域を含んでもよい。“交互にドープされた領域”(alternately doped regions)または“交互にドープされた半導体領域”(alternately doped semiconductor regions)という用語は、互いに逆導電型にドープされた半導体材料が交互に連続して配設されることを意味し、そしてそのような部分を含む。アノード領域110は、p型の高ドープされたシリコン材料(つまり、p+材料)を含んでもよい。nベース領域112は、n型シリコン材料を含んでもよい。pベース領域114は、p型シリコン材料を含んでもよい。カソード領域116は、高ドープされたシリコンゲルマニウム材料、高ドープされたガリウム砒素又は高ドープされた窒化ガリウム材料のような高ドープされたシリコン材料(つまり、n+材料)を含んでもよい。ここに使われるように、“高ドープされた”(highly doped)という用語は、高ドープされない材料より高濃度のドーパントを有する材料を意味して、そして含む。従って、アノード領域110とカソード領域116は、それぞれpベース領域114とnベース領域112と比較して増加されたドーパント濃度を有する。サイリスタ104は、従来の水平に配置されるサイリスタと比較して実質的に減少される面積を有する。従って、半導体装置100のフットプリント(占有面積)は、従来のT−RAMセルと比較して実質的に減少されるであろう。
非限定例として、メモリセル102は、第一方向Xに延びる複数の行と第二方向Yに延びる複数の列を含むアレイとして配置されてもよい。図2Aに示される半導体デバイス100は、三(3)行と四(のサイリスタ104を含む。しかしながら、実際においてそして構成されるように、半導体デバイス100は、任意の数の行と列を含んでもよい。更に、第一方向Xに配置されるサイリスタ104の行は、第二方向Yに配置されるサイリスタ104の列と実質的に垂直であってもよい。半導体デバイス100は、詳細に述べられるように、従来の論理デバイスを含むウェハ(図示しない)の上の絶縁材料150上に堆積されてもよい。
制御ゲート106(つまり、アクセス線)の各々は、導電材料を含んでもよく、そして各々は、サイリスタ104の少なくとも1つの側壁上に配設されてもよい。ゲート誘電体124は、制御ゲート106とそれに関連する各々のサイリスタ104の間に配設されてもよい。例えば、メモリセル102の各々は、サイリスタ104の1つの側壁上のゲート誘電体124上に配設される制御ゲート106を含んでもよく、又はサイリスタ104の反対側側壁上に配設される制御ゲート106を含んでもよい。非限定例として、制御ゲート106は、第二方向Yに延びてもよく、そして第二方向Yに延びる列内に配置されるサイリスタ104の少なくとも1つの側壁上に配設されてもよい。制御ゲート106又はゲート誘電体124は、それが存在する場合には、nベース領域112又はpベース領域114隣接するカソード領域116と接触せずにサイリスタ104のpベース領域114直接接触してもよい。制御ゲート106の各々は、半導体デバイス100のサイリスタ104をバイアスするため電圧源(図示しない)に、動作可能続されてもよい。
導電ストラップ108の各々は、アモルファスシリコン128と導電材料130を含んでもよく、そして絶縁材料150と複数のサイリスタ104との間に堆積されてもよい。導電ストラップ108は、1つまたはそれ以上のサイリスタ104のカソード領域116への電気的相互接続として機能してもよい。図2Aに示されるように、幾つかの実施形態において、導電ストラップ108の各々は、少なくとも1つの行の下にあって、方向Xに延びてもよい。行内の各々のサイリスタ104のカソード領域116は、下にある1つの導電ストラップ108と電気的に結合されてもよい。故に、導電ストラップ108は、制御ゲート106と実質的に直交するように配置されてもよい。導電ストラップ108の各々はまた、露出されたままの相互接続領域132を含んでもよい。これによって、半導体デバイス100は、下にある従来の論理デバイスのような他のデバイス(図示しない)と電気的に結合されることが可能になる。導電ストラップ108の垂直表面は、1つの行内のサイリスタ104の側壁と位置整合されてもよい。
導電線134は、半導体デバイス100の複数のメモリセル102上に堆積されてもよい。例えば、各々の導電線134は、1つの行内に配置されるメモリセル102の各々のアノード領域110上に堆積されてもよく、そしてそれに接続し、そして、故にアノード領域110の各々への電気的相互接続として機能してもよい。導電線134の各々は、例えば、1つの制御ゲート106上に堆積され、かつ、1つの制御ゲート106に対して実質的に垂直である。
半導体デバイス100の動作中に、制御ゲート106は、空乏ベース領域が作られるように電圧源を用いてバイアスされてもよく、これにより、各々のサイリスタ104のカソード領域116からアノード領域110へ流れる電流を提供する。導電線134の各々は、データ/センス線(つまり、ビット線)として機能してもよく、そして、半導体デバイス100の動作中に、半導体デバイス100のメモリセル102電気的結合される順バイアスを作ってもよい。導電ストラップ108は、各々のメモリセル102のカソード領域116への電気コンタクトとして機能してもよい。
図2Bは、図2Aに示される半導体デバイス100の一部の電気回路図である。メモリセル102は、複数の行118及び列120に配設され、そして各々がサイリスタ104と制御ゲート106を含む。制御ゲート106の各々は、1つの行118内のサイリスタ104に電気的に結合され、そして導電ストラップ108と導電線134の各々は、1つの列120内のサイリスタ104に電気的に結合される。
図3Aは、図2Aに示される半導体デバイス100と実質的に同様の構成を有する半導体デバイス200の実例であるが、サイリスタ104の垂直的に重なるドープされた領域の順序の例外を有する。例えば、サイリスタ104の各々は、図2Aに示されるそれらに関するものとは逆であってもよい。このため、アノード領域110は、1つの導電ストラップ108上に堆積され、nベース領域112は、アノード領域110上に堆積され、pベース領域114は、nベース領域112とカソード領域116との間に堆積される。図2Aに関連して述べられたように、メモリセル102は、第一方向Xに延びる複数の行と第二方向Yに延びる複数の列を含むアレイとして配置されてもよい。半導体デバイス200の制御ゲート106は、サイリスタ104のpベース領域114に沿って堆積されてもよい。
制御ゲート106の各々は、半導体デバイス200のメモリセル102をバイアスするため電圧源(図示しない)に動作可能続されてもよい。半導体デバイス200の動作中に、カソードとして機能してもよい導電線134に電圧が印加されてもよい。一方導電ストラップ108の各々は、データ/センス線(つまり、ビット線)として機能してもよく、そして半導体デバイス200のメモリセル102に電気的に結合される順バイアスを作ってもよい。
図3Bは、図3Aに示される半導体デバイス200の一部の電気回路である。メモリセル102は、複数の行118と列120内に堆積され、そして各々がサイリスタ104と制御ゲート106を含む。制御ゲート106の各々は、1つの行118内のサイリスタ104に電気的に結合され、そして導電ストラップ108と導電線134の各々は、1つの列120内のサイリスタ104に電気的に結合される。
図4は、図2A〜3Bに示される半導体デバイス100と200の一部の断片のトップダウン図である。制御ゲート106は、行内に横に延び、そして導電線134は、列内に縦に延びる。図2Aと2Bに示される半導体デバイス100において、導電線134は、p型結晶シリコン材料を含むドープ領域(図示されない)上にある。図3Aと3Bに示される半導体デバイス200において、導電線134は、n型結晶シリコン材料を含むドプ領域(図示されない)上にある。メモリセル102の各々は、4Fのセルサイズを有する。4Fのセルサイズは、半導体デバイス100の動作中にカソード又はビット線として導電ストラップ108を提供することによって成し遂げられる。
図5〜15参照して、図2A〜4に示されるような各々がサイリスタ104を含む複数のメモリセル102を含む半導体デバイス100と200の形成方法が述べられる。ここで、同様な要素は、同様な番号で示される。ドープされた材料140を有する基板138と、その上に形成された導電材料130及びアモルファスシリコン128とを含むドナーウェハ136が形成されてもよい。基板138は、全部又は一部が半導体材料(例えば、シリコン、シリコンゲルマニウム、ガリウム砒素、リン化インジウム 、等)からなるウェハや全部又は一部がsilicon−on−glass(SOG)、silicon−on−ceramic(SOC)、silicon−on−sapphire(SOS)等のようなsilicon−on−insulator(SOI)からなるウェハのような製造基板、又は他に知られている適切な製造基板を含んでもよい。ここに使われるように、“ウェハという用語は、従来のウェハと同様に他のバルク半導体基板を含む。1つの実施形態において、基板138は、結晶シリコン材料を含んでもよい。基板138は、ドープされてもよく、又はドープされなくてもよい。1つの実施形態において、基板138は、図2Aに示される半導体デバイス100を形成するために用いられるp型シリコン材料を形成するためにp型不純物ドープされてもよい。他の実施形態において、基板138は、図3Aに示される半導体デバイス200を形成するために用いられるn型シリコン材料を形成するためにn型不純物ドープされてもよい。
図2Aと3Aに関連して述べられたように、ドープされた材料140(図5)は、更に後述されるように、図2Aに示される半導体デバイス100のカソード領域116を形成するために用いられる高ドープされたn型材料を含んでもよく、又は図3Aに示される半導体デバイス200のアノード110領域を形成するために用いられる高ドープされたp型材料を含んでもよい。ドープされた材料140は、基板138上に従来のイオン注入を実施することによって形成されてもよい。1つの実施形態において、ドープされた材料140は、基板138内に砒素(As)、リン(P)、又はアンチモン(Sb)のようなn型不純物のイオンを注入することによって高ドープされたn型シリコン材料(つまり、n+材料)を含むように形成されてもよい。更に詳細に述べられるように、ドープされた材料140は、図2Aに示される半導体デバイス100のカソード領域116を形成するために用いられてもよいn+材料を含む。他の実施形態において、ドープされた材料140は、基板138内にホウ素(B)のようなp型不純物のイオンを注入することによって高ドープされたp型シリコン材料(つまり、p+材料)を含むように形成されてもよい。更に詳細に述べられるように、ドープされた材料140は、図3Aに示される半導体デバイス200のアノード領域110を形成するために用いられてもよいp+材料を含む。ドープされた材料140は、導電材料130に前又は後に形成されてもよく、そしてアモルファスシリコンは、ドナーウェハ136上に形成される。他の実施形態において、移動度の改善及びドープされた材料140内のドーパントの拡散のよりよい制御を提供するために、ドープされた材料140は、ドープされたシリコンゲルマニウム、ドープされたガリウム砒素、又はドープされた窒化ガリウムをエピタキシャル成長又は堆積することによって形成されてもよい。
導電材料130は、限定されないが、相変化材料、チタン、ケイ化チタン、酸化チタン、窒化チタン、タンタル、ケイ化タンタル、酸化タンタル、窒化タンタル、タングステン、ケイ化タングステン、酸化タングステン、窒化タングステン、他の金属、ケイ化金属、酸化金属、窒化金属、又は複数異なる導電材料を含むそれらの組み合わせを含む低抵抗率の材料であってもよい。1つの実施形態において、導電材料130は、酸化チタンから形成されてもよい。なぜならば、酸化チタンは、基板138として用いられる材料のような多くの材料に対してよい粘着性又は粘着力を有する。酸化チタンはまた、高処理温度によって影響されない高融点(大よそ3000℃)を有する。酸化チタンはまた、他の導電材料との優れるオーミックな接続を成し遂げる。酸化チタンはまた、半導体製造において共通に用いられており、そして、故に従来の製造プロセスに容易に組み込める。1つの実施形態において、導電材料130は、金属モード酸化チタン(MMTin)のようなチタン富有の酸化チタンである。導電材料130はまた、複数の導電材料から形成されてもよい。他の実施形態において、上に形成される酸化チタン材料の層を持つチタン、タングステン又はアルミのような金属から形成されてもよい。低いオーミックの接続を提供するために、導電材料130の厚さは、材料に依存して最適されてもよい。例えば、導電材料130は、MMTinのような酸化チタンであれば、導電材料130は、大よそ10nmから大よそ50nmまでの厚さを有してもよい。導電材料130は、例えば、原子層エピタキシー(atomic layer deposition:ALD)、化学蒸着(CVD)、又はプラズマ蒸着(PVD)のようなよく知られている堆積技術によって形成されてもよい。
アモルファスシリコン128は、例えば、ALD、CVD、又はPVDのようなよく知られている堆積技術によって導電材料130の上に形成されてもよい。1つの実施形態において、アモルファスシリコン128は、PVD及びそれに続く化学機械研磨(Chemical−Mechanical Polishing:CMP)によって導電材料130の上に形成されてもよい。アモルファスシリコン128の厚さは、大よそ10nmから大よそ80nmまでであってもよい。
ドナーウェハ136はまた、基板138内に原子種を注入することによって形成される移動領域146を含んでもよい。原子種は、水素イオン、不活性ガス又は希ガスと称する稀ガスのイオン、又はフッ素のイオンであってもよい。図5内の折線によって表現される注入されたゾーン148を形成するために、原子種は、ドナーウェハ136の基板138内に注入されてもよい。原子種は、導電材料130又はアモルファスシリコン128が基板138上に形成される前に又は後に、基板138内に注入されてもよい。注入されたゾーン148は、基板138内の要望される深さまで形成されてもよい。この深さは、当技術分野において既知のように、原子種の注入量とエネルギーのようなパラメータに依存する。注入されたゾーン148の深さは、図2Aと3Aに示されるサイリスタ104の要望される深さに基づいて制御されてもよい。注入されたゾーン148は、注入された原子種を含む微小なバブル又は微小な空洞を含んでいる可能性があり、基板138内に損傷した領域を与える。ドナーウェハ136は、注入が成し遂げられる温度以上であるが導電材料130の熔解温度以下で熱処理されてもよく、ドナーウェハ136内の結晶再配置及び微小なバブル又は微小な空洞の融合を成し遂げる。後述のように、図6に示される半導体構造172’を形成するために、ドナーウェハ136は、注入されたゾーン148において切断されてもよい。
図6に示されるように、ドナーウェハ136のアモルファスシリコン128が絶縁材料150と接触するように、ドナーウェハ136は、アクセプタウェハ152の上の絶縁材料150上に重ねられてもよい。アクセプタウェハ152を形成するために、絶縁材料150は、例えば、ALD、CVD又はPVDのような当技術分野において既知の従来の堆積技術を用いてバルク基板154上に形成されてもよい。例えば、バルク基板154は、シリコン基板を含んでもよい。相補型金属酸化物半導体(CMOS)デバイス156のような少なくとも部分的に製造された従来の論理デバイスは、バルク基板154上に随意に形成されてもよく、そして従来の技術によって形成されてもよい。例えば、CMOSデバイス156は、バルク基板154内のソース領域160とドレイン領域162間に堆積される電界効果トランジスタ(FET)158のアレイを含んでもよい。FETの各々は、スペーサー166間に堆積されるゲート誘電体163とゲート電極164を含んでもよい。誘電体材料168は、FET158の各々のバルク基板154とゲート電極164の間に随意に形成されてもよい。CMOSデバイス156は、FET158を相互接続する複数の線相互接続170を更に含んでもよい。
ドナーウェハ136のアモルファスシリコン128は次に、熱への暴露によってアクセプタウェハ152の絶縁材料150に結合されてもよい。アクセプタウェハ152にドナーウェハ136を結合させる前に、アモルファスシリコン128の表面と絶縁材料150の表面の少なくとも1つが随意に処理されてもよく、それらの間の結合強さを改善する。そのような処理技術は、当技術分野において既知であり、例えば、化学的活性化、プラズマ活性化、又は注入活性化を含んでもよい。例えば、絶縁材料150の表面は、希釈水酸化アンモニア(dilute ammonia hydroxide)溶液又はフッ化水素溶液によって処理されてもよい。プラズマ活性化表面を形成するために、アモルファスシリコン128の表面はまた、例えば、アルゴンのプラズマによって暴露されてもよい。アモルファスシリコン128の表面と絶縁材料150の表面の少なくとも1つを活性化することは、後続のそれらの結合の運動を活性化させるであろう。これは、アモルファスシリコン128の表面と絶縁材料150の表面上に造られたイオン化原子種の増加された移動度によるものである。
まだ図6を参照し、半導体構造172’を形成するために、ドナーウェハ136のアモルファスシリコン128は、アクセプタウェハ152の絶縁材料150と接触して結合されてもよい。アモルファスシリコン128は、例えば、半導体構造172’を大よそ300℃から大よそ400℃までの600℃以下の温度に加熱することによって絶縁材料150に結合されてもよい。絶縁材料150は、二酸化シリコンから形成されていれば、アモルファスシリコン128と絶縁材料150との間に酸化シリコンが形成されてもよい。導電材料130は、金属又は他の熱に敏感な材料によって形成されるため、半導体構造172’が暴露される温度は、導電材料130の融点より少ないであろう。アモルファスシリコン128と絶縁材料150はまた、熱不要で大気温度(大よそ20℃から大よそ25℃まで)において、結合されてもよい。アモルファスシリコン128を絶縁材料150に結合させるために、ドナーウェハ136とアクセプタウェハ152に圧力が加えられてもよい。ドナーウェハ136がアクセプタウェハ152に結合されれば、ドナーウェハ136からの導電材料130は、絶縁材料150と基板138との間に配設される埋め込み導電材料を形成してもよい。
図7に示す半導体構造172を形成するために、移動領域146は次に、基板138から除去されてもよい。移動領域146は、注入されたゾーン148(図6)にせん断力を加えることによる又は注入されたゾーン148において熱又はジェットガス流を加えることによるような当技術分野において既知の技術によって除去されてもよい。注入されたゾーン148に注入された水素又は他のイオンは、基板138内に損傷した領域を作り、分裂しやすくなっている。基板138’の残りの部分は、ある厚さを有してもよく、例えば大よそ50nmから300nmまで(大よそ500Åから大よそ3000Åまで)である。基板138’の残りの部分から移動領域146を隔離した後、基板138’の露出された表面176は、望ましくないでこぼことなるであろう。述べられたように、例えば、研磨、ウェットエッチング、と化学的機械研磨(CMP)の1つ又はそれ以上のような当技術分野において既知の技術に従って更なる処理を容易にするために、基板138’の露出された表面176は、なめらかにされてもよい。
半導体構造172は、SMART−CUT(登録商標)層移動技術の修正によって形成されてもよい。SMART−CUT(登録商標)層移動技術は、例えば、Bruelへの米国特許RE39,484、Asparらへの米国特許6,303,486、Asparらへの米国特許6,335,258、Moriceauらへの米国特許6,756,286号、Asparらへの米国特許6,809,044、Asparらへの米国特許6,946,365、及びDuPontへの米国特許出願2006/0099776に詳細に述べられている。しかしながら、十分に低処理温度が維持されれば、埋め込み導電材料を有する半導体構造を製造するための適切な他の処理はまた、使用されてもよい。SMART−CUT(登録商標)層移動技術の従来の実施において、高温度アニールを用いて、ドナーウェハとアセプタウェハが共に結合される。ドナーとアセプタウェハを結合するのに用いられる温度は、大よそ1000℃から大よそ1300℃である。しかしながら、ここに述べられたように、半導体構造内に導電材料130の存在のために、本発明の半導体構造は、熱破損せずにそのような温度に暴露されるのに耐えることが不可能であろう。従って、上述のように、アセプタウェハ152とドナーウェハ136を結合するためにより低温度が用いられてもよい。半導体構造172を形成するための模範的な方法は、2010年3月2日に出願の“SILICON−METAL−ON−INSULATOR STRUCTURES,METHODS OF FORMING SUCH STRUCTURES,AND SEMICONDUCTOR DEVICES INCLUDING SUCH STRUCTURES”という題名の米国特許出願第12/715,704号に詳細に述べられる。図6と7は、半導体構造172を形成するための方法の1つの実施形態を説明しているが、半導体構造172を形成するために、米国特許出願第12/715,704号に述べられる任意の方法、又は他の知られている方法が使用されてもよい。
図8を参照し、導電ストラップ108を形成するために、基板138’の一部分、ドープされた材料140、導電材料130とアモルファスシリコン128が除去されてもよい。導電ストラップ108の各々は、図2Aに示される半導体デバイス100内のカソード相互接続として、又は、図3Aに示される半導体デバイス200内のビット線として機能してもよい。図5〜7に関連して述べられる結合及び材料移動処理による埋め込み導電材料130を含む半導体構造172の形成は、導電ストラップの形成を可能にする。これは、従来のシリコンウェハ又は従来のシリコンオンインシュレーター(SOI)構造のいずれを用いても可能ではない。簡潔のために、図6と7内の絶縁材料150の下にあるアセプタウェハ152は、残りの図から省略される。導電ストラップ108は、基板138’上にマスク材料(図示されない)を堆積し、そして、このマスク材料をパターニングして、基板138’の表面が露出する開口を形成することによって形成されてもよい。マスク材料は、例えば、フォトレジスト材料、酸化物材料、透明炭素又はアモルファス炭素を含んでもよい。形成及びパターンニング技術は、当技術分野において既知であるため、ここに詳細に述べられない。マスク材料内の開口を通じて露出された基板138’の一部分、ドープされた材料140、導電材料130とアモルファスシリコン128が除去されてもよく、基板138’の一部分、ドープされた材料140、導電材料130とアモルファスシリコン128の各々の残り部分の間にスロット178を形成する。マスク材料の残りの部分は、次に除去される。
非限定例の方法によって、スロット178は、基板138’ドープされた材料140、導電材料130、及びアモルファスシリコン128の各々を通って第一方向Xに延びるように形成されてもよい。下にある絶縁材料150を露出させるために、基板138’、ドープされた材料140、導電材料130、及びアモルファスシリコン128の一部分は、例えば、異方性の反応性イオン(つまり、プラズマ)エッチング処理によって除去されてもよい。例えば、基板138’とドープされた材料140の各々は、ドープされた結晶シリコン材料から形成されていれば、酸素(O)ガス、四フッ化炭素(CF) ガスと臭化水素 (HBr)ガスを用いる反応性イオンエッチング(RIE)処理が実行されてもよく、マスク材料と絶縁材料150に対してドープされたシリコン材料の一部分を選択的に除去する。導電材料130は、窒素化チタン又はケイ化タングステンから形成されていれば、臭素含有ガスとフッ素含有ガスの混合物又はフッ素含有ガスと塩素含有ガスの混合物を用いて、マスク材料と絶縁材料150に対して選択的に、窒素化チタンとアモルファスシリコン材料を除去できる
図9に示されるように、充填(fill)材料180は、半導体構造172上に形成されてもよい。非限定例の方法により、充填材料180は、酸化物材料、窒化物材料又はスピンオンガラス(SOG)材料を含んでもよく、そして化学蒸着処理を用いて堆積されてもよい。充填材料180の形成後に、半導体構造172の上面174が実質的に平らになるようにそれらの残りの部分を除去するために化学的機械研磨(CMP)処理が用いられてもよい。
図10は、複数の柱182を形成し、導電ストラップ108の相互接続領域132を露出さるために基板138’とドープされた材料140(図9)の部分が除去された後の半導体構造172を示す。残りの図において、説明の簡素性及び透明性のために、充填材料180が省略される。柱の各々は、基板138”の残りの部分とドープされた材料140’を含む。1つの実施形態において(図10に示される)、図2Aに示される半導体デバイス100の1つのサイリスタ104を形成するために、柱182の各々は、カソード領域116とpベース領域114を含んでもよい。他の実施形態において(図示されない)、図3Aに示される半導体デバイス200のサイリスタ104を形成するために、各々の柱182は、アノード領域110とnベース領域112を含んでもよい。柱182は、半導体構造172上にマスク材料(図示されない)を堆積し、そして基板138’の表面が露出するように貫く開口を形成するようにマスク材料をパターニングすることによって形成されてもよい。随意に、充填材料180の表面は、マスク材料を通じて露出させてもよい。マスク材料は、例えば、フォトレジスト材料、酸化物材料、透明炭素又はアモルファス炭素を含んでもよい。形成及びパターンニング技術は、当技術分野において既知であるため、ここに詳細に述べられない。マスク材料内の開口を通じて露出させられた基板138’及びドープされた材料140の一部分が除去されて、柱182を形成し、そして導電ストラップ108の相互接続領域132を露出させてもよい。例えば、基板138’とドープされた材料140の各々は、ドープされた結晶シリコン材料から形成されていれば、反応性イオンエッチング(RIE)処理が実行されてもよく、導電ストラップ108から導電材料130を除去せずにドープされたシリコン材料の一部分を選択的に除去する。柱182の各々は、大よそ2:1から大よそ20:1まで、より具体的に、大よそ3:1から大よそ10:1までアスペクト比を有するように形成されてもよい。図10に示される柱182は、それらの間にある導電材料130の表面を露出させるためにドープされた材料140(図9)を完全に除去することによって形成される。構成されたように、柱182は、破線で示されるように、導電ストラップ108上にドープされた材料140の少なくとも一部分が残るように基板138’(図9)又はその一部分のみを除去することによって形成されてもよい。
1Aに示されるように、垂直サイリスタ12を形成する従来の方法において、カソード領域は、従来のドープ処理によって形成され、ドーパントが垂直サイリスタ12の上面からカソード領域24まで注入される。そのようなドープ処理は、しばしば望ましくないドーパント又は不純物の濃度又は分布をもたらす。故に、図1Aに示される垂直サイリスタ12のカソード領域24のような材料の実質上の深さまでドーパント又は不純物を導入するために有効ではない。それに対して、図5〜7に関連して述べられる結合と材料移動処理は、材料移動の前に基板138、138’とドープされた材料140のドープを可能にする。従って、ドーパント濃度又は分布における均一度のような基板138、138’とドープされた材料140の質が実質的に改善される。柱182を形成する前に、基板138、138’とドープされた材料140がドープされてもよいため、本発明の方法は、図2Aに示される半導体デバイス100のカソード領域116とpベース領域114、及び図3Aに示される半導体デバイス200のアノード領域110とnベース領域112のドーパント濃度の制御と最適化を可能にする。
柱182は、柱182が第一方向Xに整列される複数の行及び柱182が第二方向Yに整列される複数の列を含むアレイとして形成されてもよい。相互接続領域132導電ストラップ108とその下又は上にある(図示されない)半導体構造と電気的に接続するのに有用である互い違いの構成を有するように、基板138’とドープされた材料140が除去されてもよい。
図11A〜11Cは、柱182上に制御ゲート106を形成する方法の実施形態を説明し、半導体デバイス172上にゲート誘電体材料184と導電材料186が堆積された後の図10に示される半導体デバイス172の視図である。図11Aは、柱182間に堆積された充填材料180を有する半導体デバイス172を説明する。図11Bに示されるように、ゲート誘電体材料184と導電材料186は、半導体構造172上にコンフォーマルに形成されてもよい。非限定例の方法により、ゲート誘電体材料184は、例えば、化学蒸着処理、熱酸化処理又はそれらの組み合わせを用いて形成される酸化物材料又は窒化物材料であってもよい。導電材料186は次に、ゲート誘電体材料184上に形成されてもよい。非限定例として、導電材料186は、窒化チタン、窒化タリウムタングステン又はアルミから形成されてもよく、そして、化学蒸着処理によって堆積されてもよい。大よそ50Åと100Åの間の厚さを有するポリシリコン(多結晶シリコン)のようなライナー材料(図示されない)は、導電材料186上に堆積されてもよい。図11Cを参照し、異方性のドライエッチング処理が実施されてもよく、ライナーと導電材料186の一部分を除去し、導電材料186の残り部分とその上のライナーからなるスペーサー(図示されない)を形成する。スペーサーをハードマスクとして用い、例えば、水酸化アンモニウム(NHOH)、過酸化水素(H)と脱イオン水を用いるウェットエッチング処理が実施されて、導電材料186をアンダーカット、図12に示される制御ゲート106を形成してもよい。スペーサーは、次に除去されてもよい。例えば、スペーサーポリシリコンを含めば、水酸化テトラメチルアンモニウム(TMAH)を用いるウェットエッチングが用いられてもよく、制御ゲート106とゲート誘電体124に対して選択的に、ポリシリコン材料を除去する。制御ゲート106とゲート誘電体124は、当技術分野において既知の任意の技術を用いて形成されてもよい。
図11A〜11Cに示される実施形態において、制御ゲート106は、柱182の2つの表面上に形成される。しかしながら、制御ゲート106はまた、柱182の片側上に形成されてもよい。例えば、制御ゲート106の形成後に、マスク材料(図示されない)、半導体構造172上に堆積されてもよく、そしてパターンニングされ、柱182の片側上の制御ゲート106の表面が露出され複数の開口を形成する。制御ゲート106は、柱182の片側上に残るように、制御ゲート106の各々の露出された部分を除去するために従来のエッチング処理が実施されてもよい。
図12に示されるように、ゲート誘電体124は、随意に、制御ゲート106に対して選択的除去される。図2Aに示される半導体デバイス100のnベース領域112、又は図3Aに示される半導体デバイス200のpベース領域114を形成するために、柱182の各々の上位領域ドーパント又は不純物注入されてもよい。柱182の露出された部分は、イオン注入処理又は高温拡散処理のような従来の方法によってドープされてもよい。1つの実施形態において、n型材料が形成されるように、図2Aに示される半導体デバイス100のサイリスタ104のnベース領域112は、リン又は砒素のようなn型ドーパントに半導体デバイス172を暴露させることによって形成されてもよい。他の実施形態において、p型材料が形成されるように、図3Aに示される半導体デバイス200のサイリスタ104のpベース領域114は、ホウ素又はアルミニウムのようなp型ドーパントに半導体デバイス172を暴露させることによって形成されてもよい。他の例として、高ドープされたp型材料又は高ドープされたn型材料の薄膜(図示されない)、柱182の露出された表面上に堆積されてもよく、そして望まれるドープされた材料(つまり、図2Aに示されるnベース領域112又は図3Aに示されるpベース領域114)を作り出すために、柱182内に高ドープされたp型材料又は高ドープされたn型材料からのドーパントが移動されるように熱アニール処理が実施されてもよい。
図13に示されるように、図2Aに示される半導体デバイス100のアノード領域110、又は図3Aに示される半導体デバイス200のカソード領域116を形成するために、柱182の各々の上位領域ドーパント又は不純物注入されてもよい。図12に関連して述べられるように、柱182の露出された部分は、従来の方法によってドープされてもよい。1つの実施形態において、高ドープされたp型材料が形成されるように、図2Aに示される半導体デバイス100のアノード領域110は、p型ドーパントに半導体デバイス172を暴露させ、又は柱182の露出された表面上に高ドープされたp型材料を堆積し、そして熱アニールを実施することによって形成されてもよい。他の実施形態において、高ドープされたn型材料が形成されるように、図3Aに示される半導体デバイス200のカソード領域116は、n型ドーパントに半導体デバイス172を暴露させ、又は柱182の露出された表面上に高ドープされたn型材料を堆積し、そして熱アニールを実施することによって形成されてもよい。
図2Aに示される半導体デバイス100のアノード領域110とnベース領域112、又は、図3Aに示される半導体デバイス200のカソード領域116とpベース領域114の形成は、図5に示される行為の後に実施されると上述されたが、これらの領域はまた、図5〜7に関連して述べられた結合及び材料移動処理による半導体構造172の形成の前に形成されてもよい。図14に示されるように、ドナーウェハ136’は、図5に示されるドナーウェハ136と類似する構造を有するように形成されてもよく、そして第一材料142と第二材料144を追加的に含んでもよい。第一材料142と第二材料144は、従来のドープ処理によって形成されてもよく、ドナーウェハ136’のいずれの第一主面188又は第二主面190をドーパント又は不純物に暴露させることによって実施されてもよい。1つの実施形態において、基板138は、高ドープされたn型材料含んでもよく、第一材料142は、p型材料含んでもよく、第二材料144は、n型材料含んでもよく、そしてドープされた材料140は、高ドープされたp型材料含んでもよい。そのようにして、図10に関連して述べられた柱182の形成により、図2Aに示される半導体デバイス100のサイリスタ104が形成される。他の実施形態において、基板138は、高ドープされたp型材料含んでもよく、第一材料142は、n型材料含んでもよく、第二材料144は、p型材料含んでもよく、そしてドープされた材料140は、高ドープされたn型材料含んでもよい。そのようにして、図10に関連して述べられた柱182の形成により、図3Aに示される半導体デバイス200のサイリスタ104が形成される。図5〜7に関連して述べられる結合及び材料移動処理の前に基板138ドープすること故に注入されるドーパントの濃度と分布が制御され、そして最適化される。
使用及び動作中に、制御ゲート106にある電圧が印加され、図2A〜2Bに示されるサイリスタ104のカソード領域116からアノード領域110へ流れる電流を引き起こす。制御ゲート106の形成中に、サイリスタ104の制御ゲート106とそれに関連するpベース領域114との間にオーバーラップが発生するであろう。そのようなオーバーラップは、キャパシタンスを作り、そして完成されたデバイスの動作中に漏れ電流を増加し、故にアレイの密度を制限する。従って、制御ゲート106と、pベース領域114に接するカソード領域116及びnベース領域112とのオーバーラップを最小にするように、pベース領域114とそれに関連する制御ゲート106が形成されてもよ。例えば、pベース領域114上に延びる制御ゲート106の一部分、制御ゲート106、pベース領域114と、カソード領域116及びnベース領域112の各々の間の界面を越えて延びないように、例えば、従来のプラズマエッチング処理を用いて除去されてもよい
図2Aと3Aに戻って参照し、半導体デバイス100と200を形成するために、導電線134は、サイリスタ104上に接触するように形成されてもよい。導電線134は、導電材料を堆積し、そして導電材料をパターンニングし、実質的に制御ゲート106と垂直第一方向Xに延びる導電線を形成することによって形成される。1つの実施形態において、導電線134は、従来のダマシン又はサブトラクティブ処理を用いて形成されてもよい。例えば、導電材料(図示されない)は、半導体構造172(図12)上に形成されてもよく、そしてフォトレジスト材料内の開口を通じてそれらの一部分が除去され導電線134を画定する。他の実施形態において、導電線134は、従来のリソグラフィー処理を用いて形成されてもよい。例えば、犠牲誘電体材料(図示されない)は、半導体構造172上に形成されてもよく、そして従来のリソグラフィー処理を用いてトレンチのパターン(図示されない)がそこに形成されてもよい。トレンチの各々は、導電線134が形成される場所に形成されてもよい。トレンチを満たすように、導電材料は、半導体構造172上に堆積されてもよく、そして導電線134を形成するために誘電体材料の上にある導電材料の一部分を除去するのに化学機械研磨処理が用いられてもよい。
更に、図2Aと3Aにそれぞれ示され半導体デバイス100及び200のうちの一方を形成した後、図5〜13に示される方法が繰り返されて、複数の垂直スタックされた半導体デバイス、メモリ又は論理を含むマルチレベル半導体デバイスを形成し、そして増加されたメモリ密度を有する。
上述のように、導電線134は、図2A及び2Bに示され半導体デバイス100の動作中にデータ線(つまり、ビット線)として機能し、また、図3A及び3Bに示され半導体デバイス200の動作中にカソードとして機能する。
図15は、ここに述べられた1つ又はそれ以上の実施形態に従って実施される電子システム300のブロックダイアグラムを示す。電子システム300は、少なくとも1つの入力デバイス302、少なくとも1つの出力デバイス304、1つ又はそれ以上のプロセッサ306のようなメモリアクセスデバイス、そして1つ又はそれ以上のメモリデバイス308を含む。メモリデバイス308は、ここに述べられた少なくとも1つのデバイス又は方法の実施形態を合体させた少なくとも1つの半導体メモリ310を含む。電子システム300は、計算、処理、そして消費者製品のある数の一部であってもよい。非限定例として、それらの製品の幾つかは、パーソナルコンピュータ、携帯用デバイス、カメラ、電話、無線デバイス、ディスプレイ、チップセット、セットトップボックス、ゲーム、そして車両を含んでもよい。
まとめ
幾つかの実施形態において、本発明はメモリセルを含み、このメモリセルは、基板上に配設された導電ストラップと、前記導電ストラップ配設され、かつ、複数の交互にドープされた垂直に重なる半導体領域を含むサイリスタと、前記サイリスタの前記複数の交互にドープされ垂直に重なる半導体領域のうちの1つの上に配設された制御ゲートと、を含む。基板上に配設された前記導電ストラップは、アモルファスシリコンの上の導電材料を含んでもよい。前記導電ストラップの少なくとも2つの側壁は、前記サイリスタの側壁に位置整合されていてもよい。前記サイリスタは、互いに垂直に重なり合った、カソード領域、pベース領域、nベース領域、及びアノード領域を含んでもよい。前記制御ゲートは、前記n型シリコンの露出され側壁上に配設されてもよい。前記制御ゲートは、電圧源に動作可能続されてもよい。メモリセルは、4Fのセルサイズを有してもよい。
別の実施例において、本発明は半導体デバイスを含み、この半導体デバイスは、少なくとも1つの導電ストラップと、前記少なくとも1つの導電ストラップ上に配設された複数のメモリセルと、前記複数のメモリセルの少なくとも一部分と電気的に結合されたデータ線とを含む。前記複数のメモリセルの各々は、4Fのセルサイズを有してもよい。前記少なくとも1つの導電ストラップは、ウェハ上に形成され論理デバイスの上の電気的絶縁材料上に配設されてもよい。前記サイリスタは、3つの半導体接合を形成する交互のドーパント型の4つドープされた領域を含む。アレイを形成するために、前記複数のメモリセルは、第一方向に延びる複数の行に整列され、そして第一方向と垂直第二方向に延びる複数の列に整列されてもよい。前記複数のメモリセルの各々のサイリスタは、前記少なくとも1つの導電ストラップ上に配設された高ドープされたn型領域、高ドープされたn型領域上に配設されたp型領域p型領域上に配設されたn型領域と、n型領域上に配設された高ドープされたp型領域を含む。
更なる実施形態において、本発明は、メモリアレイを含む。前記メモリアレイは、実質的に、第一方向の複数の行及び第一方向と垂直第二方向の複数の列に整列され複数のサイリスタと、前記複数のサイリスタの各々は、複数の垂直に重なる交互にドープされた領域を含み、そして前記複数の列の内少なくとも1つの前記複数のサイリスタの各々の前記複数の垂直に重なる交互にドープされた領域の1つと電気的に接続する少なくとも1つの制御ゲートとを含む。前記メモリセルは、複数の導電ストラップを更に含み、各々は、前記複数の行の内1つに整列される前記複数のサイリスタの各々と電気的に結合される。前記少なくとも1つの制御ゲートは、前記複数の列の内1つに整列される前記複数のサイリスタの各々の少なくとも1つの側壁上に堆積されてもよい。前記メモリセルのアレイの前記複数の行の内1つの前記複数のサイリスタの各々は、前記複数の導電ストラップの1つ上に堆積されてもよい。更に、前記複数の導電線は、前記複数の行の内1つに整列された前記サイリスタ上に堆積されてもよく、そしてそれに整列されてもよい。メモリアレイは、前記複数の導電ストラップの少なくとも1つと電気的に結合する少なくとも1つの論理デバイスを更に含む。
更なる実施形態において、本発明は半導体デバイスの形成方法を含む。前記形成方法は、電気的絶縁材料の上のアモルファスシリコンと、前記アモルファスシリコンの上の導電材料と、前記導電材料の上のドープされた材料と、前記ドープされた材料の上のドープされた結晶シリコンとを含む半導体構造を形成することと、前記電気的絶縁材料を露出する複数のチャネルを形成するために前記ドープされた結晶シリコン、前記ドープされた材料、前記導電材料、及び前記アモルファスシリコンの各々の一部分を除去することと、複数の柱を形成するために前記ドープされた結晶シリコン及び前記ドープされた材料の一部分を除去することであって前記複数の柱の各々は第一ドープ領域と前記第一ドープ領域に対して反対にドープされ第二ドープ領を含む、ことと、前記複数の柱の各々の少なくとも1つの表面上に制御ゲートを形成することと、前記第二ドープ領域に対して反対にドープされ第三ドープ領域を形成するために前記複数の柱を第一ドーパントに暴露することと、第四ドープ領域を形成するために前記複数の柱を第二ドーパントに暴露することと、を含む。前記形成方法は、前記複数の柱の各々の前記第四ドープ領域上に接触して導電線を形成することを更に含んでもよい。前記半導体構造は、前記導電材料の上のアモルファスシリコンを含むドナーウェハを形成することであって、前記導電材料は結晶シリコンウェハ上に配設されたドープされた材料の上にある、ことと、前記結晶シリコンウェハ内に所定の深さまでイオンを注入することと、前記ドナーウェハの前記アモルファスシリコンをアセプタウェハ上の電気的絶縁材料に取り付けることと、前記結晶シリコンウェハ、前記ドープされた材料、前記導電材料、及び前記アセプタウェハの前記電気的絶縁材料の表面の上の前記アモルファスシリコンの一部分を残して、前記ドナーウェハ一部分を切り離すことと、によって形成される。前記半導体構造は、前記電気的絶縁材料の下にあるウェハ上に形成され論理デバイスを含んでもよい。
更なる実施形態において、本発明は、少なくとも1つのメモリアクセスデバイスと、前記少なくとも1つのメモリアクセスデバイスに動作可能に結合された少なくとも1つの半導体デバイスと、を含むシステムを含む。前記少なくとも1つの半導体デバイスは、少なくとも1つの導電ストラップと、前記少なくとも1つの導電ストラップ上に配設された複数のメモリセルと前記複数のメモリセルの少なくとも一部分と電気的に結合されたデータ線とを含む。前記複数のメモリセルの各々は、サイリスタとサイリスタに関連する制御ゲートを含む。前記サイリスタの各々は、複数の垂直に重なる交互にドープされた半導体領域を含んでもよい。前記システムの前記複数のメモリセルの各々は、4Fのセルサイズを有してもよい。
本発明は、特定の説明された実施形態とそれらの変形に関して述べられたが、当業者には本発明はそれらに限定されないことが理解されるべきである。むしろ、特許請求の範囲によって規定される発明の範囲及びそれらの法的均等物から逸脱することなく、説明された実施形態への追加、削除そして修正は有効であろう。

Claims (17)

  1. 基板上に配設された導電ストラップであって、前記導電ストラップは、前記基板の上の電気的絶縁材料上に配設されたアモルファスシリコンの上の導電材料を含む、導電ストラップと、
    前記導電ストラップ上に配設され、かつ、複数の交互にドープされた垂直に重なる半導体領域を含むサイリスタと、
    前記サイリスタの前記複数の交互にドープされた垂直に重なる半導体領域のうちの1つの上に配設された制御ゲートと、
    を含むことを特徴とするメモリセル。
  2. 基板上の少なくとも1つの導電ストラップであって、前記導電ストラップは、前記基板の上の電気的絶縁材料上に配設されたアモルファスシリコンの上の導電材料を含む、少なくとも1つの導電ストラップと、
    前記少なくとも1つの導電ストラップ上に配設された複数のメモリセルであって、前記複数のメモリセルの各々は、複数の交互にドープされた垂直に重なる半導体領域を含むサイリスタと、前記サイリスタに関連する制御ゲートとを含む、複数のメモリセルと、
    前記複数のメモリセルの少なくとも一部分と電気的に結合されたデータ線と、
    を含むことを特徴とする半導体デバイス。
  3. 前記複数のメモリセルの各々は、4Fのセルサイズを有する、ことを特徴とする請求項2記載の半導体デバイス。
  4. 前記少なくとも1つの導電ストラップは、論理デバイスの上にある、ことを特徴とする請求項2記載の半導体デバイス。
  5. 共に集積された少なくとも1つの論理デバイスを更に含む、ことを特徴とする請求項2記載の半導体デバイス。
  6. メモリアレイであって、前記メモリアレイは、
    メモリセルのアレイであって、
    実質的に、第1方向の複数の行と前記第1方向とは垂直な第2方向の複数の列とに整列された複数のサイリスタであって、前記複数のサイリスタの各々は、複数の垂直に重なる交互にドープされた半導体領域を含む、複数のサイリスタと、
    前記複数の列の内の少なくとも1つの前記複数のサイリスタの各々の前記複数の垂直に重なる交互にドープされた領域の1つと電気的に結合された少なくとも1つの制御ゲートと、
    を含む、メモリセルのアレイと、
    複数の導電ストラップであって、前記複数の導電ストラップの各々は、複数の行の内の少なくとも1つの前記複数のサイリスタの各々と電気的に結合されており、前記複数の導電ストラップは、個々に、基板の上の電気的絶縁材料上に配設されたアモルファスシリコンの上の導電材料を含む、複数の導電ストラップと、
    を含むことを特徴するメモリアレイ。
  7. 前記少なくとも1つの制御ゲートは、前記複数の列の内の1つに整列された前記複数のサイリスタの各々の少なくとも1つの側壁上に配設されている、ことを特徴とする請求項6記載のメモリアレイ。
  8. 前記メモリセルのアレイの前記複数の行の内の1つの行の前記複数のサイリスタの各々は、前記複数の導電ストラップのうちの1つの上に配設されている、ことを特徴とする請求項6記載のメモリアレイ。
  9. 半導体デバイスを形成する方法であって、
    電気的絶縁材料の上のアモルファスシリコンと、前記アモルファスシリコンの上の導電材料と、前記導電材料の上のドープされた材料と、前記ドープされた材料の上のドープされた結晶シリコンとを含む半導体構造を形成することと、
    前記ドープされた結晶シリコン、前記ドープされた材料、前記導電材料、及び前記アモルファスシリコンの各々の一部分を除去して、前記電気的絶縁材料を露出する複数のチャネルを形成することと、
    前記ドープされた結晶シリコン及び前記ドープされた材料を除去して、複数の柱を形成することであって、前記複数の柱の各々は、第1のドープ領域と、前記第1のドープ領域に対して反対にドープされた第2のドープ領域とを含む、ことと、
    前記複数の柱の各々の少なくとも1つの面上に少なくとも1つの制御ゲートを形成することと、
    前記複数の柱を第1のドーパントに暴露して、前記第2のドープ領域に対して反対にドープされた第3のドープ領域を形成することと、
    前記複数の柱を第2のドーパントに暴露して、第4のドープ領域を形成することと、
    を含むことを特徴とする半導体デバイスの形成方法。
  10. 前記複数の柱の各々の前記第4のドープ領域上に接触する少なくとも1つの導電線を形成することを更に含む、ことを特徴とする請求項記載の方法。
  11. 前記複数の柱の各々の少なくとも1つの面上に少なくとも1つの制御ゲートを形成することは、前記第2及び第3のドープ領域のうちの一方の表面上に前記制御ゲートを形成することを含む、ことを特徴とする請求項記載の方法。
  12. 少なくとも1つのメモリアクセスデバイスと、
    前記少なくとも1つのメモリアクセスデバイスと動作可能に結合された少なくとも1つの半導体デバイスと、
    を含むシステムであって、
    前記少なくとも1つの半導体デバイスは、
    少なくとも1つの導電ストラップであって、前記導電ストラップは、基板の上の電気的絶縁材料上に配設されたアモルファスシリコンの上の導電材料を含む、少なくとも1つの導電ストラップと、
    前記少なくとも1つの導電ストラップ上に配設された複数のメモリセルであって、前記複数のメモリセルの各々は、サイリスタと、前記サイリスタに関連する制御ゲートとを含む、複数のメモリセルと、
    前記複数のメモリセルの少なくとも一部分と電気的に結合されたデータ線と、
    を含む、ことを特徴とするシステム。
  13. 前記複数のメモリセルの各々の前記サイリスタは、複数の垂直に重なる交互にドープされた領域を含み、これらの少なくとも1つは、前記少なくとも1つの導電ストラップ上に接触して配設されている、ことを特徴とする請求項12記載のシステム。
  14. 前記導電材料は、導電性の金属含有材料又は導電性の金属化合物含有材料を含む、ことを特徴とする請求項1記載のメモリセル。
  15. 前記導電材料は、導電性の金属含有材料又は導電性の金属化合物含有材料を含む、ことを特徴とする請求項2記載の半導体デバイス。
  16. 前記導電材料は、導電性の金属含有材料又は導電性の金属化合物含有材料を含む、ことを特徴とする請求項6記載のメモリアレイ。
  17. 前記導電材料は、導電性の金属含有材料又は導電性の金属化合物含有材料を含む、ことを特徴とする請求項12記載のシステム。
JP2012556082A 2010-03-02 2011-02-10 サイリスタベースメモリセル、デバイス及びそれらを含むシステム、そしてそれらを形成する方法 Active JP5578454B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/715,889 US8288795B2 (en) 2010-03-02 2010-03-02 Thyristor based memory cells, devices and systems including the same and methods for forming the same
US12/715,889 2010-03-02
PCT/US2011/024376 WO2011109147A2 (en) 2010-03-02 2011-02-10 Thyristor-based memory cells, devices and systems including the same and methods for forming the same

Publications (2)

Publication Number Publication Date
JP2013521649A JP2013521649A (ja) 2013-06-10
JP5578454B2 true JP5578454B2 (ja) 2014-08-27

Family

ID=44530552

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012556082A Active JP5578454B2 (ja) 2010-03-02 2011-02-10 サイリスタベースメモリセル、デバイス及びそれらを含むシステム、そしてそれらを形成する方法

Country Status (7)

Country Link
US (4) US8288795B2 (ja)
JP (1) JP5578454B2 (ja)
KR (1) KR101480211B1 (ja)
CN (1) CN102782848B (ja)
SG (1) SG183451A1 (ja)
TW (1) TWI482278B (ja)
WO (1) WO2011109147A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9853111B2 (en) 2015-06-08 2017-12-26 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006012738A1 (de) 2006-03-17 2007-09-20 Infineon Technologies Ag Nutzen aus einer Verbundplatte mit Halbleiterchips und Kunststoffgehäusemasse sowie Verfahren und Moldform zur Herstellung desselben
JP5524547B2 (ja) * 2009-09-14 2014-06-18 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体記憶装置
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US20110227173A1 (en) * 2010-03-17 2011-09-22 Honeywell International Inc. Mems sensor with integrated asic packaging
US8576607B1 (en) * 2010-07-02 2013-11-05 Farid Nemati Hybrid memory cell array and operations thereof
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8648414B2 (en) 2011-07-01 2014-02-11 Micron Technology, Inc. Semiconductor structures including bodies of semiconductor material, devices including such structures and related methods
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US9252148B2 (en) * 2014-01-22 2016-02-02 Micron Technology, Inc. Methods and apparatuses with vertical strings of memory cells and support circuitry
KR102203459B1 (ko) 2014-08-11 2021-01-15 삼성전자주식회사 반도체 소자
US9224738B1 (en) 2014-08-18 2015-12-29 Micron Technology, Inc. Methods of forming an array of gated devices
US9673054B2 (en) 2014-08-18 2017-06-06 Micron Technology, Inc. Array of gated devices and methods of forming an array of gated devices
US9209187B1 (en) * 2014-08-18 2015-12-08 Micron Technology, Inc. Methods of forming an array of gated devices
US9613968B2 (en) 2014-09-25 2017-04-04 Kilopass Technology, Inc. Cross-coupled thyristor SRAM semiconductor structures and methods of fabrication
US20160093624A1 (en) 2014-09-25 2016-03-31 Kilopass Technology, Inc. Thyristor Volatile Random Access Memory and Methods of Manufacture
US9741413B2 (en) 2014-09-25 2017-08-22 Kilopass Technology, Inc. Methods of reading six-transistor cross-coupled thyristor-based SRAM memory cells
US9449669B2 (en) 2014-09-25 2016-09-20 Kilopass Technology, Inc. Cross-coupled thyristor SRAM circuits and methods of operation
US9530482B2 (en) 2014-09-25 2016-12-27 Kilopass Technology, Inc. Methods of retaining and refreshing data in a thyristor random access memory
US9564199B2 (en) 2014-09-25 2017-02-07 Kilopass Technology, Inc. Methods of reading and writing data in a thyristor random access memory
US9564441B2 (en) 2014-09-25 2017-02-07 Kilopass Technology, Inc. Two-transistor SRAM semiconductor structure and methods of fabrication
EP3203517A1 (en) * 2016-02-08 2017-08-09 Kilopass Technology, Inc. Methods and systems for reducing electrical disturb effects between thyristor memory cells using heterostructured cathodes
KR101804666B1 (ko) * 2016-04-06 2017-12-05 고려대학교 산학협력단 수직 반도체 컬럼을 구비한 메모리 소자
KR101835231B1 (ko) 2016-09-26 2018-03-08 고려대학교 산학협력단 반도체 소자
US20180102161A1 (en) * 2016-10-07 2018-04-12 Kilopass Technology, Inc. Vertical Thyristor Memory Array and Memory Array Tile Therefor
US10497712B2 (en) 2017-03-16 2019-12-03 Toshiba Memory Corporation Semiconductor memory
US10043808B1 (en) * 2017-03-16 2018-08-07 Toshiba Memory Corporation Semiconductor memory
CN108630612B (zh) 2017-03-24 2019-10-18 联华电子股份有限公司 半导体元件及其制作方法
US20190013317A1 (en) * 2017-07-10 2019-01-10 Tc Lab, Inc. High-Density Volatile Random Access Memory Cell Array and Methods of Fabrication
WO2019055011A1 (en) * 2017-09-14 2019-03-21 Intel Corporation GRILL THYRISTORS
WO2019055009A1 (en) * 2017-09-14 2019-03-21 Intel Corporation THYRISTORS
US10811602B2 (en) * 2017-12-08 2020-10-20 Macronix International Co., Ltd. Tungsten oxide RRAM with barrier free structure
US10468414B2 (en) 2017-12-28 2019-11-05 Samsung Electronics Co., Ltd. Semiconductor memory devices
KR102304793B1 (ko) 2018-11-08 2021-09-27 한양대학교 산학협력단 2단자 사이리스터 메모리 소자의 제조방법
KR20200075466A (ko) 2018-12-18 2020-06-26 에스케이하이닉스 주식회사 3차원 구조의 반도체 장치 및 그 제조 방법
KR20210077098A (ko) 2019-12-16 2021-06-25 삼성전자주식회사 반도체 메모리 소자 및 그의 제조 방법
KR20210081735A (ko) 2019-12-24 2021-07-02 삼성전자주식회사 메모리 소자 및 이의 제조 방법
KR20210132809A (ko) 2020-04-28 2021-11-05 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
KR20210142316A (ko) 2020-05-18 2021-11-25 삼성전자주식회사 반도체 패턴을 갖는 반도체 소자들
KR20220034540A (ko) 2020-09-11 2022-03-18 삼성전자주식회사 반도체 메모리 소자
KR20220046283A (ko) 2020-10-07 2022-04-14 삼성전자주식회사 반도체 메모리 소자
KR20220055513A (ko) 2020-10-26 2022-05-04 삼성전자주식회사 반도체 메모리 장치

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3988771A (en) 1974-05-28 1976-10-26 General Electric Company Spatial control of lifetime in semiconductor device
DE3507484C2 (de) 1985-03-02 1993-10-28 Teves Gmbh Alfred Hydraulische Bremsanlage
JPS6379605A (ja) 1986-09-24 1988-04-09 フランスベッド株式会社 ベツド装置
US5106776A (en) 1988-06-01 1992-04-21 Texas Instruments Incorporated Method of making high performance composed pillar dRAM cell
JPH0750772B2 (ja) 1989-01-24 1995-05-31 富士通株式会社 半導体装置およびその製造方法
DE69133311T2 (de) 1990-10-15 2004-06-24 Aptix Corp., San Jose Verbindungssubstrat mit integrierter Schaltung zur programmierbaren Verbindung und Probenuntersuchung
JP3081967B2 (ja) 1990-11-21 2000-08-28 富士通株式会社 シリコンオンインシュレータ基板の製造方法
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5098861A (en) 1991-01-08 1992-03-24 Unitrode Corporation Method of processing a semiconductor substrate including silicide bonding
JPH04283914A (ja) 1991-03-12 1992-10-08 Fujitsu Ltd 貼り合わせ半導体基板とその製造方法
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5465249A (en) 1991-11-26 1995-11-07 Cree Research, Inc. Nonvolatile random access memory device having transistor and capacitor made in silicon carbide substrate
US5412598A (en) 1992-04-27 1995-05-02 The University Of British Columbia Bistable four layer device, memory cell, and method for storing and retrieving binary information
JPH06104446A (ja) 1992-09-22 1994-04-15 Toshiba Corp 半導体装置
JPH0798460A (ja) 1992-10-21 1995-04-11 Seiko Instr Inc 半導体装置及び光弁装置
US5260233A (en) 1992-11-06 1993-11-09 International Business Machines Corporation Semiconductor device and wafer structure having a planar buried interconnect by wafer bonding
US5600160A (en) * 1993-04-14 1997-02-04 Hvistendahl; Douglas D. Multichannel field effect device
US5510630A (en) 1993-10-18 1996-04-23 Westinghouse Electric Corporation Non-volatile random access memory cell constructed of silicon carbide
JPH0888153A (ja) 1994-09-19 1996-04-02 Toshiba Corp 積層構造ウェハおよびその形成方法
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
FR2729008B1 (fr) 1994-12-30 1997-03-21 Sgs Thomson Microelectronics Circuit integre de puissance
US6750091B1 (en) 1996-03-01 2004-06-15 Micron Technology Diode formation method
US7470598B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US7888764B2 (en) * 2003-06-24 2011-02-15 Sang-Yun Lee Three-dimensional integrated circuit structure
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
FR2755537B1 (fr) 1996-11-05 1999-03-05 Commissariat Energie Atomique Procede de fabrication d'un film mince sur un support et structure ainsi obtenue
JPH10150176A (ja) 1996-11-15 1998-06-02 Tadahiro Omi 半導体基体とその作製方法
US5874760A (en) 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US6225151B1 (en) 1997-06-09 2001-05-01 Advanced Micro Devices, Inc. Nitrogen liner beneath transistor source/drain regions to retard dopant diffusion
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5909618A (en) * 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US5851875A (en) 1997-07-14 1998-12-22 Micron Technology, Inc. Process for forming capacitor array structure for semiconductor devices
JP4623451B2 (ja) 1997-07-30 2011-02-02 忠弘 大見 半導体基板及びその作製方法
US6255731B1 (en) 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
FR2767416B1 (fr) 1997-08-12 1999-10-01 Commissariat Energie Atomique Procede de fabrication d'un film mince de materiau solide
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US5904507A (en) 1998-02-23 1999-05-18 National Semiconductor Corporation Programmable anti-fuses using laser writing
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6242775B1 (en) 1998-02-24 2001-06-05 Micron Technology, Inc. Circuits and methods using vertical complementary transistors
US6365488B1 (en) 1998-03-05 2002-04-02 Industrial Technology Research Institute Method of manufacturing SOI wafer with buried layer
EP0945901A1 (de) 1998-03-23 1999-09-29 Siemens Aktiengesellschaft DRAM-Zellenanordnung mit vertikalen Transistoren und Verfahren zu deren Herstellung
US6225165B1 (en) 1998-05-13 2001-05-01 Micron Technology, Inc. High density SRAM cell with latched vertical transistors
US6545297B1 (en) 1998-05-13 2003-04-08 Micron Technology, Inc. High density vertical SRAM cell using bipolar latchup induced by gated diode breakdown
US6229161B1 (en) 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
US6137128A (en) 1998-06-09 2000-10-24 International Business Machines Corporation Self-isolated and self-aligned 4F-square vertical fet-trench dram cells
JP4476390B2 (ja) 1998-09-04 2010-06-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20040017721A1 (en) 1998-10-30 2004-01-29 Schwabe Nikolai Franz Gregoe Magnetic storage device
JP3911585B2 (ja) 1999-05-18 2007-05-09 富士通株式会社 半導体装置およびその製造方法
FR2795865B1 (fr) 1999-06-30 2001-08-17 Commissariat Energie Atomique Procede de realisation d'un film mince utilisant une mise sous pression
US6355520B1 (en) 1999-08-16 2002-03-12 Infineon Technologies Ag Method for fabricating 4F2 memory cells with improved gate conductor structure
US6391658B1 (en) 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6797604B2 (en) 2000-05-08 2004-09-28 International Business Machines Corporation Method for manufacturing device substrate with metal back-gate and structure formed thereby
EP1312120A1 (en) * 2000-08-14 2003-05-21 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US6621725B2 (en) 2000-08-17 2003-09-16 Kabushiki Kaisha Toshiba Semiconductor memory device with floating storage bulk region and method of manufacturing the same
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US6583440B2 (en) 2000-11-30 2003-06-24 Seiko Epson Corporation Soi substrate, element substrate, semiconductor device, electro-optical apparatus, electronic equipment, method of manufacturing the soi substrate, method of manufacturing the element substrate, and method of manufacturing the electro-optical apparatus
US6559471B2 (en) 2000-12-08 2003-05-06 Motorola, Inc. Quantum well infrared photodetector and method for fabricating same
FR2818010B1 (fr) 2000-12-08 2003-09-05 Commissariat Energie Atomique Procede de realisation d'une couche mince impliquant l'introduction d'especes gazeuses
US6635550B2 (en) 2000-12-20 2003-10-21 Texas Instruments Incorporated Semiconductor on insulator device architecture and method of construction
US6713791B2 (en) 2001-01-26 2004-03-30 Ibm Corporation T-RAM array having a planar cell structure and method for fabricating the same
US6891205B1 (en) 2001-03-22 2005-05-10 T-Ram, Inc. Stability in thyristor-based memory device
US7456439B1 (en) 2001-03-22 2008-11-25 T-Ram Semiconductor, Inc. Vertical thyristor-based memory with trench isolation and its method of fabrication
US6897514B2 (en) 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6492662B2 (en) 2001-04-16 2002-12-10 Ibm Corporation T-RAM structure having dual vertical devices and method for fabricating the same
US6627924B2 (en) 2001-04-30 2003-09-30 Ibm Corporation Memory system capable of operating at high temperatures and method for fabricating the same
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
US6906354B2 (en) 2001-06-13 2005-06-14 International Business Machines Corporation T-RAM cell having a buried vertical thyristor and a pseudo-TFT transfer gate and method for fabricating the same
JP2003030980A (ja) 2001-07-13 2003-01-31 Toshiba Corp 半導体記憶装置
US6841813B2 (en) 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
JP4369230B2 (ja) 2001-11-07 2009-11-18 新電元工業株式会社 サージ防護半導体装置
US6576532B1 (en) 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
US7081663B2 (en) 2002-01-18 2006-07-25 National Semiconductor Corporation Gate-enhanced junction varactor with gradual capacitance variation
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
WO2003096385A2 (en) 2002-05-07 2003-11-20 Asm America, Inc. Silicon-on-insulator structures and methods
US6940748B2 (en) 2002-05-16 2005-09-06 Micron Technology, Inc. Stacked 1T-nMTJ MRAM structure
US7042749B2 (en) * 2002-05-16 2006-05-09 Micron Technology, Inc. Stacked 1T-nmemory cell structure
JP2004003398A (ja) 2002-05-31 2004-01-08 Shin Caterpillar Mitsubishi Ltd 建設機械
US6781907B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Temperature compensated T-RAM memory device and method
US6764774B2 (en) 2002-06-19 2004-07-20 International Business Machines Corporation Structures with improved adhesion to Si and C containing dielectrics and method for preparing the same
KR100738065B1 (ko) 2002-07-10 2007-07-10 삼성전자주식회사 한 개의 트랜지스터와 데이터 저장 수단으로 한 개의저항체를구비하는 메모리 소자 및 그 구동 방법
JP3621695B2 (ja) 2002-07-29 2005-02-16 株式会社東芝 半導体装置及び素子形成用基板
US7224024B2 (en) 2002-08-29 2007-05-29 Micron Technology, Inc. Single transistor vertical memory gain cell
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
TWI320571B (en) 2002-09-12 2010-02-11 Qs Semiconductor Australia Pty Ltd Dynamic nonvolatile random access memory ne transistor cell and random access memory array
US6953953B1 (en) 2002-10-01 2005-10-11 T-Ram, Inc. Deep trench isolation for thyristor-based semiconductor device
US6690039B1 (en) 2002-10-01 2004-02-10 T-Ram, Inc. Thyristor-based device that inhibits undesirable conductive channel formation
US6965129B1 (en) 2002-11-06 2005-11-15 T-Ram, Inc. Thyristor-based device having dual control ports
US7710771B2 (en) 2002-11-20 2010-05-04 The Regents Of The University Of California Method and apparatus for capacitorless double-gate storage
JP3813123B2 (ja) 2002-12-25 2006-08-23 株式会社沖データ 半導体装置及びledヘッド
US6812504B2 (en) 2003-02-10 2004-11-02 Micron Technology, Inc. TFT-based random access memory cells comprising thyristors
US6768156B1 (en) 2003-02-10 2004-07-27 Micron Technology, Inc. Non-volatile random access memory cells associated with thin film constructions
JP2004247545A (ja) 2003-02-14 2004-09-02 Nissan Motor Co Ltd 半導体装置及びその製造方法
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
WO2004090984A1 (en) 2003-04-03 2004-10-21 Kabushiki Kaisha Toshiba Phase change memory device
US20040228168A1 (en) 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US8125003B2 (en) 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
US6921692B2 (en) 2003-07-07 2005-07-26 Micron Technology, Inc. Methods of forming memory circuitry
US7369699B1 (en) * 2003-08-29 2008-05-06 Apple Inc. Methods and apparatuses for restoring color and enhancing electronic images
US7205185B2 (en) 2003-09-15 2007-04-17 International Busniess Machines Corporation Self-aligned planar double-gate process by self-aligned oxidation
US7195959B1 (en) 2004-10-04 2007-03-27 T-Ram Semiconductor, Inc. Thyristor-based semiconductor device and method of fabrication
US7180135B1 (en) 2003-10-06 2007-02-20 George Mason Intellectual Properties, Inc. Double gate (DG) SOI ratioed logic with intrinsically on symmetric DG-MOSFET load
US6888199B2 (en) 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
JP4044510B2 (ja) 2003-10-30 2008-02-06 株式会社東芝 半導体集積回路装置
JP2005150156A (ja) 2003-11-11 2005-06-09 Toshiba Corp 磁気記憶装置
US7268373B1 (en) 2003-11-12 2007-09-11 T-Ram Semiconductor, Inc. Thyristor-based memory and its method of operation
US7304327B1 (en) 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability
JP2005150393A (ja) 2003-11-14 2005-06-09 Sharp Corp 受発光素子用サブマウント
US6878991B1 (en) 2004-01-30 2005-04-12 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US7075146B2 (en) 2004-02-24 2006-07-11 Micron Technology, Inc. 4F2 EEPROM NROM memory arrays with vertical devices
US6995456B2 (en) 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7049654B2 (en) 2004-03-31 2006-05-23 Intel Corporation Memory with split gate devices and method of fabrication
WO2005104192A2 (en) 2004-04-21 2005-11-03 California Institute Of Technology A METHOD FOR THE FABRICATION OF GaAs/Si AND RELATED WAFER BONDED VIRTUAL SUBSTRATES
JP4429798B2 (ja) 2004-05-12 2010-03-10 富士通マイクロエレクトロニクス株式会社 フィン型チャネルfetを用いたシステムlsi及びその製造方法
US7112997B1 (en) 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7141476B2 (en) 2004-06-18 2006-11-28 Freescale Semiconductor, Inc. Method of forming a transistor with a bottom gate
KR20070028604A (ko) 2004-06-30 2007-03-12 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 나노선(nanowire)에 의해 접촉되는 전도성 있는재료로 된 층이 있는 전기 장치 및 그 제조 방법
US7518182B2 (en) 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US20060034116A1 (en) 2004-08-13 2006-02-16 Lam Chung H Cross point array cell with series connected semiconductor diode and phase change storage media
US7145186B2 (en) 2004-08-24 2006-12-05 Micron Technology, Inc. Memory cell with trenched gated thyristor
US7365385B2 (en) 2004-08-30 2008-04-29 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7259415B1 (en) 2004-09-02 2007-08-21 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7271052B1 (en) 2004-09-02 2007-09-18 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7566974B2 (en) 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US7476939B2 (en) 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
EP1667223B1 (en) 2004-11-09 2009-01-07 S.O.I. Tec Silicon on Insulator Technologies S.A. Method for manufacturing compound material wafers
US7326969B1 (en) 2004-12-02 2008-02-05 T-Ram Semiconductor, Inc. Semiconductor device incorporating thyristor-based memory and strained silicon
US7173312B2 (en) 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
KR100702011B1 (ko) 2005-03-16 2007-03-30 삼성전자주식회사 다중 게이트 트랜지스터들을 채택하는 씨모스 에스램 셀들및 그 제조방법들
KR100663359B1 (ko) 2005-03-31 2007-01-02 삼성전자주식회사 리세스 채널 트랜지스터 구조를 갖는 단일 트랜지스터플로팅 바디 디램 셀 및 그 제조방법
KR100702014B1 (ko) 2005-05-03 2007-03-30 삼성전자주식회사 수직 채널 트랜지스터 구조를 갖는 단일 트랜지스터 플로팅바디 디램 소자들 및 그 제조방법들
US7279740B2 (en) 2005-05-12 2007-10-09 Micron Technology, Inc. Band-engineered multi-gated non-volatile memory device with enhanced attributes
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
JP4696964B2 (ja) 2005-07-15 2011-06-08 ソニー株式会社 メモリ用の半導体装置
US7579623B2 (en) 2005-07-22 2009-08-25 Translucent, Inc. Stacked transistors and process
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7538000B2 (en) 2005-07-28 2009-05-26 Freescale Semiconductor, Inc. Method of forming double gate transistors having varying gate dielectric thicknesses
US7511332B2 (en) 2005-08-29 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical flash memory
US20070047364A1 (en) 2005-08-31 2007-03-01 International Business Machines Corporation Methods and apparatus for varying a supply voltage or reference voltage using independent control of diode voltage in asymmetrical double-gate devices
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7227233B2 (en) 2005-09-12 2007-06-05 International Business Machines Corporation Silicon-on-insulator (SOI) Read Only Memory (ROM) array and method of making a SOI ROM
JP4599259B2 (ja) 2005-09-20 2010-12-15 株式会社東芝 磁気素子及びこれを用いた磁気信号処理装置
KR100675285B1 (ko) 2005-10-10 2007-01-29 삼성전자주식회사 수직 트랜지스터를 갖는 반도체소자 및 그 제조방법
KR100660881B1 (ko) 2005-10-12 2006-12-26 삼성전자주식회사 수직 채널 트랜지스터를 구비한 반도체 소자 및 그 제조방법
KR100663368B1 (ko) 2005-12-07 2007-01-02 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 데이터 라이트 및 리드방법
US7786505B1 (en) 2005-12-16 2010-08-31 T-Ram Semiconductor, Inc. Reduction of charge leakage from a thyristor-based memory cell
JP5011748B2 (ja) 2006-02-24 2012-08-29 株式会社デンソー 半導体装置
US7439594B2 (en) 2006-03-16 2008-10-21 Micron Technology, Inc. Stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors
US8501581B2 (en) 2006-03-29 2013-08-06 Micron Technology, Inc. Methods of forming semiconductor constructions
US8008144B2 (en) 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US20080003778A1 (en) 2006-06-13 2008-01-03 Rensselaer Polytechnic Institute Low-temperature welding with nano structures
JP2008010503A (ja) 2006-06-27 2008-01-17 Toshiba Corp 半導体記憶装置およびその製造方法
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7410856B2 (en) 2006-09-14 2008-08-12 Micron Technology, Inc. Methods of forming vertical transistors
US7592209B2 (en) 2006-11-13 2009-09-22 Intel Corporation Integration of a floating body memory on SOI with logic transistors on bulk substrate
US7619917B2 (en) 2006-11-28 2009-11-17 Qimonda North America Corp. Memory cell with trigger element
US7888742B2 (en) 2007-01-10 2011-02-15 International Business Machines Corporation Self-aligned metal-semiconductor alloy and metallization for sub-lithographic source and drain contacts
JP2008177273A (ja) 2007-01-17 2008-07-31 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
US8368137B2 (en) 2007-06-26 2013-02-05 Sandisk Technologies Inc. Dual bit line metal layers for non-volatile memory
US7816216B2 (en) 2007-07-09 2010-10-19 Micron Technology, Inc. Semiconductor device comprising transistor structures and methods for forming same
US8159035B2 (en) 2007-07-09 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates of PMOS devices having high work functions
US7969808B2 (en) 2007-07-20 2011-06-28 Samsung Electronics Co., Ltd. Memory cell structures, memory arrays, memory devices, memory controllers, and memory systems, and methods of manufacturing and operating the same
KR100881825B1 (ko) 2007-07-27 2009-02-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP4580966B2 (ja) 2007-08-24 2010-11-17 株式会社東芝 ホイスラー合金を有する積層体、この積層体を用いたスピンmos電界効果トランジスタ及びトンネル磁気抵抗効果素子
US9129845B2 (en) 2007-09-19 2015-09-08 Micron Technology, Inc. Buried low-resistance metal word lines for cross-point variable-resistance material memories
US7439149B1 (en) 2007-09-26 2008-10-21 International Business Machines Corporation Structure and method for forming SOI trench memory with single-sided strap
US20090108351A1 (en) 2007-10-26 2009-04-30 International Business Machines Corporation Finfet memory device with dual separate gates and method of operation
KR20090054245A (ko) 2007-11-26 2009-05-29 삼성전자주식회사 플로팅 바디 디램 소자 및 그 제조 방법
DE102007057728B4 (de) 2007-11-30 2014-04-30 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelements mit einer Kurzschlusstruktur
US7940558B2 (en) 2007-12-21 2011-05-10 Qimonda Ag Integrated circuit comprising a thyristor and method of controlling a memory cell comprising a thyristor
KR100950472B1 (ko) 2007-12-28 2010-03-31 주식회사 하이닉스반도체 4f2 트랜지스터를 갖는 반도체 소자의 제조방법
US8558220B2 (en) 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US20090173984A1 (en) 2008-01-08 2009-07-09 Qimonda Ag Integrated circuit and method of manufacturing an integrated circuit
US7795691B2 (en) 2008-01-25 2010-09-14 Cree, Inc. Semiconductor transistor with P type re-grown channel layer
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US7742324B2 (en) 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
US8030634B2 (en) 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
KR20090132872A (ko) 2008-06-23 2009-12-31 삼성전자주식회사 반도체 소자 및 반도체 기판
KR101498873B1 (ko) 2008-07-08 2015-03-04 삼성전자주식회사 디램 및 비휘발성 메모리 특성을 갖는 메모리 소자의 구동방법
KR101159879B1 (ko) 2008-07-14 2012-06-25 에스케이하이닉스 주식회사 고집적 반도체 기억 장치
US20100044670A1 (en) 2008-08-19 2010-02-25 Peiching Ling Semiconductor device structures having single-crystalline switching device on conducting lines and methods thereof
US8130537B2 (en) 2008-09-09 2012-03-06 Qimonda Ag Phase change memory cell with MOSFET driven bipolar access device
KR20100070835A (ko) 2008-12-18 2010-06-28 삼성전자주식회사 사이리스터를 갖는 메모리 셀 및 그것을 포함한 메모리 장치
KR20100070685A (ko) 2008-12-18 2010-06-28 한국항공우주연구원 무인항공기의 회수시스템
US8405121B2 (en) 2009-02-12 2013-03-26 Infineon Technologies Ag Semiconductor devices
KR101554531B1 (ko) 2009-02-12 2015-09-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR101073643B1 (ko) 2009-02-19 2011-10-14 서울대학교산학협력단 고성능 단일 트랜지스터 플로팅 바디 dram 소자 및 그 제조 방법
US7929343B2 (en) 2009-04-07 2011-04-19 Micron Technology, Inc. Methods, devices, and systems relating to memory cells having a floating body
US8148780B2 (en) 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US10566462B2 (en) 2009-07-30 2020-02-18 Infineon Technologies Austria Ag Bipolar semiconductor device and manufacturing method
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
KR20110102738A (ko) 2010-03-11 2011-09-19 삼성전자주식회사 수직 채널 트랜지스터 및 그의 제조방법
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8530312B2 (en) 2011-08-08 2013-09-10 Micron Technology, Inc. Vertical devices and methods of forming

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9853111B2 (en) 2015-06-08 2017-12-26 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
US9343462B2 (en) 2016-05-17
US8288795B2 (en) 2012-10-16
SG183451A1 (en) 2012-09-27
WO2011109147A2 (en) 2011-09-09
TWI482278B (zh) 2015-04-21
KR20120123584A (ko) 2012-11-08
US8524543B2 (en) 2013-09-03
US20110215371A1 (en) 2011-09-08
US20130323887A1 (en) 2013-12-05
CN102782848A (zh) 2012-11-14
CN102782848B (zh) 2015-10-14
TW201203539A (en) 2012-01-16
US20150179649A1 (en) 2015-06-25
WO2011109147A3 (en) 2011-11-24
KR101480211B1 (ko) 2015-01-07
JP2013521649A (ja) 2013-06-10
US20130011974A1 (en) 2013-01-10
US8980699B2 (en) 2015-03-17

Similar Documents

Publication Publication Date Title
JP5578454B2 (ja) サイリスタベースメモリセル、デバイス及びそれらを含むシステム、そしてそれらを形成する方法
US8866209B2 (en) Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8841715B2 (en) Floating body cell structures, devices including same, and methods for forming same
KR101430855B1 (ko) 절연체 위 금속-반도체 구조체, 이러한 구조체를 형성하는 방법, 및 이러한 구조체를 포함하는 반도체 디바이스
JP7273183B2 (ja) 3次元メモリデバイスを形成するための方法
US9646869B2 (en) Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US10535710B2 (en) Methods of forming integrated circuitry
JP4087107B2 (ja) 半導体素子の薄膜トランジスタ製造方法
US20050151276A1 (en) Node contact structures in semiconductor devices and methods of fabricating the same
KR20110083540A (ko) 매립 절연층을 통하여 반도체 영역들 사이에 콘택을 가지는 소자 및 소자의 제조 방법
KR100911194B1 (ko) 상변화 메모리 소자 및 그 제조방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140205

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140304

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140515

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140515

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140610

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140626

R150 Certificate of patent or registration of utility model

Ref document number: 5578454

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250